...

公開 - 高知工科大学

by user

on
Category: Documents
5

views

Report

Comments

Transcript

公開 - 高知工科大学
卒業研究報告
題 目
電池を用いて VFD を駆動する DC−DC コンバータの設計と製作
指 導 教 員
綿森道夫 准教授
報 告 者
学籍番号: 1080232
氏名: 川越 勇輔
平成 20 年 2 月 18 日
高知工科大学 電子・光システム工学科
目次
-第1章- 研究の概要と目的............................................ 1
-第 2 章- PIC プロセッサについて........................................ 2
2-1. PIC の種類について.......................................... 2
2-2. PIC16F88 について........................................... 3
2-3. A/D 変換について............................................ 4
2-5. PWM モードについて.......................................... 5
2-4. 開発環境について........................................... 6
-第 3 章- PIC を用いた電子工作......................................... 8
3-1. 8×8LED ドットマトリクス..................................... 9
3-2. LCD タイマー................................................ 11
-第 4 章- DC-DC コンバータの原理と設計指針............................. 14
4-1. DC-DC コンバータの原理...................................... 14
4-2. 6V−24V の DC-DC コンバータの設計指針........................ 15
-第 5 章- 初期型 DC-DC コンバータについて............................... 19
5-1. 回路説明.................................................... 19
5-2. プログラムの流れ............................................ 20
5-3. 回路評価.................................................... 21
-第 6 章- 12V-24V DC-DC コンバータについて............................. 28
6-1. 12V-24V DC-DC コンバータの設計と製作(1 号機)................ 28
6-2. 1 号機の回路評価............................................. 30
6-3. 12V-24V DC-DC コンバータ 2 号機の回路........................ 35
6-4. 2 号機のプログラムの流れ..................................... 36
-I-
6-5. A/D 変換の電圧変換.......................................... 37
6-6. 2 号機、VFD 駆動実験......................................... 41
6-7. 12V-24V の DC-DC コンバータ 2 号機の回路....................... 43
6-8. 操作方法.................................................... 52
6-9. AC アダプターでの VFD 駆動実験................................ 54
6-10. DC-DC コンバータ 3 号機について.............................. 55
-第 7 章- 結論と感想................................................... 57
参考文献............................................................... 58
謝辞................................................................... 59
付録 プログラム....................................................... 60
-Ⅱ-
第 1 章 研究の背景と目的
-研究背景 現在、世界中で使われている PIC マイコン。PIC を使用した製品が数多く周りに存在す
る。電化製品では冷蔵庫、テレビ、洗濯機、電子レンジ等、車載機器では ABS、オート・
セキュリティ・システム等。OA 機器ではキーボード、コピー機、バーコードリーダー等
があり、私たちの生活を支えてくれている。PIC 自体がコンパクトで構造も簡略化されて
いる。また、価格も安価であり、誰でもインターネットの通信販売等で手軽に手に入れる
ことができる。そのため、電子工作を行っている人に人気である。
綿森研究室では 3 年生の頃から、PIC を学び、PIC を用いた電子工作を行ってきた。そ
こで私たちは PIC の基本知識、制御技術等を学び PIC に慣れ親しんできた。
-研究目的 私は PIC を用い、VFD(蛍光表示ディスプレイモジュール)を駆動する DC-DC コンバータ
を設計し製作したいと考えている。現在の身近にある VFD モジュールは、家庭用コンセン
ト(100V)を 24V と 5V に変換する電源を使い駆動している。これでは持ち運びするときに
コンセントを抜かなければいけない。さらにコンセントの無い場所では駆動できないとい
う問題点があった。この問題が解決すれば屋外や停電中での駆動など、VFD の駆動環境に
幅が広がり、オープンキャンパス等のイベントに対し使用できるのではないかと考える。
-1-
第 2 章 PIC プロセッサについて
2-1. PIC について
PIC(Peripheral Interface Controller)とは、米マイクロチップテクノロジー社が開発
したもので、コンピュータの周辺に接続される周辺機器との接続部分を制御するために開
発された「マイクロコントローラ」と呼ばれる領域の IC である。使用目的範囲が限られ
ているため、命令数は 35 個しかなく、簡素な構造をしている。これが、PIC が使いやすく、
安価であると言われている理由である。さらに、CPU(中央演算処理ユニット)、ROM(読み
出し専用メモリ)、RAM(書き換え可能メモリ)、I/O(入出力)などの周辺モジュールが全て
ワンチップ内に内臓されているため、回路をコンパクトにすることができ、そのため電化
製品や自動車、産業機械、通信機器、OA 機器など幅広い機器に使われている。
また、PIC は目的にあわせて数多くの種類があり、8 ピンタイプのものから 80 ピンもあ
る大型タイプまで用意されている。PIC は大きく分けて 3 つシリーズに分けることができ
る。
① ベースラインシリーズ
命令幅が 12 ビット幅で最初に開発されたシリーズである。入出力ピンとタイマー機能
のみの搭載である。 ② ミッドレンジシリーズ
命令幅 14 ビット幅のシリーズで、A/D 変換機能やシリアルポートなどの多くの機能を内
臓するシリーズだけあって種類も豊富である。今回研究で使った PIC16F88 もこの種類の
PIC である。
③ ハイエンドシリーズ
命令幅が 16 ビットのシリーズで、文字通り高機能なシリーズである。従来のミッドレ
ンジシリーズの範囲をカバーする目的で開発推進されている。
これら数多くの PIC はアーキテクチャが共通となっているため、大きな PIC は小さな
PIC の上位互換となっていて、ほぼ同じプログラムで動かすことが可能である。
後の章でも説明するが、今回の DC-DC コンバータは PIC16F88 を使用している。
PIC16F88 の概観を写真:2-1-1 に示す。
写真:2-1-1.PIC16F88 の概観
-2-
2-2. PIC16F88 について
PIC16F88 は 18 ピンの PIC の中で「最強」といわれているだけあって、多くの機能を持っ
ている。主な特徴を表:2-2-1 に示す。さらに、ピンアサインを図:2-2-1 に示す。
プログラムワード
RAM
EEPROM
クロック
主な機能
4K ワード
368 バイト
256 バイト
最大 20MHz
10 ビット A/D 変換
PWM(CCP)
USART
コンパレータ
SSP( 同期シリアルポート )
タイマー
表:2-2-1.PIC16F88 の主な特徴
図:2-2-1.PIC16F88 のピンアサイン
PIC16F88 には RA ポート(RA0∼RA7)と呼ばれるディジタル入出力可能なピンが(8 個)、
5V(電源)用のピン(14)が 1 個、0V 用のピン(5)が 1 個、RB ポート(RB0∼RB7) と呼ばれる
ディジタル入出力可能なピンが 8 個(6∼13)ある。この PIC の機能として、A/D 変換の際に
使用するアナログ入力用のピン(AN0∼AN6)、タイマの外部入力クロック用のピン(T0CKI
と T1CKI)、PWM を作成するピン(CCP1)などがある。また、PIC のリセット用として MCLR
(マスタークリア)ピン(4)がある。これは RA5 ピンとして使用するか MCLR として使用する
かを PIC を書き込む際に設定する。なお、MCLR は上にバーが記述されているので Low の際
に PIC がリセットされるようになっている。
PIC16F88 は最大 20MHz で動作することができ、外部からクロックを入力するときには
RA6 と RA7 にクロック用の水晶振動子などを接続する。しかし、PIC16F88 には内部でク
ロックを発生する装置があるため特に外部からクロックを入力する必要がない。今研究で
は PIC16F88 の内部クロック(8MHz)で実験を行った。
-3-
2-3.A/D 変換について
PIC16F88 には、アナログ電圧をデジタル変換し、電圧比に比例したデジタルデータを
読み取るアナログ-デジタル変換という機能がついている。今回研究でも入力電圧と出力
電圧をこの PIC で A/D 変換し、LCD 表示機に表示させている。ここでは、今回研究で使用
したプログラムも含め A/D 変換について説明する。
PIC では、アナログピンとデジタルピンの設定を ANSEL というレジスタで行う。その構
成を図:2-3-1 に示す。
-
ANS6 ANS5 ANS4 ANS3 ANS2 ANS1 ANS0
図:2-3-1.ANSEL レジスタの構成
各ビットを1にすとアナログポートとして、0 にするとデジタルポートとして使用でき
るようになる。
PIC の A/D 変換では、入力電圧や外部から入力された Vref の参照電圧により決定される。
PIC16F88 は 10 ビットのデータで変換される。つまり、PIC の電源電圧(5V)を参照電圧に
する場合、5V を 1024 分割して入力された電圧と比べ、それに比例した値を返すことになっ
ている。A/D 変換の結果は PIC 内部の ADRESH と ADRESL という2つのレジスタに格納され
ている。A/D 変換では、変換終了時に割り込み制御もできるが、今回はそれを使用してい
ない。A/D 変換クロックの指定と、チャンネル選択指定などの設定は ADCON0 というレジス
タで行う。その構成を図:2-3-2 に示す。
ADCS1 ADCS0 CHS2
CH1
CH0
GO/DONE
-
ADON
図:2-3-2.ADCON0 の構成
ADCS1 と ADCS2 は、A/D 変換のクロックを指定している。
ADCS2 = 0 の時 ADCS2 = 1 の時
00 = Fosc/2
00 = Fosc/4
01 = Fosc/8
01 = Fosc/16
10 = Fosc/32
10 = Fosc/64
11 = Frc(内部 RC 発振)
11 = Frc(内部 RC 発振)
CHS2∼CHS0 は A/D 変換のチャンネルを指定している。
000
001
010
011
100
=
=
=
=
=
CHO(RA0)
CH1(RA1)
CH2(RA2)
CH3(RA3)
CH4(RA5)
101 = CH5(RE0)*
110 = CH6(RE1)*
111 = CH7(RE2)*
(*は 40 ピンのみ)
ビット 3 の GO/DONE はビット1の ADON = 1 のとき、「1」で A/D 変換開始指令を出し、A/D
変換終了と同時に「0」にリセットされる。
ADON は、「1」で使用開始を命令する。
ADCON1 の構造を図:2-3-3 に示す。
ADFM ADCS2 VCFG1 VCFG0
図:2-3-3.ADCON1 の構造
ADCON1 ではビット 7 の ADFM で変換値の格納の右詰、左詰を決める。また、ADCS2 では
ADCON0 のクロック設定時の種類を決める。
-4-
A/D 変換のプログラムを一部抜粋して説明する。出力側のプログラムである。
1
2
3
4
5
6
7
8
9
10
11
12
13
out_flag++;
while(hei < 4){ //AD 変換の値を 4 回たす
ADCON0 = 0xC9;
// AD 変換クロック指定、AN1 で AD 変換
LCD(256 + 0xD4); //4 行目に移動
bADON = 1; //AD 変換オン
for (i = 0; i < 5; i++) {}; // 少しだけ待つ
bGO = 1;
//AD 変換開始
while(bGO){};
//AD 変換終了までカラループ
adatai = (WORD)ADRESH * 256 + ADRESL;
//AD 変換値を読む
adatai = 30 * adatai + 10;
if(adatai > 18000){
avedata += adatai / 4;
hei++;
このプログラムでは、A/D 変換を 4 回行いその平均をとって LCD 表示機に表示させてい
る。LCD 表示機に表示させるプログラムは載せてないが 14 行目以降にある。
2 行目からが A/D 変換である。While ループの中で ADCON0 でチャンネルを指定し、5 行
目で A/D 変換をオンにして 7 行目で開始している。6 行目の for 文は PIC 内部のコンデン
サに入力電圧を蓄積させるために少しの間待っている。8 行目の空ループは A/D 変換を終
了するまでの時間待ちである。9 行目、10 行目は後に説明するが、ここで A/D 変換値を電
圧に変換している。12 行目からは電圧変換された値が 18V 以上ならば 1/4 した値を 4 回加
算し、その平均を求めている。
2-4.PWM モードについて
PWM(Pulse Width Modulation:パルス幅変調)とは、周期を一定にしてパルスの「1」と「0」
の割合を可変することで、通電する時間の平均のエネルギーを可変制御するものである。
この、「1」の区間を「デューティ」と呼ぶ。
PWM の動作は、PIC のタイマー 2 に依存している。したがって PWM の動作はタイマー 2 と
一緒にして考える必要がある。タイマー 2 は常時、クロック(Tosc/4)でカウントアップさ
れている。PWM の出力パルスの周期は、PR2 レジスタで一定の値に設定する。この PR2 と
タイマー 2 の上位 8 ビットはコンパレータで常に比較されている。この 2 つが一致すると
コンパレータからでる出力で、タイマー 2 は 0 クリアされ同時に PIC の CCP1 ピンの出力は
「1」にセットされる。
さらにこの一致信号で、デューティを設定する CCPR1H の内容がデューティレジスタの
DCx レジスタにコピーされ、デューティが初期化される。
このデューティレジスタとタイマー 2 も常時比較されていて、一致するとデューティコ
ンパレータの出力で CCP1 ピンの出力が「0」にリセットされる。
したがって、PR2 より CCPR1H の上位 8 ビットの値が小さければ周期の途中で CCP1 ピン
の出力が「1」から「0」に変化することになる。そして、周期の最初でまた「1」に戻るので、
CCP1 ピンは一定周期で「1」と「0」を繰り返すことになる。このときの CCP1 出力の周期、「1」
と「0」の割合(デューティ)とレジスタの関係を図:2-4-1 に示す。
Duty Cycle = CCPR1H
Duty Cycle
Period = PR2 + 1
Period
図:2-4-1.Duty とレジスタの関係
-5-
PIC のプログラミングでは、まず CCP1CON で PWM モードを設定する。CCP1CON レジスタ
の構造を図:2-3-1 に示す。
-
-
CCP1X CCP1Y CCP1M3 CCP1M2 CCP1M1 CCP1M0
図:2-3-1.CCP1CON の構造
CCP1M3,CCP1M2 を共に「1」にすると PWM モードになる。なお、CCP1X,CCP1Y では、デュー
ディの下位ビットを設定できる。
CCP1X、CCP1Y
00 = 0
01 = 0.25
10 = 0.5
11 = 0.75
以上のことから、PIC での PWM モード制御のプログラムを以下に示す。
1 T2CON = 0x04; //TIMER2 オン
2
3
4
PR2 = fre_1;
//100KHz
CCP1CON = 0x0C; //PWM モード
CCPR1L = duty_1; //Duty=80 パーセント
1 行目でタイマー 2 をオンにしている。2 行目では PR2 レジスタに#define fre_1 20 で
設定した値、つまり 20 を入れている。今回の PIC は 8 MHzのクロックで駆動している。
よってのそ 1/4 の 2MHz の周期、0.5μsec の 20 倍、10μsec(100KHz)の周期で PWM を制御
している。3 行目は上でも説明した通り、PWM モードへの切り替えである。4 行目は
#define duty_1 16 で設定した値、つまり 16 を CCPR1L レジスタに代入し Duty = 80%を実
現している。
ここでの問題点は、PR2 に 20 を代入すると設定できるデューティは 20 段階×CCP1CON
の 5,6 ビットで設定できる 4 段階の 80 段階であるということ。
例えば、周波数 100KHz でデューティ比 73%と設定したいとき,
1
2
3
PR2 = 20; //100KHz 設定
CCP1CON = 0x2C; //下位を 0.5 に設定,PWM モード
CCPR1L = 14;
となってしまい、20 の 73%、14.6 を設定できず、プログラム内では 14.5 という近似値で
の設定になり若干の誤差が出来てしまう。
2-5.開発環境について
PIC への開発環境については、プログラムは、FED 社の「フォレスト エレクトリック
デベロップメンツ PIC C コンパイラ WIZ-C」を使用し、PIC への書き込みも同じ FED 社
の「PIC-Key」を使って行った。
PIC-Key は、実装時に PIC に配線をし、回路上に 5 つのピンを出してそこに差し込むと
PIC へ手軽に書き込める便利なツールである。PIC-Key と PIC16F88 の対応表を表:2-2-2 に
示す。
PIC-Key 側のピン番号と信号名
<1> MCLR
<2> VDD
<3> GND
<4> PGDA
<5> PGC
<6> ICD
PIC16F88 側のピン名
RA5
VDD
GND
RB7
RB6
未接続
表:2-5-1.PIC-Key と PIC16F88 の対応表
-6-
PIC-Key の概観を写真:2-5-1、写真:2-5-2 に示す。
写真:2-5-1.PIC-Key 概観 1
写真:2-5-2.PIC-Key 概観 2
-7-
第 3 章 PIC を用いた電子工作
3-1. 8×8LED ドットマトリクス
8×8LED ドットマトリクスは卒業研究の準備段階の第 1 段階として、電子工作としては第
3 作品目として、基板の実装から、プログラムまでを行った。はじめて、表面実装抵抗、
表面実装トランジスタ、UEW 線を使用し狭い基板上に多くの部品が実装されている。PIC
は PIC16F88 を使用した。その回路図を図:3-1-1 に、概観、配線面を写真:3-1-1 から写真:
3-1-2 に示す。
図:3-1-1.8×8LED ドットマトリクス回路図
写真 3-1-1:8×8LED ドットマトリクス概観
-8-
写真:3-1-2.配線面
この回路では、PIC16F88 の RA0∼RA1 を 74HC138AP の IN-A∼IN-C に入力することで 3 ビッ
トの切り替え(000∼111 の 7 種類)を行い、74HC138AP につながる P 型トランジスタの開閉
をすることで LED マトリクスのダイナミック点灯の制御をしている。ダイナミック点灯と
は 7 つあるトランジスタの内、1 つだけをオンさせ、その位置の LED を点灯させる。それ
を高速で切り替えることで人間の目には常に点灯しているかのように見えるが実際は 1 箇
所しか点灯しておらず、その消費電力は全点灯より格段に少なくなる。
PIC の PORTB は LED マトリクスの ROW 側に接続されているのと共にのに BCD-SW のにも接
続されている。この BCD-SW よりモード切替と表示文字列の切替や表示スピードを制御を
行うことができる。
表示文字列は 4 つ。
1.0∼16 の数字
2.電子・光システム工学科 川越 勇輔
3.Marry X'mas メリークリスマス
4.A HAPPY NEW YEAR 2008 あけましておめでとうございます
モードは 4 つ。
モード 1:切り替え表示
モード 2:点滅表示
モード 3:左スクロール
モード 4:右スクロール
8×8 ドットマトリクスの駆動時の写真を以下の写真 3-1-3 から写真 3-1-6 に示す。
写真:3-1-3.「1∼16 の数字」表示
-9-
写真:3-1-4.「電子・光システム工学科 川越 勇輔」表示
写真:3-1-5.「Marry X'mas メリークリスマス」表示
写真 3-1-6:「A HAPPY NEW YEAR 2008 あけましておめでとうございます」表示
-10-
3-2. LCD タイマー
LCD タイマーでは、PIC16F648A を使用し、回路実装、回路 LCD 表示機の表示制御、プロ
グラム、ケーシングまでを行った。LCD タイマーの回路図を図:3-2-1 に、概観を写真:32-1 に示す。
図:3-2-1. LCD タイマー回路図
写真:3-2-1.LCD タイマー概観
-11-
LCD タイマーの回路では単三電池から5 V を作り出す際に LMC555(以下、555)を使用し
ている。555 に 4.7KΩ と 3.3KΩ の抵抗を接続し Duty 約 70%を、これらの抵抗と 330pF と
0.1μF を接続して周波数約 390KHz を作り出している。実測値を表:3-2-1 に示す。
周期
High 期間
LOW 期間
周波数 (1/ 周期 )
Duty
3.85µsec
2.56µsec
1.29µsec
258.7KHz
約 66 %
表:3-2-1.LMC555 駆動実測値
ここで、出力された Duty はトランジスタの開閉制御を行っている。また、ショットキー
ダイオードはトランジスタがオフの時、インダクタンスに電流を流し、オンの時は出力側
から電流を逆流させないために接続している。S81350 は 3 端子レギュレータで 5V を安定
して出力するものである。5V ラインは LCD 表示機の電源に接続されていると共に PIC の電
源にも供給されている。
また、ブザーのスイッチングには NchMOSFET を使っている。これは、プログラミングで
MOS のゲートが接続されている RA3 を High にすることで MOSFET がオンになり、GND と導通
しブザーがなる。
4 つのスイッチはスタートスイッチ、リセットスイッチ、モードスイッチ、アジャスト
スイッチに分かれている。スタートスイッチはその名の通り、ストップウォッチのスター
トを制御する。スタートされた状態でもう 1 度スタートボタンを押すとカウントアップが
ストップされ、ストップスイッチの役割をする。リセットスイッチはカウントアップされ
た値をリセットし、0 に戻し、モードスイッチはストップウォッチと時計、時刻合わせの
3 種類のモード切替を行う。アジャストスイッチは時刻あわせを行う。アジャスト時にス
タートボタン、リセットボタンはアップ、ダウンボタンになる。以下に 3 種類のモードと
その駆動している写真を以下の写真:3-2-2 から写真:3-2-4 示す。
写真:3-2-2. ストップウォッチモード
-12-
写真:3-2-3.時計モード
写真:3-2-4.時刻合わせモード
-13-
第 4 章 DC-DC コンバータの原理と設計指針
4-1.DC-DC コンバータの原理
DC-DC コンバータには、入力電圧より低い出力電圧を出す降圧型、入力電圧より高い出
力電圧を出す昇圧型、入力電圧と出力電圧の極性を反転させる反転型がる。ここでは、今
回研究で設計、製作した昇圧形 DC-DC コンバータについて述べる。
先にも述べた通り、昇圧型 DC-DC コンバータは入力電圧より高い出力電圧に変換する。
電子スイッチ、ダイオード、インダクタンスで構成している。
昇圧型 DC-DC コンバータの基本回路を図:4-1-1 に示す。
図:4-1-1.基本回路
昇圧形コンバータでは、入力電源 V1 にインダクタンス L1 が直列に接続されている。電子
スイッチ SW は L1 の片側を GND に落とす形で使われている。ダイオード D1 は SW がオフの
時にインダクタスに電流を流す経路になると共に、SW がオンのときには出力側から電流
が逆流するのを防ぐ目的がある。コンデンサ C1 は出力電圧 Vout が短時間に大きく変動す
るのを防ぐフィルタ素子である。
スイッチがオンの時の回路図を図:4-1-2 に示す。SW がオンのとき(この時の時間を Ton
とする)、電流はインダクタンス L1、SW を経由して GND に落ちる。このとき、インダク
タンス L1 には入力電圧が直接かかることになる。したがって、Ton 期間の終期には IL は
ΔIon =VinTon/L(式 1)だけ増加する。ダイオード D1 へは逆方向なので電流は流れない。
SW がオフになるとインダクタンス L1 に流れる電流は急変出来ないので、Ton 時のインダ
クタンス電流の方向へ電流を流すことになる。
図:4-1-2.SW がオン時の回路図
図:4-1-3.SW がオフの時の回路図
-14-
次に SW がオフ時の回路図を図:4-1-3 に示す。ダイオードの順電圧は無視して 0 とする。
オフ期間(Toff とする)に電流が流れる方向は右向きでインダクタンスにかかる電圧 V は V
= Vin
Vout(式 2)で表される。したがって IL は ΔIoff = (Vin
Voff)Toff / L1(式 3)
となり減少することになる。定常状態において、1 周期ごとに波形の増減はないので
ΔIon + ΔIoff = 0(式 4)が成り立ち、式 1 と式 3 を式 4 に代入し計算すると Vout =
Vin / 1-D(式 5)が求まる。この式から、時比率 D を可変することで幅広い範囲の入力電圧
より高い出力電圧を得ることが出来る。
インダクタンス電流と電圧の時間変化を図:4-1-4 に示す。
VL
Vin
0
Vin-Vout
t
IL
Ton
Toff
T
t
0
図:4-1-4.インダクタンスの電圧・電流波形
4-2. 6V-24V、DC-DC コンバータの設計指針
今回の 6V-24V、DC-DC コンバータを設計するにあたり、まず駆動させる VFD の仕様を説
明する。VFD の駆動には、VFD 自体を駆動させる 24V とロジックを動かす 5V を入力させる
必要がある。VFD の絶対最大定格、推奨動作条件、標準動作における電気的特性をそれぞ
れ表:4-2-1 から表:4-2-3 に示す。(VFD 仕様書より必要部分抜粋)
項目
電源電圧
記号
Vcc1
Vcc2
最小
0.3
-0.5
最大
7
28.8
単位
Vdc
Vdc
表:4-2-1.絶対最大定格
(Vcc1 はロジック回路用、Vcc2 は VFD 駆動用電源)
項目
電源電圧
記号
Vcc1
Vcc2
最小
4.5
21.6
標準
5
24
表:4-2-2.推奨動作条件
-15-
最大
5.5
26.4
単位
V
V
項目
電源電流
記号
Icc1
Icc2
-
消費電力
最小
-
-
-
標準
0.2
0.6
15.4
最大
0.3
0.9
23.1
単位
A
A
W
表:4-2-3.標準動作における電気的特性
これらの駆動条件により、DC-DC コンバータの設計指針を決定する。第 1 に仕様を決定
した。それを、表:4-2-4 に示す。
出力電圧
出力電流
入力電圧
周波数
Vout
Iout
Vin
Fs
24V
0.6A
6V
10KHz~100KHz
表:4-2-4.仕様決定
出力電圧は VFD 駆動の標準電圧 24V、電流も同じ標準電流の 0.6A、入力電圧は市販の単
一電池 4 本を使用し、6V とした。周波数は回路に BCD-SW を取り付けることで可変できる
ようにし、可変範囲は 10KHz から 100KHz とした。
次に、条件の仮定を行う。表:4-2-5 に示す。
効率
電流リプル率
90 %
0.3
η
K
表:4-2-5.条件の仮定
今回の 6V-24V、DC-DC コンバータはスイッチ素子として MOSFET を使うことから、効率
を 90%とした(トランジスタなら 80%)。仮定した効率から各部の電流を増加させて、設
計の精度を上げる。
VS(Ton 時の電圧)と VF(D1 順方向電圧)が存在するときは(式 5)で示される Duty と電圧
の関係式が(式 4−1)に変形される。
D
Vout+Vs-Vin
(4-1)
Vout+Vf-Vs
同様に(式 1)で示される ΔIon(=ΔIL)が(式 4−2)に変形される。
IL
Vin-VS
L1
Vin-VS
L1
Ton
DTs (4-2)
ここで、IL に対するコイル電圧の変動 ΔIL の割合 K を定義すると(式 4−3)の様になる。
K
K
IL
IL
Vin-VS
L1 IL
-16-
DTs (4-3)
この時コイルに流れる電流の最大値が(式 4−4)となるので、この電流に耐えることが
出来るコイルを選ばなくてはならない。
ILmax
IL (1+K/2)
(4-4)
逆に、コイルの電流値からコイルのインダクタンスを決めることができる(式 4−5)
Vin-VS
K IL
L1
DTs (4-5)
この時、ダイオードを流れる平均電流とコンデンサを流れる平均電流はそれぞれ(式 46、(式 4-7) で決定できる。
IDRMS
ICRMS
(1-D)(1+K ・ K/12)
IL
IDRMS
2
ー
Iout
(4-6)
2
(4-7)
これらの式を元に、DC-DC コンバータの各パラメータを計算してみる。最初に、基本パ
ラメータを計算により求めた。結果を表:4-2-6 に示す。
周期
1 /Fs
Ton 時の電圧
VS
D1 順方向電圧
VF
D( デューティー ) 式 (4 - 1)
10µs~100µs
0V
0.5V
74%
表:4-2-6.基本パラメータの計算結果
与えられた条件から、D(デューティサイクル)を求めた:(式.4−1 参照)。
Ton 時の電圧(Vs)は、MOS-FET を使うことから 0V とした。
次にインダクタ電流から、インダクタの値を求める。Ton とリプル率からインダクタの
ILmax を求めた(式.4-4 参照)。結果を表:4-2-7 に示す。
IL(ave)
Ilmax
L1
Vout ・ Iout/(ИVin)
式 (4 - 4)
式 (4 - 5)
表:4-2-7.インダクタ電流の計算
-17-
2.67A
3.07A
55µH
IL(ave)は平均電流、ILmax は最大電流(前項、図:4-1-4 参照)である。計算の結果、イ
ンダクタの値は 55μH になったので、最初はかなりの余裕をもって 1mH 程度のインダクタ
を使用する。なお、L1=1mH としたことにより電流リプル率の再計算を行った。式.4-3,式
4-4 を使い再計算した結果、電流リプル率は 16∼17%となった。
次に、出力コンデンサの計算を行う。式.4-6 および式.4-7 を参照して計算した結果を表:
4-2-8 に示す。
IDRMS
ICRMS
式 (4 - 6)
式 (4 - 7)
1.38A
2.39A
表:4-2-8.出力コンデンサの計算
これらの設計を元に、L1 = 1mH、周波数 f = 100KHz、Duty = 74%で DC-DC コンバータを
設計する。 -18-
第 5 章 6V
24V DC-DC コンバータについて
5-1.回路説明
6V-24V:DC-DC コンバータの回路図を図:5-1-1 に示す。
図:5-1-1.DC-DC コンバータ回路図
回路図は、前項の計算をもとに単一電池 4 本を使用し、6V 電源で駆動する DC-DC コンバー
タの回路図である。インダクタは 5A,1mH の SC-05-100 を使用した。負荷抵抗を 40Ω にし、
24V、0.6A の出力を目指す。N チャンネル MOSFET のゲートは PIC16F88 の RB3 に接続する。
PIC16F88 の RB3 への接続は、CCP モジュールの PWM(Pulse Width Modulation:パルス幅変
調)モードを行うためである(第 2 章、2-5: PWM モードについて参照)。出力周波数は
10KHz∼100KHz,Duty は 75%∼90%である。初め、ショットキーダイオードは定格 40V、2A
の SS2040FL を使用する予定だった。しかし、計算の結果 3A 程度まで電流が流れるため、
HRF503A(35V,5A)を使用することにした。また、N チャンネル MOSFET は定格電流が直流で
8A、交流で 32A の PA2753GR を採用した。また出力側に電流計をとりつけ、出力電流を測
定できる様する。10KΩ の可変抵抗は 24V の電圧を PIC の電源電圧 5V 以下にするために取
り付けている。抵抗分割した電圧を PIC のアナログポートへ入力し A/D 変換を行う(第 2
章、2-4:A/D 変換について参照)。
ショットキーダイオード:SS2040FL を写真:5-1-1、N チャンネル MOSFET:PA2753GR を写
真:5-1-2 に示す。
写真:5-1-1.ショットキーダイオード SS2040FL
-19-
写真:5-1-2.N チャンネル MOSFET
PA2753GR
この DC-DC コンバータと表示部の LCD 表示機の回路を合成した回路を図:5-1-2 に示す。
図:5-1-2.全体の回路図
LCD 表示機は 4 行、20 文字の LED バックライト内臓のものを使っている。バックライト
側の回路には、CDS とよばれる光量により抵抗値の変化するものを使用しているので、暗
くなると自動でバックライトが点灯する。
5V ラインは 3 端子レギュレータを使用し 5V を作り出し、それを PIC、LCD 表示機等に供
給している。
BCD-SW は 5V ラインとプルアップ抵抗をへて、PIC へ接続されている。BCD-SW の用途は
それぞれのモードで PIC の PWM を制御し、周波数の可変、Duty の可変を目的としている。
5-2.プログラムの流れ
ここで、PIC に搭載したプログラムの流れについて説明する。
最初に初期化を行う。ここでは、内部クロック 8MHz、入出力ポート、アナログポート
や PWM モードの設定を行う。A/D 変換、PWM のプログラムは 2 章の 2-3、2-4 を参照するこ
と。
メインループ内では、switch 文で BCD-SW を読み、各 case にはそれぞれ周波数が割り振
られている(図:5-3-1 参照)。Case0 には周波数 100KHz を、そこから case 1,case 2 と
10KHz づつ減らしていく。さらに、case 文の中で LCD 表示機にその値を表示する関数と、
A/D 変換を行う関数へ飛ぶ。この関数の中で、出力電圧を A/D 変換する。この時点では電
圧表示ではなく、単に PIC の電源電圧と比較された値を表示している。
-20-
1
2
3
4
5
6
7
case 0:
CCPR1L = duty_1; //Duty = 80 パーセント
syu = 100;
dut = 80;
ad_flag++;
ad1_flag++;
kaisu1_flag = 1;
8
9
10
11
12
13
14
15
if(kaisu0_flag != 1){ //kaisu0_flag が 1 以外なら
mode0hyoji();
//mode0hyoji 関数へ
kaisu0_flag = 1; //kaisu0_flag を 0 にする
}else if(kaisu0_flag == 1){ //kaisu0_flag が 1 なら
bcdhyoji(syu,dut);
//周波数表示
adinhyoji();
//入力側 A/D 変換へ
adouthyoji();
//出力側 A/D 変換へ
}
16
break;
図:5-1-3.プログラム(case 0 の場合)
かなり単純なプログラムであるが、PWM モードを設定し、出力波形を測定した結果、正
確な波形が出ている。その結果は、次項で説明する。
5-3.回路評価
完成した回路の評価をする。
まず、回路の GND と N チャンネル MOSFET のゲートの間の波形をオシロスコープで測定
し、周波数とデューティがプログラム通り出力されているか測定を行った。結果を表:53-1 から表:5-3-4 に示す。
設定周波数 (KHz) 測定周波数 (KHz) 周期
100
95.22
10.54
90
86.95
11.42
80
76.92
13.24
70
68.98
14.55
60
58.81
17.1
50
48.77
20.55
40
39.2
25.4
30
32.78
30.8
20
19.8
51
10
9.94
100.8
LOW 期間 (µs) Duty(%)
3
71.54
3.28
71.28
4.46
66.31
4.15
71.48
4.85
71.64
5.25
74.45
6.85
73.03
7.9
74.35
12.9
74.71
25.2
75
表:5-3-1.Duty = 75%の場合
-21-
設定周波数 (KHz) 測定周波数 (KHz)
100
95.24
90
86.96
80
76.92
70
68.98
60
58.83
50
48.79
40
39.22
30
32.79
20
19.8
10
9.95
周期
10.58
11.54
13.35
14.7
17.15
20.55
25.4
30.6
50.7
102.6
HIGH 期間 (µs) Duty(%)
8.06
76.18
8.8
76.26
10.55
79.03
11.35
77.21
13.5
78.72
16.5
80.29
20.3
79.92
24.7
80.72
40.9
80.67
81.8
79.73
表:5-3-2.Duty = 80%の場合
設定周波数 (KHz) 測定周波数 (KHz)
100
95.24
90
86.96
80
76.92
70
68.98
60
58.83
50
48.79
40
39.22
30
32.79
20
19.8
10
9.95
周期
10.58
11.54
13.1
14.7
17.05
20.4
25.4
30.6
51.3
101.4
HIGH 期間 (µs) Duty(%)
8.48
80.15
9.32
80.76
10.8
82.44
11.8
80.27
13.6
79.77
16.6
81.37
21
82.68
25.7
83.99
42.6
83.04
86.2
85.01
表:5-3-3.Duty = 85%の場合
-22-
設定周波数 (KHz) 測定周波数 (KHz)
100
95.24
90
86.96
80
76.92
70
68.98
60
58.8
50
48.76
40
39.2
30
32.78
20
19.8
10
9.95
周期
10.58
11.54
13.5
14.7
17.1
20.4
25.65
31.4
50.7
101.4
HIGH 期間 (µs) Duty(%)
8.92
84.31
9.82
85.1
11.5
85.19
12.6
85.71
14.8
86.55
18.4
90.2
22.55
87.91
27.4
87.26
45
88.76
91.2
89.94
表:5-3-4.Duty = 90%の場合
次に、完成した回路に 50Ω、30Ω のセメント抵抗を接続し、回路の評価を行った。24V
、0.6A の条件は 40Ω の負荷に相当し、本当ならば 40Ω の抵抗があればよかったのだが、
無かったため 50Ω と 30Ω の抵抗に対してそれぞれ電圧の測定を行った。50Ω の抵抗負荷
に対して各周波数、各デューティでの測定結果を表:5-3-5 から表:5-3-8 に示す。
周波数 (KHz) 出力電圧 (V)
100
12.36
90
12.12
80
11.98
70
11.86
60
11.5
50
10.8
40
10.26
30
9.73
20
8.45
10
6.95
表:5-3-5.Duty = 75%の場合
-23-
電力 (W)
3.06
2.94
2.87
2.81
2.65
2.33
2.11
1.89
1.43
0.97
測定された最高電圧は目標の約 5 割、12V 程度であった。なお、出力電流は 0.3A、本来 5V
を出力しなけらばならない 5V ラインでは 3.9V が出力された。
次に、デューティを 80%にして測定した。
周波数 (KHz) 出力電圧 (V)
100
11.9
90
11.75
80
11.49
70
11.2
60
10.74
50
10.02
40
9.43
30
8.61
20
7.5
10
6.33
電力 (W)
2.83
2.76
2.64
2.51
2.31
2.01
1.78
1.48
1.13
0.8
表:5-3-6.Duty = 80%の場合
私の予想では、デューティ比を上げると電圧も増加すると考えていた。しかし、測定結
果では、電圧は増加せず、逆に減少した。
75∼80%の測定で電圧は減少したが、念のため 85%、90%でも測定を行った。表:5-3-7
から表:5-3-8 に示す。
周波数 (KHz) 出力電圧 (V)
100
10.85
90
10.65
80
10.38
70
10.22
60
9.54
50
9.01
40
8.47
30
7.92
20
6.96
10
5.84
表:5-3-7.Duty = 85%の場合
-24-
電力 (W)
2.35
2.27
2.15
2.09
1.82
1.62
1.43
1.25
0.97
0.68
周波数 (KHz) 出力電圧 (V)
100
9.61
90
9.59
80
9.27
70
8.94
60
8.4
50
7.63
40
7.5
30
7.1
20
6.06
10
5.16
電力 (W)
1.85
1.84
1.72
1.6
1.41
1.16
1.13
1.01
0.73
0.53
表:5-3-8.Duty = 90%の場合
Duty 比 80、90%の時も同様に電圧の減少は止まらない。電流は約 0.22A 程度であった。
次に 30Ω のセメント抵抗を接続して測定を行った。表:5-3-9 から表:5-3-12 に示す。
周波数 (KHz) 出力電圧 (V)
100
9.61
90
9.56
80
9.51
70
9.48
60
8.81
50
8.25
40
7.99
30
7.59
20
6.72
10
5.63
表:5-3-9.Duty = 75%の場合
-25-
電力 (W)
3.08
3.05
3.01
3
2.59
2.27
2.13
1.92
1.51
1.06
周波数 (KHz) 出力電圧 (V)
100
8.5
90
8.52
80
8.16
70
8.14
60
7.76
50
7.25
40
6.9
30
6.48
20
5.8
10
4.91
電力 (W)
2.41
2.42
2.22
2.21
2.01
1.75
1.59
1.4
1.12
0.8
表:5-3-10.Duty = 80%の場合
周波数 (KHz) 出力電圧 (V)
100
8.25
90
8.14
80
7.9
70
7.74
60
7.26
50
6.96
40
6.53
30
6.12
20
5.5
10
4.69
表:5-3-11.Duty = 85%の場合
-26-
電力 (W)
2.27
2.21
2.08
2
1.76
1.61
1.42
1.25
1.01
0.73
周波数 (KHz) 出力電圧 (V)
100
7.08
90
7
80
6.86
70
6.63
60
6.26
50
5.63
40
5.62
30
5.36
20
4.7
10
4.06
電力 (W)
1.67
1.63
1.57
1.47
1.31
1.06
1.05
0.96
0.74
0.55
表:5-3-12.Duty = 90%の場合
これは 30Ω の抵抗接続時に限らず 50Ω の時も同じことが言えるのだが、24V 出力ライ
ンはこれまで示した通り、所定の電圧はまったく出力されていない。5V 出力は同じく 3V
付近が出力されている。LCD 表示機の標準動作電圧は 5V で最小電圧は 4.75V である。その
ため 3V 付近ではまったく表示されず、真っ白なままである。出力電力が 50Ω 負荷でも
30Ω 負荷でも 3W 程度で頭打ちになるので、この回路おいてはこの出力電圧が限界なのか
もしれない。
次に、インダクタを交換して測定を行った。これはインダクタに原因があるかもしれな
いと考えたためで、そのインダクタの種類、定格、回路の出力電圧をに表:5-3-13 示す。
種類
SC-05-100
SC-05-200
SN12-500
SN12-400
定格電流 (A)
5
5
5
5
インダクタンス 出力電圧 (V)
1mH
13.22
2mH
13.64
100µH
14.1
64µH
14.2
表:5-3-13.インダクタと電圧の関係
この測定は、先ほどの結果で一番高電圧を出力した、100KHz,Duty=75%の条件で行った。
出力電圧 24V ラインは上記の通りである。5V 出力は平均して 4V 付近と約 1V 程度増加した。
しかし、目的の 0.6A,24V は出力できず、この 6V-24VDC-DC コンバータでは VFD はおろ
か、LCD 表示機も表示しないことになり、目的を達しなかった。原因は後ほど説明したい。
-27-
第 6 章.12V-24V DC-DC コンバータについて
6-1.12V-24V DC-DC コンバータの設計と製作(1 号機)
6V-24V の DC-DC コンバータでは 24V を出力できなかった。
そこで、DC-DC コンバータ回路と LCD 表示機の回路で、電源を個別に用意し測定を行う
こととした。さらに、6V で 12V 付近を出力したということで、DC-DC コンバータの回路に
は 2 倍の 12V なら 24V を出力できるのではないかと考え、12V を入力電源とした。
設計指針を表:6-1-1 に示す。
出力電圧
Vout
24V
出力電流
Iout
0.6A
入力電圧
Vin
6V
周波数
Fs
10KHz~100KHz
効率
90 %
η
電流リプル率
K
0.3
Vout ・ Iout/(ИVin)
IL(ave)
2.67A
‐
Ilmax
3.07A
‐
55µH
L1
周期
1 /Fs
10µs~100µs
VS(FET 電圧 )
Ton 時の電圧
0V
D1 順方向電圧
0.5V
VF( ダイオード電圧 )
D( デューティー )
‐
74%
回路図を図:6-1-1 に示す。
表:6-1-1. 1 号機設計指針
図:6-1-1.12V-24V DC-DC コンバータ 1 号機
-28-
電源が 2 個になり、ショットキーダイオードをは定格電圧 35V、定格電流 5A の HRF503A
を使用した。N チャンネル MOSFET は最大電圧 60V、25A の 2SK2232 を使用した。また、イ
ンダクタは取り外しできるようにコネクタを接続してある。それ以外はすべて 6V-24V
DC-DC コンバータと同じ回路である。HRF503A を写真:6-1-1、2SK2232 を写真:6-1-2 に示
す。
写真:6-1-1.ショットキーダイオード HRF503A
写真:6-1-2.Nch MOSFET 2SK2232
デューティは計算では 50%だったが、BCD-SW の切り替えにより 50%から 5%づつ増加
させ、85%までのデューティを出力できるようにしてある。また、周波数は 1 番安定して
いた 100KHz を採用した。
-29-
6-2.1 号機の回路評価
まず、インダクタを SC-05-200(前章、表:5-3-13.インダクタと電圧の関係参照。2mH)
を接続し、セメント抵抗 50Ω、30Ω を負荷抵抗として接続して測定した結果を表:6-21、表:6-2-2 に示す。
Duty(%)
50
55
60
65
70
75
80
85
電圧 (V)
19.01
21.03
21.23
22.12
22.65
22.8
21.65
20.48
電流 (A)
0.38
0.42
0.43
0.45
0.45
0.45
0.41
0.4
電力 (W)
7.23
8.85
9.01
9.79
10.26
10.4
9.37
8.39
表:6-2-1.50Ω 付加の電圧、電流、電力値
Duty(%)
50
55
60
65
70
75
80
85
電圧 (V)
16.92
17.87
17.87
18
18.3
18.43
18.89
18.1
電流 (A)
0.56
0.58
0.58
0.6
0.61
0.62
0.6
0.58
表:6-2-2.30Ω 付加の電圧、電流、電力値
-30-
電力 (W)
9.54
10.64
10.64
10.8
11.16
11.32
11.89
10.92
次に、インダクタを SN12-500(前章、表:5-3-13.インダクタと電圧の関係参照。
100mH)、セメント抵抗 50Ω、30Ω を負荷抵抗として接続して測定した結果をに表:6-23、表:6-2-4 示す。
Duty(%)
50
55
60
65
70
75
80
85
電圧 (V)
19.13
21.67
22.99
23.5
24.93
26.16
26.68
25.15
電流 (A)
0.38
0.42
0.43
0.46
0.49
0.5
0.51
0.5
電力 (W)
7.32
9.39
10.57
11.05
12.43
13.69
14.24
12.65
表:6-2-3.50Ω 付加の電圧、電流、電力値
Duty(%)
50
55
60
65
70
75
80
85
電圧 (V)
17.01
18.5
18.64
19.36
19.87
19.55
18.84
16.8
電流 (A)
0.58
0.62
0.62
0.62
0.63
0.62
0.62
0.54
電力 (W)
9.64
11.41
11.58
12.49
13.16
12.74
11.83
9.41
表:6-2-4.30Ω 付加の電圧、電流、電力値
平均的に電圧が上昇し、電流も上昇していた。また、Duty85%のときは全てで電圧が下がっ
た。
インダクタは SC-05-200 よりも SN12-500 の方が、出力電圧、出力電流共に高かった。こ
の結果より、インダクタを小さくした方が電圧が上がるのではないかと考えて、測定して
みた。測定に使用したインダクタは 3 種類。測定結果も共に表:6-2-5 に示す。
-31-
この時の測定条件は周波数 100KHz、Duty = 80%である。
種類
SN10-500
SN8D-500
SN8S-500
定格電流 (A)
3
2
2
インダクタンス 出力電圧 (V) 出力電流 (A)
110µH
25V
0.5
125µH
25V
0.5
72µH
25V
0.51
表:6-2-5.インダクタを小さくしたときの出力電圧と出力電流
これらの測定結果により、私が採用したのは、
1
2
3
周波数
100KHz
Duty
80%
インダクタ SN12-500(5A,100μH)
である。これを回路に実装、および PIC にプログラミングし、VFD に接続して駆動させて
みた。その写真を写真:6-2-1 から写真:6-2-3 に示す。
写真:6-2-1.実験の様子
写真:6-2-2.LCD の表示
-32-
写真:6-2-3.VFD の駆動
VFD は駆動したが、出力電流は 0.42A 程度であった。しかし、まず VFD を駆動するとい
う目的は達した形になった。なお、VFD が表示しているのは綿森准教授が作成した「南風
物語」である。
A/D 変換値は、回路図にある通り可変抵抗で電圧を分割してあるため、かなり低い値が
出力されている。
この回路での利点は、LCD 表示機側と DC-DC 側の電源が別になっているため、LCD 側に
は安定的に電圧がかかり、先程の回路のように 24V ラインの影響を受けて 5V ラインの電
圧が下がり LCD が表示されないというようなことは起きなかったことである。逆に、問題
点は 12V と 6V という 2 つの電源を使用していることである。ケーシング時にかさばるの
と、電源スイッチが 2 個必要になるため、次は、12V 電源のみで VFD および、LCD 表示機
を駆動させることを目的に設計を行った。また、単二 8 本の 12V でも駆動できるか検討し
てみることにした。これは、やはり少しでもカサや重さを減らし、持ち運びしやすいよう
にするためである。
-34-
6-3.12V-24V の DC-DC コンバータ 2 号機の回路
2 号機の設計と製作にあたり、前項までの通り、設計指針を示したいが 1 号機と同じな
ためここでは割愛する。
次に回路図を図:6-3-1 に示す。
図:6-3-1.DC-DC コンバータ 2 号機の回路
回路図で大きく変わったところは先ほど説明した通りである。また、A/D 変換を出力側
だけでなく、入力側にも用い入力電圧も測ることにした。
回路の概観を写真:6-3-1、写真:6-3-3 に示す。
写真:6-3-1.2 号機回路概観 1(LCD 表示部) 写真:6-3-2.2 号機回路配線面
-35-
写真:6-3-2.2 号機回路概観(DC-DC コンバータ部分)
2 号機の設計にあたり 1 号機の設計を大きく変えることは無かった。しかし、12V 電源
を 5V に変換する箇所を 3 端子レギュレータを使用するのではなく、DC-DC コンバータ
CBM-0505SF を使用した。これを以下の写真:6-3-3 に示す。この DC-DC コンバータを採用
した理由は 3 端子レギュレータでは 12V から 5V へのダウンコンバード時に大きな電力ロ
スを生じるからである。
写真:6-3-3.CBM-0505SF
6-4.2 号機のプログラムの流れ
まず、初期化。初期化では PIC の内部発振の設定を行う。内部発振は 8MHz である。次
に、アナログポートを設定する。今回使用するアナログポートは入力電圧の A/D 変換に使
用する AN0(RA0),出力電圧の A/D 変換に使用する AN1(RA1)である。次に A/D 変換の設定を
行う。
ADCON0 で A/D 変換のクロックを指定し、A/D 変換をするチャンネル(ポート)を選択する。
ADCON1 では、変換値を ADRESH,ADRESL に右詰めか、左詰めの設定を行う。今回は、右詰め
で行う。次に行ったのは、タイマー 2 をオンにして、PWM モードを設定した。最初は
100KHz、Duty = 80%を設定した。
メインループでは、switch 文で BCD-SW を読み、各 case では、周波数 100KHz で Duty を
75%から 85%まで可変できるようにしてある。残りの BCD-SW は初期設定のままである。
各 case 文からは LCD 表示機に周波数、Duty を表示させる関数、入出力電圧の A/D 変換を
-36-
し、それを LCD 表示機に表示させる関数に飛ぶことになる。 A/D 変換のプログラムでは最初に A/D 変換を行うチャンネルを設定する。その後、A/D
変換を行うことになるが、入力側と出力側では変換する過程が異なっている。入力側では、
A/D 変換を 3 回行い、その平均を LCD 表示機に出力している。出力側では、電圧が大きい
ので変換された値も大きくなる。WORD 型に型キャストされた変数の最大値を超えてしま
う恐れがあるので、変換された値を 1/4 し、その値を 4 回足すことで平均をとっている。
ADRESH,ADRESL に代入された A/D 変換値は、電圧変換されて電圧として LCD 表示機に表示
されるべきであるので電圧への変換を行った。その過程は次項で説明する。また、入力側
の電圧が 3.5V 以下になると NchMOSFET への Duty を 0%にしてドレイン、ソース間を強制
遮断するようにしいる。なぜ、3.5V で強制遮断かという理由も後ほど説明したい。
6-5.A/D 変換値の電圧変換
ADRESH,ADRESL には 10 ビットのデータが格納されている。それを、電圧変換するには可
変抵抗を調整して、PIC に入力される電圧を調整しなければならない。なぜなら、PIC の
A/D 変換は PIC の電源電圧を参照してその値に比例した値を返すので、A/D 変換できない
恐れがある。さらに、PIC の電源電圧以上を入力してしまうと PIC が壊れてしまうからで
ある。 手順は、計りたい電圧よりも少し高めの電圧を回路に入力し、その値が 10 ビッ
トの最大値 1024 に近づくようにし、そこから電圧を少しずつ減らしていきながら、その
値をメモし、グラフ化し、切片と傾きを求める。ここで可変抵抗を微調整する必要がある。
それは、WIZ-C では少数点以下が使えないため、切片と傾きを出来るだけ整数に近づける
必要があるからである。また、表示される電圧も少数点以下が切り捨てられる。たとえば、
24.35V の電圧を A/D 変換しそれを表示させたいときでも、小数点以下が切り捨てられるた
め,LCD 表示機に表示
される値は 24V である。そのため、この過程で電圧をあえて 1000 倍し、それを 1 桁ずつ
分解し、小数点を本来の位置を打ち、表示させることにした。これを、入力、出力とも行っ
た。まず、出力側 24V の過程の計算結果を表:6-5-1 に示す。
A/D 値
33
136
237
340
441
542
644
740
850
950
電圧 *1000
1000
4000
7000
10000
13000
16000
19000
22000
25000
28000
電圧 [V]
1
4
7
10
13
16
19
22
25
28
計算から求めた電圧 [mV]
980.29
4018.76
6998.23
10036.7
13016.16
15995.63
19004.6
21836.58
25081.54
28031.51
差 (%)
1.97
-0.47
0.03
-0.37
-0.12
0.03
-0.02
0.74
-0.33
-0.11
表:6-5-1.出力側 A/D 変換→電圧計算 1
24V 出力時の計算である。余裕を持って 28V から測定を行い、1024 段階の 950 から始め
た。その理由として、1024 段階中の 1024 にしてしまうとそれ以上の電圧が出力されてし
まうと PIC に 5V 以上の電圧がかかり、壊れてしまうからである。
計算での誤差はほぼ無く、計算では正確に電圧に変換されている。しかし、先にも述べ
たように WIZ-C では小数点以下が使用できないため、切片と傾きを整数に近づけなければ
いけない。表:6-5-1 での切片、傾きを求めると、傾き = 29.5、切片 = 6.8 とあまり整数
に近くない。よって可変抵抗を微調整することで整数値に近づけていった。
最終の計算結果を表:6-5-2、グラフをグラフ:6-5-1 に示す。
-37-
A/D 値
33
134
233
333
433
533
634
734
834
934
電圧 *1000
1000
4000
7000
10000
13000
16000
19000
22000
25000
28000
電圧 [V]
1
4
7
10
13
16
19
22
25
28
計算から求めた電圧 [mV]
998.94
4025.81
6992.75
9989.66
12986.56
15983.47
19010.34
22007.25
25004.16
28001.06
差 (%)
0.11
-0.65
0.1
0.1
0.1
0.1
-0.05
-0.03
-0.02
0
表:6-5-2.出力側 A/D 変換→電圧計算 2
AD 変換値と電圧 (1000 倍 ) の関係
30000
27500
25000
電圧 (1000 倍 )
22500
20000
17500
15000
12500
10000
7500
5000
2500
0
0
250
500
750
1000
A/D 値
グラフ:6-5-1.出力側 A/D 変換値の電圧(1000 倍)の関係
初期の測定に比べ、A/D 変換値を下げていった。その結果切片 = 9.96、傾き = 29.97
とかなり整数に近づいた。誤差も少なくなった。グラフはきれいな直線となった。
-38-
実際の結果を写真:6-5-1 に示す。
写真:6-5-1.出力側 A/D 変換値→電圧変換
出力側であるので、LCD 表示機 4 行目「OUT VOLTAGE」の 19.03V が A/D 変換値を電圧に変
換したものである。実際の電圧は隣の 19.61V である。
実際には、プログラム中の問題で一定間隔おきに電圧を表示してる。プログラムを以下
に示す。
1
2
adatai = (WORD)ADRESH * 256 + ADRESL;
adatai = 30 * adatai + 10;
//AD 変換値を読む
1 行目では、adatai という変数に A/D 変換値を代入している。それを 2 行目で、計算に
より求めた切片、傾きと掛け合わせることで電圧変換している。切片は 10、傾きは 30 と
した。
次に、同じ過程を入力電圧側で行った。結果を表:6-5-3、グラフ:6-5-1、写真:6-5-2
に示す。
A/D 値
65
132
265
399
531
668
797
930
電圧 *1000 電圧 [V] 計算から求めた電圧 [mV]
1000
1
992.15
2000
2
1998.33
4000
4
3995.69
6000
6
6008.06
8000
8
7990.4
10000
10
10047.82
12000
12
11985.1
14000
14
13982.46
表:6-5-3.入力側 A/D 変換→電圧変換
-39-
差 (%)
0.79
0.08
0.11
-0.13
0.12
-0.48
0.12
0.13
AD 変換値と電圧 (1000 倍 ) の関係
14000
13000
12000
電圧 (1000 倍 )
11000
10000
9000
8000
7000
6000
5000
4000
3000
2000
1000
0
250
500
750
1000
A/D 変換値
グラフ:6-5-1.入力側 A/D 変換値の電圧(1000 倍)の関係
写真:6-5-2.入力側 A/D 変換値→電圧変換
-40-
今回の測定方法は LCD 表示機を動かす電源を外部入力とし、可変抵抗に直接電圧をかけ
て測定を行った。入力電圧は単一、単二ともに 8 本の 12V であるが、今回は単一電池で行っ
た。測定では、1024 段階中の 1024 を 14V に近づけて、順次電圧を下げ、そのつど A/D 変
換値をメモし測定を行った。結果は写真:6-4-2 にある通りである。
写真:6-5-2 では、入力側であるので「IN VOLTAGE」の 11.58V が A/D 変換値から電圧に変
換したものである。電池の+部分と-部分で測定した値が隣である。電圧はほぼ正確に変換
されている。
表:6-5-3 から求めた傾きは 15.018、切片は 15.995 であった。また、グラフも出力側と
同様にきれいな直線となった。
なお、下の「OUT VOLTAGE」は MOSFET のゲート、PIC の RB3、さらに出力側の可変抵抗と
PIC の RA1 を未接続の状態で測定しているのででたらめな値である。
入力側の A/D 変換値を電圧変換するプログラムを以下に示す。
1 adatai = (WORD)ADRESH * 256 + ADRESL;
2
adatai = 15 * adatai + 16;
//AD 変換値を読む
ここも、出力側同様に切片を 16、傾きを 15 として計算を行っている。
6-6.2 号機の VFD 駆動実験
最初に、この 2 号機で実際に表示されている周波数および、Duty を正確に出力している
かどうかをオシロスコープを使用し測定した。その波形を写真:6-6-1 示す。周波数、
Duty 共に基本設定の 100KHz,80%に設定してある。
写真:6-6-1.周波数 100KHz,Duty 80%の時の波形
出力周波数は 95.354KHz、周期は 10.60μsec、HIGH 期間は 8.06μsec であった。
この結果をもとに、つぎは実際の VFD モジュールに接続し VFD が駆動するか実験を行っ
た。なお、入力電圧、出力電圧は LCD 表示機に表示される A/D 変換を行った値を採用する。
実験の様子を写真:6-6-2 から写真:6-6-6 に示す。
-41-
写真:6-6-2.2 号機での VFD 駆動実験 1
写真:6-6-3.2 号機での VFD 駆動実験 2
写真:6-6-4.VFD 駆動
写真:6-6-5.駆動時の LCD 表示部
写真:6-6-6.駆動時の電流計
-42-
VFD は無事駆動した。駆動した VFD には前回同様の綿森准教授の作成した「南風物語」を
表示させた。
出力電圧は目標の 24V をほぼ達成したが、出力電流は目標の 0.6A を達成することは無
く、0.4A∼0.51A であった。
この回路で、入力の A/D 変換値は写真:6-6-5 で示す通り入力電圧が 5V から 6V 付近を指
している。本来、入力電圧は 12V であるはずなので 6V∼7V ほど電圧が低下していること
になる。原因は大電流が流れたことにより電圧が下がったものと考えられる。
このことから、1 号機での失敗は電圧の低下によるものと推測した。実際には測定して
いないが、1 号機の入力電圧 6V では、LCD 表示機側には 3V しかかからず、LCD 表示機の最
小電圧 4.75V を大きく下回り、LCD 表示機は表示されず電圧も目標を達成できなかった。
また、2 号機ではプログラムで入力側の電圧が 3.5V を下回るとその瞬間に Duty を 0%
にしてすべての操作を出来なくしている。これは測定した結果、DC-DC コンバータ CBM0505SF が 5V を作り出す最低入力電圧が 3.5V でそれを下回ると PIC や LCD 表示機が動作し
なくなるためである。1 度でも 3.5V を下回ると、LCD 表示機の全ての表示が消え、電池の
交換を促す次のような文字を表示する。この時の LCD 表示機の画面を写真:6-6-7 に示す。
写真:6-6-7.電池交換表示
6-7.2 号機の回路評価
次に 2 号機の効率測定を行った。今回の測定では電源とスイッチ間の一部を切断し、
0.1Ω の抵抗を接続し、その両端にオシロスコープを接続し、周波数を 100KHz で固定し、
Duty を 75%から順番に 85%まで上げていき、そのつどインダクタにかかる電圧を測定す
ることで電流を求め、入力電力と出力電力を基にして効率の計算を行った。波形は 4 章、
4-1.DC-DC コンバータの原理の「図:4-1-4.インダクタンスの電圧・電流波形」の様な形に
なるはずである。出力側には、付加抵抗として 50Ω の抵抗を接続した。実験の様子を写
真:6-7-1、接続したセメント抵抗 0.1Ω を写真:6-7-2 に示す。
写真:6-7-1.効率測定 1 写真:6-7-2.抵抗 0.1Ω
-43-
測定した周期の波形を写真:6-7-3 に示す。
写真:6-7-3.周波数 100KHz(=周期 10μsec)
写真:6-6-3 の右上にあるのか周期である。周波数 100KHz であるので 10μsec の周期で
波形が変化している。
Duty75%時の測定を写真:6-6-4 から写真:6-6-6 に示す。
写真:6-7-4.Duty75%時の入力電圧と出力電圧 写真:6-7-5.LOW 期間の電流減少波形
1.85A
写真:6-7-6.平均電流測定
-44-
写真:6-7-5 の右上の値が「Low]期間である。
波形は周期のうち「High]期間は電流が上昇し、「Low」期間共振しながら減少しているこ
とを示している。理由はわからないが、4 章、4-1.DC-DC コンバータの原理の「図:4-1-4.
インダクタンスの電圧・電流波形」のようにきれいな波形にはならなかったが基本的に同
じ動作をしていることがわかった。
入力
出力
効率 (%)
電圧 (V)
6.2
22.75
電流 (A)
1.85
90
電力 (W)
11.47
10.35
表:6-7-1.Duty 75%の時の効率
効率測定の結果を表:6-7-1 に示した。効率の計算はオシロスコープで測定した値と、
LCD 表示機に表示された A/D 変換された電圧をもとに行った。なお、出力側では、付加抵
抗 50Ω を接続しているので、それをもとに出力電力を求めた。
次に、Duty = 76%の時の測定を行った。写真を写真:6-7-7 から写真:6-7-8 に示す。効
率の計算結果を表:6-7-2 に示す。
写真:6-7-6.Duty76%時の入出力電圧 写真:6-7-7.LOW 期間の電流減少波形
1.85A
写真:6-7-8.平均電流測定
-45-
入力
出力
効率 (%)
電圧 (V)
6.15
22.85
電流 (A)
1.85
90
電力 (W)
11.38
10.44
表:6-7-2.Duty 76%の時の効率
結果は、ほぼ変わらずに効率も 90%となった。
次に,Duty = 78%の時の測定データを写真:6-7-9 から写真:6-7-11、効率計算を表:6-72 に示す。
写真:6-7-9.Duty78%時の入出力電圧 写真:6-7-10.LOW 期間の電流減少波形
1.90A
写真:6-7-11.平均電流測定
-46-
入力
出力
効率 (%)
電圧 (V)
6.1
21.59
電流 (A)
1.9
80.44
電力 (W)
11.59
9.32
表:6-7-3.Duty 78%の時の効率
次に,Duty = 79%の時の測定データを写真:6-7-9 から写真:6-7-11 に、計算結果を、表:
6-7-3 に示す。
写真:6-7-12.Duty79%時の入出力電圧 写真:6-7-13.LOW 期間の電流減少波形
1.95A
写真:6-7-11.平均電流測定
-47-
入力
出力
効率 (%)
電圧 (V)
5.93
21.21
電流 (A)
1.95
78
電力 (W)
11.56
9
表:6-7-3.Duty 79%の時の効率
次に,Duty = 80%の時の測定データを写真:6-7-9 から写真:6-7-11 に、計算結果を、表:
6-7-3 に示す。このモードは基本モードで、VFD の駆動は基本このモードで行う。
写真:6-7-12.Duty80%時の入出力電圧 写真:6-7-13.LOW 期間の電流減少波形
2.45A
写真:6-7-11.平均電流測定
-48-
入力
出力
効率 (%)
電圧 (V)
5.98
21.77
電流 (A)
2.45
64.7
電力 (W)
14.65
9.48
表:6-7-3.Duty 80%の時の効率
次に,Duty = 81%の時の測定データを写真:6-6-12 から写真:6-6-14 に、計算結果を、表:
6-7-4 に示す。
写真:6-7-12.Duty81%時の入出力電圧
写真:6-7-13.LOW 期間の電流減少波形
2.45A
写真:6-7-14.平均電流測定
-49-
入力
出力
効率 (%)
電圧 (V)
5.38
21.5
電流 (A)
2.45
70.14
電力 (W)
13.18
9.25
表:6-7-4.Duty 81%の時の効率
次に,Duty = 83%の時の測定データを写真:6-7-15 から写真:6-7-17 に、計算結果を、表:
6-7-5 に示す。
写真:6-7-15.Duty83%時の入出力電圧
写真:6-7-16.LOW 期間の電流減少波形
2.58A
写真:6-7-17.平均電流測定
-50-
入力
出力
効率 (%)
電圧 (V)
5.16
20.53
電流 (A)
2.5
65.35
電力 (W)
12.9
8.43
表:6-7-4.Duty 83%の時の効率
次に,Duty = 84%の時の測定データを写真:6-7-18 から写真:6-7-20 に、計算結果を、表:
6-7-6 に示す。
写真:6-7-18.Duty84%時の入出力電圧
写真:6-7-119.LOW 期間の電流減少波形
2.6A
写真:6-7-17.平均電流測定
-51-
電圧 (V)
4.73
19.75
入力
出力
効率 (%)
電流 (A)
2.6
63.44
電力 (W)
12.3
7.8
表:6-7-5.Duty 84%の時の効率
また、Duty 80 %の時は電圧が低下してしまい測定出来なかった。以上をまとめて Duty
と効率の関係を表:6-7-6 に示す。
効率 (%)
90
90
80
78
64
70
65
63
-
Duty(%)
75
76
78
79
80
81
83
84
85
表:6-7-6.Duty と効率の関係
このように今回の 12V-24VDC-DC コンバータの効率を求めた。A/D 変換を行った電圧値は
かなり変動している。それを解決するために平均をとっているがそれでも同じ Duty で測
定していてもそのたび値が異なっている。今回は写真を撮ったときの値を基に効率を求め
ている。また、実際の入力電圧が 12V であるのに対し、6V を表示している中での測定であ
るのでかなりアバウトな測定であるが、回路全体の効率は 75%であった。
6-8.操作方法
2 号機は、周波数を 100KHz で固定し、Duty を 75%から 85%まで可変できるようにした。
基本動作を表:6-7-1 に示す。
BCD-SW
0
1
2
3
4
5
6
7
8
9
A
B
C
D
E
F
動作モード
基本モード
Duty 可変モード
’’
’’
’’
初期設定モード
’’
’’
’’
’’
’’
’’
Duty 可変モード
”
”
”
表:6-8-1.2 号機操作説明
-52-
Duty
80%
81%
83%
84%
85%
80%
80%
80%
80%
80%
80%
80%
75%
76%
78%
79%
それぞれの、モードの先頭では次のような文字を表示する。写真 6-8-1、6-8-2 に示す。
写真:6-8-1.基本モード表示 写真:6-8-2.初期設定モード
通常、VFD の駆動は BCD-SW「0」の基本モードで行う。それは 1 番安定性があるためであ
るが BCD-SW「4」(出力 Duty85%)以外はすべて VFD が駆動する。BCD-SW「4」の場合は、6-2 項
でのデータ通り、電圧が低下するため、VFD が表示できない。次に、今回製作した 12V24VDC-DC コンバータの全体写真と各部の説明を行う。写真:6-8-3 から 写真:6-8-6 に示す。
写真:6-8-3.全体像 写真 6-8-4.出力部分
写真:6-8-5.単一電池キット 写真:6-8-6.単二電池キット
-53 2 号機では、単一電池、単二電池共に共通で駆動させるためキットを作製し、コネクタ
で接続する方法を採用した。また、5V、24V の出力部分は別々にとり、5V のみの使用も可
能にした。しかし 24V は付加抵抗を付けておかないと過電流がショットキーダイオードに
流れてしまい、壊れてしまうので、5V のみの使用の際は回路の DC-DC コンバータ部分への
電源コネクタを抜くこと推奨する。
6-9.AC アダプターでの VFD 駆動実験
オプションとして単一電池、単二電池のみではなく、AC アダプターを接続し VFD を駆動
できるか実験を行った。動作実験にしようする AC アダプターは 2 種類(12V、500mA)、
(9V、900mA)である。この 2 種類を動作実験に選んだ理由として、1 つ目の 12V,500mA)で
は入力電圧は電池と同じだが入力電流が VFD の標準電流を満たしていない。2 つめの(9V、
900mA)では入力電流は VFD の標準電流を満たしているが入力電圧は電池よりも低い。以上
のことからこのどちらでも動作するのか、またはどちらかひとつだけなのかを実験により
求めることにした。実験に使用した AC アダプターの概観を写真:6-9-1 に示す。
写真:6-9-1.AC アタプター 写真:6-9-2.コネクション
また、回路側のにもコネクションを増設した。このコネクションは AC アダプターを接
続すると GND 側の配線が切断され AD アダプターのみの供給となる。写真:6-9-2 に示した。
赤○の箇所がコネクションである。
また、9V 電源に対し DC-DC コンバータの設計指針を表:6-9-1 に示す。
出力電圧
出力電流
入力電圧
周波数
効率
電流リプル率
周期
VS
VF
D( デューティー )
IL(ave)
Ilmax
L1
Vout
Iout
Vin
Fs
И
K
1 /Fs
Ton 時の電圧
D1 順方向電圧
式 (4 - 1)
Vout ・ Iout/(ИVin)
式 (4 - 4)
式 (4 - 5)
表:6-9-1.9V 入力時の設計指針
-54-
24V
0.6A
9V
100KHz
90 %
0.3
10µs
0V
0.5V
64%
1.78
2.05
108µs
これを基に、まずは、9V、900mA の方から駆動実験を行った。実験の模様を写真:6-9-3
から 写真:6-9-5 に示す。
写真:6-9-3.AC アタプター駆動実験 1 写真:6-9-4.AC アタプター駆動実験 2
写真:6-9-5.表示画面
実験は 100KHz,Duty80%の基本モードで行った。結果は写真:6-9-4 の通り、VFD は駆動
したが 写真:6-9-5 のように入力電源は 3.7V から 4V 代とかなり低い。出力電圧も 18V 程
度と VFD を駆動する標準定格を大きく下回っている。出力電流も 0.4V 程度であった。
次に、10V、500mA の方で実験を行ったが VFD は駆動せず DC-DC コンバータの LCD 表示機
には,電池の交換を促す文字が表示された。
6-10.DC-DC コンバータ 3 号機について
この 3 号機が最終号機である。
実験として「ニッケル水素電池 8 本で VFD を駆動する DC-DC コンバータが動作するか」を
行ってみた。この実験を行うにあたり同じ研究室の富田氏の作製した充電器を使い、充電
したニッケル水素電池を使用した。ニッケル水素電池は 1 個が 1.3V 程度と乾電池と比べ
0.2 Vほど低い。そのため、どのくらいの時間 VFD を駆動できるかも並行して実験しよう
とした。
この実験の結果、VFD は駆動せず 2 号機が壊れてしまった。1A まで測定できる電流計が
振り切れてしまい過電流が流れてしまったことが原因と考えるが、その理由はわからない。
しかも、VFD モジュールまで壊れてしまった。VFD モジュールを取替え、再度単一電池で
駆動を試みたところ LCD 表示機が表示されない事態に陥った。
まずは、LCD 表示機が壊れたのではないか疑った。まずは、LCD 表示機側にのみ電圧を
かけたところ、LCD は文字を表示し LCD 表示機は正常に作動した。次に、DC-DC コンバー
タ側とのコネクタを接続すると LCD 表示機は何も表示しなくなった。そこで、DC-DC コン
-55-
バータ側の問題であると考え、コンデンサを取替え再度挑戦したが状況は変わらない。次
に Nch MOS-FET、ショットキーダイオード、電源スイッチと取り替えたが状況を変えるに
いたらなかった。
この時点での状況は以下の通りである。
1.LCD 表示機側の回路のみなら正常に動作する。
2.PWM モードでの出力波形も正常である。
3.コンデンサ、MOSFET、ショットキーダイオード、電源スイッチを取り替える。
4.各導通検査でも問題なし。
5.LCD 表示機側と DC-DC コンバータ側を接続すると表示が消える。または、表示はされ
るが初期化され、動かなくなる。
6.付加抵抗に 50Ω の抵抗を接続し、出力電圧を測定すると、電圧は正常に 23V∼25V
を出力している。が、表示はしない。
以上のことから、DC-DC コンバータの回路ではなく、LCD 表示機が雑音を検出しているの
が原因と考えた。そこで PIC の電源と GND の間に 22μF のコンデンサを接続し、再度 50Ω
の抵抗を付加抵抗として接続し行うと LCD 表示機は正常に作動した。さらに、VFD に接続
し駆動させようとしたところ、LCD はまたも雑音を拾ってしまい表示がおかしくなった。
次に 5V 出力側の問題であると考え、5V 出力側のすぐ側で 33μF を接続する。その結果、
VFD、DC-DC コンバータ、LCD 表示機全て正常に動作した。
この実験では、過電流が流れてしまい測定するに至らなかった。先ほども述べた通りニッ
ケル水素電池は 1 個の電圧が 1.3V 程度と乾電池より 0.2V ほど低い。8 個あわせると 10.8V
∼11V と電圧が低いためニッケル水素電池が原因ではないと考える。
-56-
7 章 結論と感想
今回設計、製作した DC-DC コンバータ(6V-24V、12V-24V)の全ての条件で VFD の標準定
格電流の 0.6A を出力することは出来なかった。ただ、VFD が駆動しているのは標準定格電
圧 24V と消費電力の 15W 付近を出力できていることが理由ではないかと考える。当初の 6V
入力では実現できなかった 24V 出力を、仕様を変えはしたが 12V 入力で実現できたことは
素直にうれしい。
私は、今回の DC-DC コンバータの設計、製作にあたり、「コンパクトで持ち運びしやす
い」ということを目標の 1 つに掲げた。しかし、6V(電池 4 本)ではケース内に収まったが
12V 入力では電池が増加したことでケース内に収まらなくなり、大型化し、重量も増えた
ことは残念に感じる。
回路製作では、MOSFET から煙を噴出したり、ショットキーダイオードが何度も壊れた
り、回路自体が動作しないという自体に陥り何度も実験の遂行に支障をきたすような事件
が起こったが、そのことで回路の理解度がさらに進んだ事にはうれしさを感じている。ま
た、何度も言うようだか当初の仕様をたびたび変更し、さらに AC アダプターなどのオプ
ションを付け加えたことで私自身、鍛えられたと感じる。
プログラミングでは、A/D 変換が出来ないという問題を 1 日まるまる全てかけて解決し、
それが PIC の問題で、PIC を交換したとたんうまくいくということがあった。そのときの
うれしさは今も忘れられない。また、PWM モードの制御を行い、周波数、Duty を変えなが
ら 6V-24VDC-DC コンバータから 12V-24VDC-DC コンバータまでの実験を乗り越えたこと、
BCD-SW のチャタリング防止の方法など苦労した所は数多くある。
今研究を通して、私の力不足を恨めしく思うことも多々あったが、1 つの作品を最後ま
で通して製作し、実際に動作し形になっていく過程に触れることができ物作りのうれしさ
と感動を感じている。また、回路の非情さも感じている。
最後になったが、この研究室で過ごした 1 年半は私にとって貴重な財産になったと共に
今後の人生の支えになると感じている。ここでの経験を生かし、今後の人生を日々力強く
生きて行こうと感じる。
-57-
参考文献
[1] 『改訂版 電子工作のための PIC16F 活用ガイドブック』
後閑 哲也著 (技術評論社)
[2] 『PIC によるホーム・コントロール工作入門』
中尾 司著 (CQ 出版社)
[3] 『C 言語で作る PIC 電子工作」
中尾 司著 (CQ 出版社)
[4] 『スイッチングコンバータ回路入門』
岡山 努著 (日刊工業新聞社)
[5] 『トランジスタ技術 2004 年 7 月号 投稿 PIC マイコンで作る昇降圧コンバータ』
柳川 誠介著 (CQ 出版社)
[6] 『トランジスタ技術 2007 年 5 月号 投稿 わかる!!電源回路教室⑥スイッチング・
レギュレータの基礎』
馬場 清太郎著 (CQ 出版社)
-58-
謝辞
本研究ならびに論文の作成にあたり、終始親切なご指導を賜りました高知工科大学工学
部電子・光システム工学科 綿森道夫准教授に心より、心より御礼申し上げます。本研究
の遂行にあたり、綿森道夫准教授のお力添えなしには成し遂げることは出来なかったと言っ
ても過言ではありません。
また、高知工科大学工学部電子・光システム工学科在学中にご指導賜った成沢忠学科長
には心からの感謝の意を申し上げます。
そして高知工科大学工学部電子・光システム工学科在学中に本研究の実験遂行や学生生
活面その他各過程で終始ご厚意とご協力を頂いた神戸宏教授、矢野政顕教授、河東田隆教
授、木村正廣教授、山本哲也教授、八田章光教授、岩下克教授、真田克教授、橘昌良教授、
山本真行准教授、星野孝総准教授、植田和憲助教、根引拓也助手、杉田彰久教育講師、高
崎敬雄教育講師、安岡文子秘書、中山愛秘書の方々には重ねて感謝の意を述べさせていた
だきます。
最後になりましたが、本研究を遂行するにあたり細部にまでわたり助言、ご協力頂きま
した電子・光システム工学科綿森研究室の車載仁氏、前田進氏、富田昌宏氏、中野宏也氏、
石川博之氏、中谷潤貴氏、長崎優氏、井上裕也氏にも心からお礼を申し上げます。
-59-
Fly UP