...

Annual Research Report Vol2(2010年度発行, PDF, 10.34MB)

by user

on
Category: Documents
48

views

Report

Comments

Transcript

Annual Research Report Vol2(2010年度発行, PDF, 10.34MB)
CONTENTS
Preface
1 Organization of Research Institute for Nanodevice and Bio Systems (RNBS)
2 Staff of RNBS
………………………
………………………………………….……………………………………………
3 Executive Committee Members of RNBS
4 Research Divisions of RNBS
……………………………………………………………
………………………………………….……………………………
4.1 Nanointegration Research Division
……………………………………………………….……
4.1.1
Research projects in nanointegration research division
4.1.2
Research highlights in nanointegration research division
………………………………
……………………………
I.
Wireless interconnects: UWB-CMOS integrated with antenna…………………………
II.
Interconnect technology: low-k dielectric film
III.
Si ring MOS optical switch using high-k cladding layer
IV.
Sensitivity of Si ring optical biosensor (Detection of biotin-avidin reaction) ……………
V.
Development of full-color-emitting boron carbon oxynitride (BCNO) phosphors
with high performance
VI.
………………………………………
……………………………
………………………………………………………………
Formation of highly ordered nanostructures by drying micrometer
colloidal droplets
……………………………………………………………………
VII.
Preparation of bismuth-bridged bithiophenes as novel phosphorescence materials …
VIII.
Preparation and FET activity of star-shaped oligothiophenes
with an organosilicon core
……………………………………………………………
IX.
High-mobility semiconducting polymers based on naphthodithiophene
X.
New n-channel organic semiconductor based on thienoquinoidals ………………………
XI.
Plasma synthesis of composite materials using aerosol precursor
XII.
Activation of As and B by thermal plasma jet induced millisecond annealing
and its application to ultra shallow junction formation
……………………
……………………………
XIII.
Critical dose for amorphization of Ge by ion implantation
XIV.
Dependence of charge storage and programming characteristics on
dot number of floating dot memory
……………
…………………………
…………………………………………………
XV.
Conduction path fluctuation in Si two-dimensional tunnel junction array
XVI.
Monolithic integration of Si-dot light emitting diodes, Si photodiodes, and
spin-coated optical waveguides on Si substrate
……………
………………………………………
4.2 Integrated Systems Research Division
………………………………………………………
4.2.1
Research projects in integrated systems research division
…………………………
4.2.2
Research highlights in integrated systems research division
…………………………
I.
Correlation of microscopic and macroscopic variation with
surface-potential compact model HiSIM
II.
VLSI design of a handwritten-character learning and recognition system
based on associative memory
III.
……………………………………………
…………………………………………………………
Grouping method based on feature matching for tracking
and recognition of complex objects
…………………………………………………
IV.
A scalable massively parallel processor for real-time image processing
V.
60GHz low-power CMOS wireless HDMI module
VI.
Short millimeter-wave CMOS circuits aiming at
100Gbps wireless communication
VII.
……………
…………………………………
……………………………………………………
A silicon retina containing a switched-resistor network
applied to a boundary-based coupled MRF model
4.3 Molecular Bioinformation Research Division
……………………………………
…………………………………………………
4.3.1
Research projects in molecular bioinformation research division
……………………
4.3.2
Research highlights in molecular bioinformation research division
I.
Paper analysis chip for inkjet printer-like clinical auto-analyzer
II.
Hybrid electro-optic polymer/sol-gel waveguide directional coupler switches ………
III.
Fast detection of organophosphorus compound based on sol–gel silica planar
…………………
……………………
waveguide doped with green fluorescent protein and organophosphorus compound …
IV.
Endotoxin assay by bioluminescence using mutant firefly luciferase
V.
Oriented immobilization of functional proteins on a Si wafer
using the Si-binding protein (Si-tag): application to biosensing devices
VI.
………………
……………
Selective detection of anti-allergen antibody in serum using Si ring optical resonators
and Si-binding allerge molecules ………………………………………………………
VII.
Magnetic beads actuation for on-chip immunoassay
………………………………
VIII. Biochemical quantization by microfluidic droplet
for development of microbe counter
4.4 Nanomedicine Research Division
…………………………………………………
……………………………………………………………
4.4.1
Research projects in nanomedicine research division
4.4.2
Research highlights in nanomedicine research division
I.
Development of novel therapy against hepatitis viruses
using human hepatocyte chimeric mice
………………………………
……………………………
………………………………………………
II.
Development of anti-hepatitis virus therapy based on
analysis of human genomes
III.
……………………………………………………………
Development of surface plasmon resonance sensor for
individual living cell activation ………………………………………………………
IV.
Affinity precipitation of hydroxyapatite onto different SAM surfaces
………………
V.
A cleanser or disinfectant containing immobilizing aseptic substance
………………
VI.
A quantitative candy sucking test aimed at dementia elderly population
5 Activities related to RNBS
………………………………………………………………………
5.1 Nanotechnology Network Japan
6 Research Facilities of RNBS
6.1 Super clean rooms
………………………………………………………………
………………………………………………………………………
……………………………………………………………………………
6.2 Equipment for advanced devices and LSI fabrication
6.3 Characterization and diagnostics equipment
6.4 VLSI CAD environment
7 List of Publications
……………
………………………………………
…………………………………………………
……………………………………………………………………
………………………………………………………………………………
7.1 Advanced device, process, and material technologies for ULSI
7.2 Self-assembling technologies and quantum structure
7.3 Technologies for intelligent systems
7.6 Medical science and technology
………………………………………
………………………………………………………
7.4 Technologies for optical communication systems
7.5 Technologies for biosensing systems
……………………………
…………………………………………
………………………………………………………
……………………………………………………………
8 List of Forthcoming or Published Papers after April 2010
………………………………………
Preface
The Research Institute for Nanodevice and Bio Systems (RNBS) was newly founded on May 1, 2008 with the
faculty members of the Department of Semiconductor Electronics and Integration Science and the Department of
Molecular Biotechnology at the Graduate School of Advanced Sciences of Matter as well as the Graduate School
of Biomedical Sciences. RNBS consists of four research divisions; (1) Nanointegration Research Division, (2)
Integrated Systems Research Division, (3) Molecular Bioinformation Research Division, and (4) Nanomedicine
Research Division.
The forerunner of this institute was The Research Center for Integrated Systems (RCIS) which was founded in
1986 as a ministerial ordinance. The first center was reorganized after 10 years and The Research Center for
Nanodevices and Systems (RCNS) was established in May, 1996. RNBS was reorganized after 22 years.
The RNBS has more than 25 year history, thanks to the first Director Dr. Masataka Hirose, Emeritus Advisor
of National Institute of Advanced Industrial Science and Technology, Professor Emeritus of Hiroshima University,
the first Associate Director, Prof. Mitsumasa Koyanagi, Tohoku University, and Dr. Yasuhiro Horiike, Fellow,
National Institute for Materials Science.
The research at RNBS has been focused on silicon integrated circuits, devices, processes and materials for
twenty years so that the significant research results have been achieved as one of the prominent research institute
among the national universities. The RNBS plays important roles not only as a research laboratory but also as an
education institute, where graduate students and under graduate students as well as postdoctoral researchers have
been studying on the most advanced leading-edge technologies to become independent leading researchers who
conduct their researches by themselves in future semiconductor industries. The reputations of the graduates from
the RNBS have been extremely high in the semiconductor industries.
The RCNS has been selected as the 21st Century Center of Excellence (COE) on “Nanoelectronics for Tera-Bit
Information Processing” by the Ministry of Education, Culture, Sports, Science and Technology in the 21st
century COE program, in 2002-2006. The RNBS, together with the Department of Molecular Biology and the
Department of Semiconductor Electronics and Integration Sciences, the Graduate School of Advanced Sciences of
Matter, has been selected as a new innovative COE on a “Integrated Semiconductor and Biotechnology” by the
Ministry of Education, Culture, Sports, Science and Technology in 2006-2009. From 2011 Novel Measuring and
Analytical Technology Contributions to the Elucidation and Application of Material program has started as
CREST (Core Research of Evolutional Science & Technology) supported by Japan Science and Technology
Agency (JST).
The RNBS has also been selected as one of the key centers of Nanotechnology Researchers Network Center of
Japan by the Ministry of Education, Culture, Sports, Science and Technology. The aim is to make the advanced
technology of the RNBS widely available for silicon-based nanometer-scale processing and pattern formation
using electron beam exposure system. We have been supporting nation-wide research groups on the basis of
joint-research projects, equipment support or technological advice.
This annual report offers comprehensive information about the recent research activities and achievements at
the RNBS to those who are engaged in the fields of advanced technologies. We hope this report will contribute
to the mutual exchange of ideas and future progress of the researches on advanced integration of nanodevice and
bio systems.
December 1, 2010
Takamaro Kikkawa
Director
Research Insitute for Nanodevice and Bio Systems
Hiroshima University
Ꮞ㗡⸒
ᐢፉᄢቇ䊅䊉䊂䊋䉟䉴䊶䊋䉟䉥Ⲣว⑼ቇ⎇ⓥᚲ䈲2008ᐕ5᦬1ᣣ䈮ᄢቇ㒮వ┵‛⾰⑼ቇ⎇ⓥ⑼ඨዉ૕㓸Ⓧ
⑼ቇኾ᡹䈱⎇ⓥ䉫䊦䊷䊒䈫ಽሶ↢๮ᯏ⢻⑼ቇኾ᡹䈱⎇ⓥ䉫䊦䊷䊒䈍䉋䈶ᄢቇ㒮කᱤ⮎ቇ✚ว⎇ⓥ⑼䇮ᱤቇ
ㇱ䈱⎇ⓥ䉫䊦䊷䊒䈱දജ䉕ᓧ䈩ቇౝភ⟎䈪⸳┙䈘䉏䉁䈚䈢䇯䈖䉏䉁䈪䈱ඨዉ૕⎇ⓥ䈱ታ❣䈮ട䈋䈩䇮䊋䉟䉥䊁
䉪䊉䊨䉳䊷䈫䈱Ⲣว䉕䉄䈙䈚䇮䈘䉌䈮䈲කቇ䊶ක≮䈫䈱Ⲣว䉕䉄䈙䈚䈢ၮ⋚ᛛⴚ䈱⎇ⓥ䉕ផㅴ䈜䉎䈢䉄䇮⎇ⓥ㗔
ၞ䈲䊅䊉㓸Ⓧ⑼ቇ䇮㓸Ⓧ䉲䉴䊁䊛⑼ቇ䇮ಽሶ↢๮ᖱႎ⑼ቇ䇮㓸Ⓧක⑼ቇ䈱䋴䈧䈎䉌䈭䈦䈩䈇䉁䈜䇯
ᧄ⎇ⓥᚲ䈱೨り䈲ᢥㇱ⑼ቇ⋭䈱⋭઎䉶䊮䉺䊷䈫䈚䈩1986ᐕ䈮⸳┙䈘䉏䈢㓸Ⓧൻ䉲䉴䊁䊛⎇ⓥ䉶䊮䉺䊷䈪䈜䇯
1996ᐕ5᦬䈮䈲䊅䊉䊂䊋䉟䉴䊶䉲䉴䊁䊛⎇ⓥ䉶䊮䉺䊷䈫䈚䈩ᣂ䈢䈮ᡷ⚵⸳┙䈘䉏䉁䈚䈢䇯 ᦨೋ䈱䉶䊮䉺䊷⸳┙䈎
䉌䋲䋲ᐕ⋡䈮⎇ⓥᚲ䉕⸳┙䈇䈢䈚䉁䈚䈢䇯
25ᐕએ਄䈱ታ❣䉕᦭䈜䉎䉶䊮䉺䊷䈲ೋઍ䉶䊮䉺䊷㐳䈱ᑝἑోቁవ↢(⃻↥ᬺᛛⴚ✚ว⎇ⓥᚲ⎇ⓥ㘈໧䇮ᐢ
ፉᄢቇฬ⹷ᢎ᝼)䉕䊥䊷䉻䊷䈮䇮ೋઍ䉶䊮䉺䊷ਥછ䈱ዊᩉశᱜవ↢䋨రᐢፉᄢቇᢎ᝼䇮⃻᧲ർᄢቇᢎ᝼䋩䇮䉪䊥
䊷䊮䊦䊷䊛┙䈤਄䈕䈮䈗ዧജ䈇䈢䈣䈇䈢ၳᳰ㕏ᶈవ↢䋨రᐢፉᄢቇᢎ᝼䇮⃻‛⾰᧚ᢱ⎇ⓥᯏ᭴䊐䉢䊨䊷䋩䉕
䈲䈛䉄䈫䈜䉎⻉వヘ䈱ദജ䈱⾦䈪䈜䇯
ᐢፉᄢቇ䊅䊉䊂䊋䉟䉴䊶䊋䉟䉥Ⲣว⑼ቇ⎇ⓥᚲ䈲ᚒ䈏࿖䈱ᄢቇ䈱ਛ䈪䉅䊡䊆䊷䉪䈭ሽ࿷䈪䈜䇯25ᐕ㑆৻⽾䈚
䈩䉲䊥䉮䊮㓸Ⓧ࿁〝䇮䊂䊋䉟䉴䇮䊒䊨䉶䉴䇮᧚ᢱ䈱⎇ⓥ䉕⛯䈔䈩䇮䈖䈱ಽ㊁䈪䈲࿖ౝ䈪䉅᦭ᢙ䈱⎇ⓥᯏ㑐䈫䈚䈩
䈠䈱⎇ⓥᚑᨐ䉕⌕ታ䈮䈅䈕䈩䈐䉁䈚䈢䇯䈘䉌䈮䇮ᚒ䈏࿖䈱ඨዉ૕↥ᬺ䈱዁᧪䉕ᜂ䈉䇮ቇㇱቇ↢䇮ᄢቇ㒮↢䇮ඳ჻
⎇ⓥຬ䉌䈱ੱ᧚⢒ᚑ䈮䉅ജ䉕౉䉏䈩䈐䉁䈚䈢䇯ᦨవ┵ᛛⴚ䈱⎇ⓥ䉕ㅢ䈚䈩䇮਎⇇䈮⊒ା䈪䈐䉎⎇ⓥ⠪䉕⢒ᚑ䈜
䈼䈒䇮ቇ↢䇮⎇ⓥຬ䈏⥄䉌⎇ⓥ䉕ડ↹䈚䇮⥄┙䈚䈩⎇ⓥ㐿⊒䉕ㅴ䉄䉎⢻ജ䉕ᜬ䈧䈖䈫䈏䈪䈐䉎䉋䈉ᢎ⢒ᜰዉ䈚䈩
䈍䉍䇮䈠䈱ታ❣䈲↥ᬺ⇇䈎䉌㜞䈒⹏ଔ䈘䉏䈩䈍䉍䉁䈜䇯
䈖䉏䉁䈪䈱⎇ⓥታ❣䈫䈚䈩䇮2002-2006ᐕᐲᢥㇱ⑼ቇ⋭21਎♿COE䊒䊨䉫䊤䊛䇸䊁䊤䊎䉾䊃ᖱႎ䊅䊉䉣䊧䉪䊃䊨䊆䉪
䉴䇹䈏᜼䈕䉌䉏䉁䈜䇯⛯䈇䈩䇮2006-2009ᐕᐲᢥㇱ⑼ቇ⋭⑼ቇᛛⴚᝄ⥝⺞ᢛ⾌వ┵Ⲣว㗔ၞ䉟䊉䊔䊷䉲䊢䊮ഃ
಴᜚ὐ䇸ඨዉ૕䊶䊋䉟䉥Ⲣว㓸Ⓧൻᛛⴚ䈱᭴▽䇹䈮䉅ណᛯ䈘䉏䉁䈚䈢䇯䈘䉌䈮䇮⑼ቇᛛⴚᝄ⥝ᯏ᭴ᚢ⇛⊛ഃㅧ⎇
ⓥផㅴ੐ᬺ䋨䌃䌒䌅䌓䌔䋩ᐔᚑ䋲䋲ᐕᐲ䋨╙䋱ᦼ䋩䇸䊝䊂䊦䊔䊷䉴䈮䉋䉎᳓ᓴⅣ♽䉴䊙䊷䊃᳓⾰䊝䊆䉺䊥䊮䉫✂᭴▽ᛛ
ⴚ䈱㐿⊒䇹䈏ណᛯ䈘䉏䉁䈚䈢䇯৻ᣇ䈪䈲䇮ᢥㇱ⑼ቇ⋭䊅䊉䊁䉪䊉䊨䉳䊷䊶䊈䉾䊃䊪䊷䉪䊒䊨䉳䉢䉪䊃䈮䈍䈇䈩䇮వ┵
⎇ⓥᣉ⸳౒↪䉟䊉䊔䊷䉲䊢䊮ഃ಴੐ᬺ䇮䉲䊥䉮䊮䊅䊉ടᎿ䈫㜞ຠ⾰⌀ⓨ೑↪ᛛⴚ䈮㑐䈜䉎ᡰេ᜚ὐ䈮䉅ㆬ䈳䉏
䈩ᐢ䈒ో࿖ⷙᮨ䈪ᡰេ䈚䈩䈍䉍䉁䈜䇯
䉝䊆䊠䉝䊦䊥䉰䊷䉼䊧䊘䊷䊃䈲䊅䊉䊂䊋䉟䉴䊶䊋䉟䉥Ⲣว⑼ቇ⎇ⓥᚲ䈱ᦨㄭ1ᐕ㑆䈱⎇ⓥᵴേ䈫⎇ⓥᚑᨐ䈱৻
┵䉕䉁䈫䉄䈩䇮వ┵ᛛⴚ䈱⎇ⓥ䊶ᢎ⢒䈮៤䉒䉎ᣇ䇱䈮ᦨᣂᖱႎ䉕౒᦭䈚䈩䈇䈢䈣䈒䈢䉄䈮⊒ⴕ䈚䈩䈇䉁䈜䇯䈖䈱
䊧䊘䊷䊃䈏੹ᓟ䈫䉅䈖䈱ಽ㊁䈪䈱⎇ⓥ੤ᵹ䈱৻ഥ䈮䈭䉏䈳ᐘ䈇䈪䈜䇯
2010ᐕ12᦬1ᣣ
ᐢፉᄢቇ
䊅䊉䊂䊋䉟䉴䊶䊋䉟䉥Ⲣว⑼ቇ⎇ⓥᚲ
ᚲ㐳
ศᎹ౏㤚
1. Organization of Research Institute for Nanodevice and Bio
Systems (RNBS)
ᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾ
࠽ࡁ࠺ࡃࠗࠬ࡮ࡃࠗࠝⲢว⑼ቇ⎇ⓥᚲ⚵❱
Nanointegration Research Division
䊅䊉㓸Ⓧ⑼ቇ⎇
⎇ⓥㇱ㐷
7 Professor, 1 Visiting Professor, 3 Associated Professor,
1 Assistant Professor, 2 Special Appointment Assistant Professor
ᢎ᝼ 7ฬ, ቴຬᢎ᝼ 1ฬ, ಎᢎ᝼ 3ฬ, ഥᢎ 1ฬ, ․છഥᢎ 2ฬ
Executive Committee
ㆇ༡ᆔຬળ
Integrated Systems Research Division
㓸Ⓧ䉲䉴䊁䊛⑼ቇ⎇ⓥㇱ㐷
2 Professor, 1 Visiting Professor, 2 Associated Professor,
1 Special Appointment Associated Professor , 1 Assistant Professor
ᢎ᝼ 2ฬ, ቴຬᢎ᝼ 1ฬ, ಎᢎ᝼ 2ฬ, ․છಎᢎ᝼ 1ฬ, ഥᢎ 1ฬ
Director
ᚲ㐳
Molecular Bioinformation Research Division
ಽሶ↢๮ᖱႎ⑼ቇ⎇ⓥㇱ㐷
Adviser
㘈໧
4 Professor, 1 Special Appointment Professor, 1 Visiting Professor
1 Associated Professor, 3 Special Appointment Associated Professor,
1 Special Appointment Assistant Professor
ᢎ᝼ 4ฬ, ․છᢎ᝼ 1ฬ, ቴຬᢎ᝼ 1ฬ, ಎᢎ᝼ 1ฬ, ․છಎᢎ᝼ 3ฬ,
․છഥᢎ 1ฬ
Nanomedicine Research Division
㓸Ⓧක⑼ቇ⎇ⓥㇱ㐷
4 Professor, 1 Associated Professor
ᢎ᝼ 4ฬ, ಎᢎ᝼ 1ฬ
Nanotechnology Network Project
䊅䊉䊁䉪䊉䊨䉳䊷䊶䊈䉾䊃䊪䊷䉪䊒䊨䉳䉢䉪䊃
1 Visiting Professor
ቴຬᢎ᝼ 1ฬ
Cooperative Research Staff (Faculty Members)
⎇ⓥຬ䋨ቇౝ䋩䇮 㑐ㅪቇㇱ䊶ቇ⑼
Visiting Scientists (Universities, Industries)
ቴຬ⎇ⓥຬ䋨ઁᄢቇ䇮ડᬺ䋩
2. Staff of Research Institute for Nanodevice and Bio Systems
(RNBS)
ᴾᴾᴾᴾᴾᴾᴾᴾᴾ ᴾ ᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾ ᴾ ᴾ ᴾ ᴾ
࠽ࡁ࠺ࡃࠗࠬ࡮ࡃࠗࠝⲢว⑼ቇ⎇ⓥᚲ᭴ᚑຬ
ᐕ᦬⃻࿷
Nanointegration Research Division
䊅䊉㓸Ⓧ⑼ቇ⎇ⓥㇱ㐷
Takamaro Kikkawa
ศᎹ㩷 ౏㤚
Director of RNBS and
Professor
⎇ⓥᚲ㐳, ᢎ᝼
Shin Yokoyama
ᮮጊ㩷 ᣂ
Associate Director and
Professor
೽⎇ⓥᚲ㐳, ᢎ᝼
Mitiko
Miura-Mattausch
ਃᶆ ㆏ሶ
Professor
ᢎ᝼
Seiichi Miyazaki
ችፒ ⺈৻
Professor
ᢎ᝼
Kikuo Okuyama
ᅏጊ༑ਭᄦ
Professor
ᢎ᝼
Johji Ohshita
ᄢਅ ᵺᴦ
Professor
ᢎ᝼
Kazuo Takimiya
Ἇች ๺↵
Professor
ᢎ᝼
Hideo Sunami
ⷺධ㩷 ⧷ᄦ
Visiting Professor
ቴຬᢎ᝼
Kentaro Shibahara
⦼ේ ஜᄥ㇢
Associate Professor
ಎᢎ᝼
Anri Nakajima
ਛፉ ቟ℂ
Associate Professor
ಎᢎ᝼
Seiichirou Higashi
᧲ ᷡ৻㇢
Associate Professor
ಎᢎ᝼
Hideki Murakami
᧛਄ ⑲᮸
Assistant Professor
ഥᢎ
Tetsuo Tabei
↰ㇱ੗ ືᄦ
Assistant Professor
(Special Appointment)
․છഥᢎ
Kiyoshi Okuyama
ᅏጊ ᷡ
Assistant Professor
(Special Appointment)
․છഥᢎ
Integrated Systems Research Division
㩷㩷㩷㩷
㓸Ⓧ䉲䉴䊁䊛⑼ቇ⎇ⓥㇱ㐷 Hans Jürgen Mattausch
䊙䉺䉡䉲䊠 䊊䊮䉴 䊡䊦䉭䊮
Professor
ᢎ᝼
Minoru Fujishima
⮮ፉታ㩷
Professor
ᢎ᝼
Atsushi Iwata
ጤ↰ Ⓢ
Visiting Professor
ቴຬᢎ᝼
Tetsushi Koide
ዊ಴ ື჻
Associate Professor
ಎᢎ᝼
Mamoru Sasaki
૒䇱ᧁ㩷 ቞
Associate Professor
ಎᢎ᝼
Seiji Kameda
੉↰ ᚑม
Associate Professor
(Special Appointment)
․છಎᢎ᝼
Tsuyoshi Yoshida
ศ↰ Პ
Assistant Professor
ഥᢎ
Molecular Bio-information Research Division
㩷㩷㩷㩷㩷㩷㩷㩷㩷
ಽሶ↢๮ᖱႎ⑼ቇ⎇ⓥㇱ㐷
Ryo Miyake
ਃቛ㩷 ੫
Professor
ᢎ᝼
Kazuhisa Ono
ዊၛ㩷 ๺ਭ
Professor
ᢎ᝼
Takashi Yamada
ጊ↰㩷 㓉
Professor
ᢎ᝼
Akio Kuroda
㤥↰㩷 ┨ᄦ
Professor
ᢎ᝼
Yasuhumi Enami
᭑ᵄ ᐽᢥ
Professor
(Special Appointment)
․છᢎ᝼
Yuji Miyahara
ችේ ⵨ੑ
Visiting Professor
ቴຬᢎ᝼
Seiji Kawamoto
ᴡᧄ ᱜᰴ
Associate Professor
ಎᢎ᝼
Ken-ichi Noda
㊁↰ ஜ৻
Associate Professor
(Special Appointment)
․છಎᢎ᝼
Tomohiro Ishikawa
⍹Ꮉ㩷 ᥓᒄ
Associate Professor
(Special Appointment)
․છಎᢎ᝼
Yuji Murakami
᧛਄ ⵨ੑ
Associate Professor
(Special Appointment)
․છಎᢎ᝼
Takeshi Ikeda
ᳰ↰ ਂ
Assistant Professor
(Special Appointment)
․છഥᢎ
Nanomedicine Research Division
㓸Ⓧක⑼ቇ⎇ⓥㇱ㐷
Kazuaki Chayama
⨥ጊ ৻ᓆ
Professor
ᢎ᝼
Michihiro Hide
⑲ ㆏ᐢ
Associate Director and
Professor
೽⎇ⓥᚲ㐳, ᢎ᝼
Hiroki Nikawa
ੑᎹ㩷 ᶈ᮸㩷
Professor
ᢎ᝼
Masayuki Okazaki
ጟፒ ᱜਯ
Professor
ᢎ᝼
Kazuhiro Tsuga
ᵤ⾐ ৻ᒄ
Associate Professor
ಎᢎ᝼
㩷
㩷 Nanotechnology Network Japan
㩷㩷㩷
䊅䊉䊁䉪䊉䊨䉳䊷䊶䊈䉾䊃䊪䊷䉪䊒䊨䉳䉢䉪䊃㩷
Masataka Fukuyama
⑔ጊ ᱜ㓉
Chief
ਥછ
Visiting Professor
ቴຬᢎ᝼
Researchers
⎇ⓥຬ
Yoshiteru Amamiya
㔎ች㩷 ཅᾖ
Post Doctoral Researcher
ᯏ㑐⎇ⓥຬ㩷 (2006.10䌾)
Takeshi Kumaki
ᾢᧁ㩷 ᱞᔒ
Post Doctoral Researcher
ᯏ㑐⎇ⓥຬ㩷 (2007.1䌾2010.3 )
Yasuhisa Kayaba
⨆႐㩷 㕏೰
Researcher, Interdisciplinary Research on Integration of Semiconductor and
Biotechnology
ඨዉ૕䊶䊋䉟䉥Ⲣว㓸Ⓧൻᛛⴚ䈱᭴▽䊒䊨䉳䉢䉪䊃⎇ⓥຬ㩷
(2007.12䌾2011.3)
Toshihiro Kasama
═㑆㩷 ᢅඳ
Researcher, Interdisciplinary Research on Integration of Semiconductor and
Biotechnology
ඨዉ૕䊶䊋䉟䉥Ⲣว㓸Ⓧൻᛛⴚ䈱᭴▽䊒䊨䉳䉢䉪䊃⎇ⓥຬ
(2007.12䌾2009.12)
Researcher, Nanotechnology Network Project
䊅䊉䊁䉪䊉䊨䉳䊷䊶䊈䉾䊃䊪䊷䉪䊒䊨䉳䉢䉪䊃⎇ⓥຬ
(2010.1䌾2011.3)
Nobuo Sasaki
૒䇱ᧁ ା㓶
Researcher, Interdisciplinary Research on Integration of Semiconductor and
Biotechnology
ඨዉ૕䊶䊋䉟䉥Ⲣว㓸Ⓧൻᛛⴚ䈱᭴▽䊒䊨䉳䉢䉪䊃⎇ⓥຬ
(2007.4䌾2011.3)
Mitsuru Shiozaki
᳤ፒ㩷 ల
Researcher, Interdisciplinary Research on Integration of Semiconductor and
Biotechnology
ඨዉ૕䊶䊋䉟䉥Ⲣว㓸Ⓧൻᛛⴚ䈱᭴▽䊒䊨䉳䉢䉪䊃⎇ⓥຬ
(2007.4䌾2010.3)
Syed Mahboob
䉰䉟䉝䊄㩷 䊙䊑䊷
Researcher, Interdisciplinary Research on Integration of Semiconductor and
Biotechnology
ඨዉ૕䊶䊋䉟䉥Ⲣว㓸Ⓧൻᛛⴚ䈱᭴▽䊒䊨䉳䉢䉪䊃⎇ⓥຬ
(2007.4䌾2009.10)
Kensaku Ohkura
ᄢୖ ஜ૞
Researcher, Nanotechnology Network Project
䊅䊉䊁䉪䊉䊨䉳䊷䊶䊈䉾䊃䊪䊷䉪䊒䊨䉳䉢䉪䊃⎇ⓥຬ
(2002.8䌾2009.5)
Ryo Takakura
㜞ୖ ੫
Researcher, Nanotechnology Network Project
䊅䊉䊁䉪䊉䊨䉳䊷䊶䊈䉾䊃䊪䊷䉪䊒䊨䉳䉢䉪䊃⎇ⓥຬ
(2008.4䌾2010.5)
Kenji Sakamoto
ဈᧄ ᙗఽ
Researcher, Nanotechnology Network Project
䊅䊉䊁䉪䊉䊨䉳䊷䊶䊈䉾䊃䊪䊷䉪䊒䊨䉳䉢䉪䊃⎇ⓥຬ
(2008.6䌾)
Advisory Board
㘈໧
Masataka Hirose
ᑝἑ ోቁ
Advisory Board, Nanodevice Innovation Research Center, National Institute of
Advanced Industrial Science and Technology (AIST)
(⁛)↥ᬺᛛⴚ✚ว⎇ⓥᚲ 䊅䊉㔚ሶ䊂䊋䉟䉴⎇ⓥ䉶䊮䉺䊷⎇ⓥ㘈໧
Hisatsune Watanabe
ᷰㄝ ਭᕡ
President, Semiconductor Leading Edge Technology Co. Ltd.
(ᩣ)ඨዉ૕వ┵䊁䉪䊉䊨䉳䊷䉵㩷 ઍ⴫ข✦ᓎ␠㐳
Tadashi Nishimura
⷏᧛ ᱜ
Board Director, Renesas Technology Corporation
(ᩣ)䊦䊈䉰䉴䊁䉪䊉䊨䉳㩷 ข✦ᓎ㩷 ↢↥ᛛⴚᧄㇱ ೽ᧄㇱ㐳
Visiting Staff
ቴຬࠬ࠲࠶ࡈ
Hirofumi Fukumoto
⑔ᧄ㩷 ඳᢥ
Visiting Scientist, Asahi Kasei Corporation
ቴຬ⎇ⓥຬ,㩷 ᣩൻᚑ(ᩣ)
(2007.12䌾)
Seiji Morisaki
᫪ፒ㩷 ᚑม
Visiting Scientist, Oki Digital Imaging Corporation
ቴຬ⎇ⓥຬ, (ᩣ)ᴒ䊂䉳䉺䊦䉟䊜䊷䉳䊮䉫
(2008. 2䌾)
Yoshinori Cho
㐳㩷 ⟵♿
Visiting Scientist, Elpida Memory Incorporated
ቴຬ⎇ⓥຬ, 䉣䊦䊏䊷䉻䊜䊝䊥(ᩣ)
(2008.2䌾)
Souu Katagiri
᩿㩷 ᄼ⠀
Visiting Scientist, Hiroshima Prefectural Institute of Industrial Science and
Technology
ቴຬ⎇ⓥຬ, ᐢፉ⋵↥ᬺ⑼ቇᛛⴚ⎇ⓥᚲ
(2009.4䌾2010.3)
Masataka Mutoh
ᱞ⮮㩷 ᣽ቁ
Visiting Scientist, Oki Digital Imaging Corporation
ቴຬ⎇ⓥຬ, (ᩣ)ᴒ䊂䉳䉺䊦䉟䊜䊷䉳䊮䉫
(2009.8䌾)
Arimichi Okumura
ᅏ᧛㩷 ᦭㆏
Visiting Scientist, Daicel Chemical Industries
ቴຬ⎇ⓥຬ, 䉻䉟䉶䊦ൻቇᎿᬺ(ᩣ)
(2009.7䌾2010.3)
Tomonori Maeda
೨↰㩷 ⍮ᓼ
Visiting Scientist, Phenitec Semiconductor Corporation
ቴຬ⎇ⓥຬ, 䊐䉢䊆䊁䉾䉪䉶䊚䉮䊮䉻䉪䉺䊷(ᩣ)
(2009.11䌾)
Cooperative Research Staff (Faculty Members)
ቇౝ౒ห⎇ⓥຬ
Manabu Shimada
ፉ↰ ቇ
Professor
ᢎ᝼
Graduate School of Engineering
Ꮏቇ⎇ⓥ⑼
Supporting Staff
⵬૒ຬ
Chiaki Ashihara
⫆ේ㩷 ජ⑺
Secretary
੐ോ⵬૒ຬ
(1999.6䌾)
Hina Ichihara
Ꮢේ㩷 ᅥᄹ
Secretary
੐ോ⵬૒ຬ
(2006.12䌾2010.3)
Naoko Nakatani
ਛ⼱㩷 ዏሶ
Secretary
੐ോ⵬૒ຬ
(2007.5䌾)
Mika Fujimoto
⮮ᧄ㩷 ⟤૫
Secretary
੐ോ⵬૒ຬ
(2009.3䌾2010.3)
Keiko Shibahara
⦼ේ㩷 ᢘሶ
Secretary
੐ോ⵬૒ຬ
(2007.5䌾)
3. Executive Committee Members of Research Institute for
Nanodevice and Bio Systems (RNBS)
ᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾᴾ
࠽ࡁ࠺ࡃࠗࠬ࡮ࡃࠗࠝⲢว⑼ቇ⎇ⓥᚲㆇ༡ᆔຬળᆔຬ
Takamaro Kikkawa
ศᎹ㩷 ౏㤚
Director and Professor
⎇ⓥᚲ㐳䊶ᢎ᝼
RNBS
䊅䊉䊂䊋䉟䉴䊶䊋䉟䉥Ⲣว⑼ቇ⎇ⓥᚲ
Shin Yokoyama
ᮮጊ㩷 ᣂ
Associate Director and
Professor
೽⎇ⓥᚲ㐳䊶ᢎ᝼
RNBS
䊅䊉䊂䊋䉟䉴䊶䊋䉟䉥Ⲣว⑼ቇ⎇ⓥᚲ
Michihiro Hide
⑲㩷 ㆏ᐢ
Associate Director and
Professor
೽⎇ⓥᚲ㐳䊶ᢎ᝼
Graduate School of Biomedical Sciences
කᱤ⮎ቇ✚ว⎇ⓥ⑼
Hans Jürgen Mattausch
䊙䉺䉡䉲䊠 䊊䊮䉴 䊡䊦䉭䊮
Professor
ᢎ᝼
RNBS
䊅䊉䊂䊋䉟䉴䊶䊋䉟䉥Ⲣว⑼ቇ⎇ⓥᚲ
Ryo Miyake
ਃቛ㩷 ੫
Professor
ᢎ᝼
RNBS
䊅䊉䊂䊋䉟䉴䊶䊋䉟䉥Ⲣว⑼ቇ⎇ⓥᚲ
Tetsushi Koide
ዊ಴ ື჻
Associate Professor
ಎᢎ᝼
RNBS
䊅䊉䊂䊋䉟䉴䊶䊋䉟䉥Ⲣว⑼ቇ⎇ⓥᚲ
Kentaro Shibahara
⦼ේ ஜᄥ㇢
Associate Professor
ಎᢎ᝼
RNBS
䊅䊉䊂䊋䉟䉴䊶䊋䉟䉥Ⲣว⑼ቇ⎇ⓥᚲ
Anri Nakajima
ਛፉ ቟ℂ
Associate Professor
ಎᢎ᝼
RNBS
䊅䊉䊂䊋䉟䉴䊶䊋䉟䉥Ⲣว⑼ቇ⎇ⓥᚲ
Akio Kuroda
㤥↰㩷 ┨ᄦ
Professor
ᢎ᝼
Graduate School of Advanced Sciences of Matter
వ┵‛⾰⑼ቇ⎇ⓥ⑼
Seiichi Miyazaki
ችፒ ⺈৻
Professor
ᢎ᝼
Graduate School of Advanced Sciences of Matter
వ┵‛⾰⑼ቇ⎇ⓥ⑼
Kouzou Hoshino
ᤊ㊁㩷 ౏ਃ
Professor
ᢎ᝼
Graduate School of Integrated Arts and㩷 Sciences
✚ว⑼ቇ⎇ⓥ⑼
Toshihiro Kuroiwa
㤥ጤ㩷 ⧐ᒄ
Professor
ᢎ᝼
Graduate School of Science
ℂቇ⎇ⓥ⑼
Toshio Tsuji
ㄞ㩷 ᢅᄦ
Professor
ᢎ᝼
Graduate School of Engineering
Ꮏቇ⎇ⓥ⑼
Yoshio Hagura
⠀ୖ ⟵㓶
Professor
ᢎ᝼
Graduate School of Biosphere Sciences
↢‛࿤⑼ቇ⎇ⓥ⑼
Hiroki Nikawa
ੑᎹ㩷 ᶈ᮸
Professor
ᢎ᝼
Faculty of Dentistry
ᱤቇㇱ
4. Research Divisions of Research Institute for Nanodevice and Bio
Systems (RNBS)
࠽ࡁ࠺ࡃࠗࠬ࡮ࡃࠗࠝⲢว⑼ቇ⎇ⓥᚲߩ⎇ⓥ㗔ၞ
The Research Institute for Nanodevice and Bio Systems was founded on May 1, 2008, aiming to
develop the fundamental technologies necessary to achieve global excellence in electronic and bio
integrated sciences for preventive medicine and ubiquitous diagnoses on early stages of illnesses in
the future advanced medical-care society beyond the present information society. The research field
includes Nanointegration, Integrated Systems, Molecular Bioinformation and Nanomedicine.
䊅䊉䊂䊋䉟䉴䊶䊋䉟䉥Ⲣว⑼ቇ⎇ⓥᚲ䈲ᖱႎൻ␠ળ䈱వ䈮䈅䉎㜞ᐲක≮଻㓚␠ળ䈮ะ䈔䈢䇮੍
㒐කቇ䉇䊡䊎䉨䉺䉴∛᳇ᣧᦼ⸻ᢿ䉕ታ⃻䈜䉎䈢䉄䈱䉣䊧䉪䊃䊨䊆䉪䉴䈫䊋䉟䉥䊁䉪䊉䊨䉳䊷䈱㓸Ⓧ⑼
ቇၮ⋚ᛛⴚ䉕㐿⊒䈜䉎䉫䊨䊷䊋䊦䈭ᢎ⢒⎇ⓥ᜚ὐ䉕᭴▽䈜䉎䈖䈫䉕⋡⊛䈫䈚䈩⸳┙䈘䉏䈢䇯⎇ⓥ㗔
ၞ䈲䊅䊉㓸Ⓧ⑼ቇ䇮㓸Ⓧ䉲䉴䊁䊛⑼ቇ䇮ಽሶ↢๮ᖱႎ⑼ቇ䇮㓸Ⓧක⑼ቇ䈱4䈧䈎䉌䈭䉎䇯
Nanointegration
Research Division
䊅䊉㓸Ⓧ⑼ቇ
⎇ⓥㇱ㐷
ǰȫdzȸǹǻȳǵȸ
ȜǿȳȐȃȆȪ
䊋䉟䉥䉶䊮䉰╬䊅䊉
䊋䉟䉥䉶䊮䉰╬䊅䊉䊶
䊋䉟䉥Ⲣว䊂䊋䉟䉴
෸䈶䊂䊋䉟䉴䈱
ᦨㆡ䊝䊂䊥䊮䉫
Integrated Systems
Research Division
㓸Ⓧ䉲䉴䊁䊛⑼ቇ
⎇ⓥㇱ㐷
䊅䊉䉶䊮
䊅䊉䉶䊮䉲䊮䉫䊂䊋䉟䉴╬
䊮䉲䊮䉫䊂䊋䉟䉴╬
ⶄ㔀ᖱႎಣℂ䉝䊷䉨䊁䉪䉼䊞
䈱⎇ⓥ
ǢȳȆȊ
ȐǤǪǻȳǵȸ
ǫȗǻȫ
Molecular
Bioinformation
Research Division
ಽሶ↢๮ᖱႎ
⑼ቇ⎇ⓥㇱ㐷
Nanomedicine
Research Division
㓸Ⓧක⑼ቇ
⎇ⓥㇱ㐷
䊅䊉䊂䊋䉟䉴ᛛⴚ䈱ක≮
≮
䈻䈱ᔕ↪䇮Ⲣว⎇ⓥ
ઃ࠘ᇢ஛
Research Divisions
⎇ⓥ㗔ၞ
䊋䉟䉥䉟䊮䉺䊷䊐䉢䊷䉴
䋧䌍䌅䌍䌓䈮䉋䉎ᯏ⢻ታⵝ
4.1
Nanointegration Research Division
䊅䊉㓸Ⓧ⑼ቇ⎇ⓥㇱ㐷㩷 㩷 㩷 㩷
At the Nanointegration Research Division we focus the research on nanodevices, fabrication processes,
nanointegration, nano-bio integration devices, photonic devices, nano-quantum devices, thin film devices,
nanodevice modeling and functional materials.
䊅䊉㓸Ⓧ⑼ቇ⎇ⓥㇱ㐷䈪䈲䇮䊅䊉䊂䊋䉟䉴䇮䊒䊨䉶䉴䇮䊅䊉䉟䊮䊁䉫䊧䊷䉲䊢䊮䇮䊅䊉䊋䉟䉥Ⲣว䊂䊋䉟䉴䇮䊐䉤䊃
䊆䉾䉪䊂䊋䉟䉴䇮䊅䊉㊂ሶ䊂䊋䉟䉴䇮⭯⤑䊂䊋䉟䉴䇮䊅䊉䊂䊋䉟䉴䊝䊂䊥䊮䉫䇮ᯏ⢻ᕈ᧚ᢱ╬䈮㑐䈜䉎⎇ⓥ䉕ⴕ䈦䈩
䈇䉎䇯
4.1.1
Research projects in nanointegration research division
䊅䊉㓸Ⓧ⑼ቇ⎇ⓥㇱ㐷䈮䈍䈔䉎⎇ⓥ䊒䊨䉳䉢䉪䊃
The outlines of research projects at the Nanointegration Research Division are as follows.
䊅䊉㓸Ⓧ⑼ቇ⎇ⓥㇱ㐷䈮䈍䈔䉎⎇ⓥ䊒䊨䉳䉢䉪䊃䈱ਥ䈭䉅䈱䈱᭎ⷐ䉕⚫੺䈜䉎䇯
1.
Wireless Interconnects: silicon on-chip antenna (Prof. T. Kikkawa)
䊪䉟䊟䊧䉴䉟䊮䉺䊷䉮䊈䉪䊃䋺㩷 䉲䊥䉮䊮䊶䉥䊮䉼䉾䊒䊶䉝䊮䊁䊮䊅䈱⎇ⓥ
Bow-tie antenna array with integrated balun could detect a target in a dielectric material by radiating
electromagnetic pulses and reconstructing an image by confocal imaging method. The bandwidth was 2.4
GHz(2.8–5.2 GHz). Gaussian monocycle pulses are generated as input pulse, whose center frequency, bandwidth
and pulse width are 3 GHz, 3.2 GHz and 311 ps, respectively. HIS (High Impedance Surface) was studied to
improve antenna gain. HIS was composed of the metal square patch array, in which the patch size and the pitch
were 7.3 and 8.3 mm, respectively. The antenna length and flare angle of the bow-tie antenna on the HIS were 54
mm, and 90q, respectively. The antenna gain was improved +17.4 dB at 3.4 GHz.
䊋䊤䊮ઃ䈐䊗䉡䉺䉟䉝䊮䊁䊅䉝䊧䊷䈮䉋䉍㔚⏛ᵄ䊌䊦䉴䉕᡼኿䈚䈩䇮⺃㔚૕ਛ‛૕䈱䉟䊜䊷䉳䊮䉫䉕ⴕ䈦䈢䇯䉝
䊮䊁䊅䈱Ꮺၞ᏷䈲 2.4 GHz (2.8-5.2 GHz)䈫䈭䈦䈢䇯౉ജ䊌䊦䉴䈱䉧䉡䉲䉝䊮䊝䊉䉰䉟䉪䊦䊌䊦䉴䈱䊌䊦䉴᏷䈲 311
ps䇮ਛᔃ๟ᵄᢙ䈲 3 GHz䇮Ꮺၞ䈲 3.2 GHz 䈪䈅䉎䇯HIS (High Impedance Surface)䈮䉋䉎䉝䊮䊁䊅೑ᓧะ਄䉕ᬌ⸛
䈚䈢䇯HIS 䈲৻ㄝ䈏 7.3 mm 䈱ᱜᣇᒻ䊜䉺䊦䊌䉾䉼䉕 8.3 mm 䊏䉾䉼䈪ਗ䈼䈢ੑᰴర䉝䊧䊷䉕૶↪䈚䇮䈠䈱਄䈮䉝
䊮䊁䊅㐳 54 mm, 䊐䊧䉝ⷺ 90q䈱䊗䉡䉺䉟䉝䊮䊁䊅䉕૞䉍䈖䉖䈣䇯HIS 䈮䉋䉍 3.4 GHz 䈮䈍䈔䉎䉝䊮䊁䊅䉭䉟䊮䈱ഠ
ൻ䉕+17.4 dB ᡷༀ䈚䈢䇯
2.
Wireless interconnects: UWB-CMOS transceiver circuits (Prof. T. Kikkawa)
䊪䉟䊟䊧䉴䉟䊮䉺䊷䉮䊈䉪䊃䋺㩷 㪬㪮㪙㪄㪚㪤㪦㪪ㅍฃା࿁〝䈱⎇ⓥ㩷
IR-UWB data transmission and the recovery with the low bit error rate (BER) in the short distance
communication (< 1 m) have been demonstrated using on-board bow-tie antennas. Transmitter and receiver have
double GMP generators, i.e., the one is used for synchronization, and another is used for data acquisition.
Transmitter produces alternately coming 200 Mbps on-off keying data GMP and 200 MHz timing GMP. The
receiver recovers NRZ data. The cycle of the input PRBS is (223 - 1). The measured BER was 1.1837x10-11 @ Eb/No
= 19.8 dB for a distance = 30 cm without error correction. The measured sensitivity of the receiver was -48.55 dBm
for the BER of 1.06x10-6.
⍴〒㔌䋨< 1m䋩ㅢା䈮䈍䈇䈩䇮IR-UWB 䈮䉋䉎䊂䊷䉺વㅍ䈍䉋䈶ᓳ⺞䈏䇮ૐ䊎䉾䊃䉣䊤䊷₸(BER)䈪น⢻䈪䈅䉎
䈖䈫䉕䉥䊮䊗䊷䊄䊗䉡䉺䉟䉝䊮䊁䊅䉕↪䈇䈩ታ⸽䈚䈢䇯ㅍାᯏ䇮ฃାᯏ䈲䈠䉏䈡䉏 2 䈧䈱 GMP ↢ᚑ࿁〝䉕ᜬ䈧䇯
৻䈧䈲ㅍฃା࿁〝㑆䈱หᦼ↪䈮↪䈇䉌䉏䇮䉅䈉৻䈧䈲䊂䊷䉺䈱ᄌ⺞䊶ᓳ⺞䈮↪䈇䉌䉏䉎䇯ㅍା࿁〝䈲หᦼ↪
GMP 䈫䇮䉥䊮䊶䉥䊐ᄌ⺞䈘䉏䈢䊂䊷䉺 GMP 䉕੤੕䈮ㅍା䈜䉎䇯ฃା࿁〝䈲 NRZ 䊂䊷䉺䉕ᓳ⺞䈜䉎䇯౉ജ PRBS
䈱๟ᦼ䈲(223 - 1)䈪䈅䉎䇯〒㔌 30cm ࿕ቯ䈪䇮1.1837x10-11 @ Eb/No = 19.8 dB 䉕ᓧ䈢䇯৻ᣇ BER < 10-6 䈮ኻ䈜䉎ᗵ
ᐲ䈲 -48.55 dBm.䉕㆐ᚑ䈚䈢䇯
3.
Wireless interconnects: UWB-CMOS sampling circuits (Prof. T. Kikkawa)
䊪䉟䊟䊧䉴䉟䊮䉺䊷䉮䊈䉪䊃䋺㩷 UWB-CMOS䉰䊮䊒䊥䊮䉫࿁〝䈱⎇ⓥ
A low power impulse-radio ultra-wideband (IR-UWB) confocal imaging system was developed by use of a low power
CMOS equivalent time sampling (ETS) circuit. The sampling circuit consists of a track-and-hold (T/H) circuit, a
sampling clock generator, a 4-bit analog to digital converter (ADC). To expand the input bandwidth, T/H circuit is used
before conventional ADC. The sampling circuit is fabricated in a 65 nm CMOS technology and it can achieve 28.2 GS/s
high sampling rate, 3.3 GHz input bandwidth and the PLL RMS jitter of 3.44 ps with a low power consumption of 50.7
mW. As a result, a 6x6 cm2 aluminum target, which is 30 cm under the antennas, was detected by use of confocal
imaging algorithm.
䉟䊮䊌䊦䉴ဳ䉡䊦䊃䊤䊪䉟䊄䊋䊮䊄(IR-UWB)ାภ䉕↪䈇䈢䉮䊮䊐䉤䊷䉦䊦䉟䊜䊷䉳䊮䉫䉲䉴䊁䊛[1]䉕ૐ㔚ജ╬ଔᤨ㑆
䉰䊮䊒䊥䊮䉫࿁〝䉕↪䈇䈩ታ⃻䈚䈢䇯65nm CMOS䊒䊨䉶䉴䈪⹜૞䈚䈢࿁〝䈲䇮䊃䊤䉾䉪䉝䊮䊄䊖䊷䊦䊄࿁〝(T/H circuit)䇮
䉰䊮䊒䊥䊮䉫䉪䊨䉾䉪↢ᚑ࿁〝(sampling clock generator)䇮4䊎䉾䊃䊐䊤䉾䉲䊠ဳ䉝䊅䊨䉫䊂䉞䉳䉺䊦ᄌ឵ེ(4bit Flash
ADC)䈎䉌᭴ᚑ䈘䉏䇮╬ଔᤨ㑆䉰䊮䊒䊥䊮䉫䉕ዉ౉䈜䉎䈖䈫䈪28.2GS/s 䈱⿥㜞䉰䊮䊒䊥䊮䉫䊧䊷䊃䈫50.7mW䈱⿥ૐᶖ⾌
㔚ജ䉕ታ⃻䈚䈩䈇䉎䇯ᧄ࿁〝䉕↪䈇䈩䇮䉮䊮䊐䉤䊷䉦䊦䉟䊜䊷䉳䊮䉫䉲䉴䊁䊛䉕᭴ᚑ䈚䇮䉝䊮䊁䊅䈎䉌30cmਅᣇ䈮䈅䉎䉝
䊦䊚䉺䊷䉭䉾䊃(6cmⷺᐔ᧼)䈱ᬌ಴䈮ᚑഞ䈚䈢䇯
4.
Interconnect technology: Low-k dielectric films (Prof. T. Kikkawa)
䉟䊮䉺䊷䉮䊈䉪䊃ᛛⴚ䋺㩷 ૐ⺃㔚₸⛘✼⤑䈱⎇ⓥ
In this study, infrared spectroscopic analysis technique was used to investigate the structural change of skeletal silica
network in order to optimize the chemical bonding structure of mesoporous silica (MPS) low-k film. Vapor phase
transporting (VPT) treatment was performed to make zeolite nano crystalline in silica skeleton. Vapor phase pore surface
silylation with tetramethylcyclotetrasiloxane (TMCTS) was performed. In our study, quantative value of complex
dielectric function and energy loss function of silica skeleton was determined. The imaginary part of the complex
dielectric function corresponds to TO function and energy loss function corresponds LO function. It is found that with the
combination of VPT treatment and TMCTS treatment, highly cross-linked siloxane network was formed.
ᧄ⎇ⓥ䈪䈲䇮ฦ䊒䊨䉶䉴䉕ᦨㆡൻ䈜䉎䈢䉄䈮䇮䉲䊥䉦㛽ᩰ᭴ㅧ䉕⿒ᄖಽశ䈮䉋䉍⸃ᨆ䈚䈢䇯᳇⋧ャㅍ
䉲䊥䉦㛽ᩰ䈮䉷䉥䊤䉟䊃⚿᥏䉕ᒻᚑ䈚䇮ⓨሹ⴫㕙䉕Ⅳ⁁䉲䊨䉨䉰䊮
ᴺ䈮䉋䉍
䈮䉋䉍䉲䊥䊦ൻಣℂ䈚䈢䇯ᧄ⎇ⓥߢߪ‫࡝ࠪޔ‬
ࠞ㛽ᩰߩⶄ⚛⺃㔚㑐ᢙ߅ࠃ߮ࠛࡀ࡞ࠡ࡯៊ᄬ㑐ᢙࠍ᳞߼ߚ‫⺃⚛ⶄޕ‬㔚㑐ᢙߩ⯯ㇱߪ TO 㑐ᢙߦ৻⥌ߒ‫࡞ࡀࠛޔ‬
ࠡ࡯៊ᄬ㑐ᢙߪ LO 㑐ᢙߦ৻⥌ߔࠆ‫⚿ޕ‬ᨐߣߒߡ‫ޔ‬VPT ಣℂߣ TMCTS ಣℂߦࠃࠅ㜞޿ㅪ⚿ᕈߩࠪࡠࠠࠨࡦࡀ
࠶࠻ࡢ࡯ࠢ߇ᒻᚑߐࠇߚ‫ޕ‬
5.
Interconnect technology: High-k dielectric films (Prof. T. Kikkawa)
䉟䊮䉺䊷䉮䊈䉪䊃ᛛⴚ䋺㩷 㜞⺃㔚₸⛘✼⤑䈱⎇ⓥ
Electrical properties of TiO2/LaxTi1-xOy (x =0.51, y = 1.73)/TiO2 stacked thin films were investigated. The band
gap values of LTO and TiO2 were determined to be 3.65 and 3.10 eV, respectively. The conduction band offset
values for LTO/Pt and TiO2/Pt systems were found to be 1.95 and 0.75 eV, respectively. The dielectric constant of
the TiO2/LTO/TiO2 stacked film with a TiO2 thickness of 1 nm maintained a constant value of 25.5. The
Sr7Nb13O36/Nb2O5/Sr7Nb13O36 laminated films satisfied the EOT of 0.77 nm, effective dielectric constant of 51,
effective band gap of 4.35 eV and leakage current density of 6.4x10-9 A/cm2 at 1V.
TiO2/LaxTi1-xOy (x =0.51, y = 1.73)/TiO2 Ⓧጀ⤑䈱㔚᳇․ᕈ䉕⺞䈼䈢䇯LTO 䈫 TiO2 䈱䊋䊮䊄䉩䊞䉾䊒䈲 3.65 䈫
3.10 eV 䈪䈅䈦䈢䇯વዉᏪ䉥䊐䉶䉾 LTO/Pt 䈫 TiO2/Pt 䈲 1.95 䈫 0.75 eV 䈪䈅䈦䈢䇯1nm 䈱 TiO2 䈏䈅䉎Ⓧጀ⤑
TiO2/LTO/TiO2 䈱⺃㔚₸䈲 25.5 䈪䈅䉎䇯Sr7Nb13O36/Nb2O5/Sr7Nb13O36 Ⓧጀ⤑䈱㔚᳇․ᕈ䉕⺞䈼䈢䇯ታല⊛ EOT
䈲 0.77nm䇮ታല⺃㔚₸䈲 51䇮䊋䊮䊄䉩䊞䉾䊒䈲 4.35eV䇮䊥䊷䉪㔚ᵹ䈲 6.4x10-9 A/cm2 䌀1V 䈪䈅䈦䈢䇯
6.
Nano-bio integration devices㩷 (Prof. S. Yokoyama)
䊅䊉䊋䉟䉥Ⲣว䊂䊋䉟䉴䈱⎇ⓥ
Bio-sensors using Si ring optical resonator are under investigation. Arranged silicon binding protein and sharp
resonation characteristics result in high sensitivity. Electro-optic effect of Si with ring resonator is applicable to the
optical modulator for on-chip optical interconnection in high performance Si LSI.
䉲䊥䉮䊮శ䊥䊮䉫౒ᝄེ䉕↪䈇䈢䊋䉟䉥䉶䊮䉰䊷䈱⎇ⓥ䉕ⴕ䈦䈩䈇䉎䇯㈩ะ䈚䈢䉲䊥䉮䊮⚿ว䊒䊨䊁䉟䊮䈫ᕆፋ
䈭౒ᝄ․ᕈ䈮䉋䉍㜞䈇ᬌ಴ᗵᐲ䈏ᓧ䉌䉏䉎䇯䉲䊥䉮䊮䈱㔚᳇శቇലᨐ䉕↪䈇䉏䈳䇮శ㈩✢
↪䈱శᄌ⺞ེ䈮䉅
ᔕ↪䈪䈐䉎䇯
7.
On-chip optical interconnection (Prof. S. Yokoyama)
䉼䉾䊒਄శ㈩✢䈱⎇ⓥ
On-chip optical interconnection technologies using silicon photonics are under investigation. The variety
elemental devices such as optical switch, grating couplers and Ge photodetectors are studied and also thieir
integration technology is developed.
䉲䊥䉮䊮䊐䉤䊃䊆䉪䉴䈮䉋䉎శ㈩✢
䈱⎇ⓥ䉕ⴕ䈦䈩䈇䉎䇯
䉕↪䈇䈢శ䉴䉟䉾䉼䇮䉫䊧䊷䊁䉞䊮䉫䉦䊒䊤䇮
䊐䉤䊃䊂䉞䊁䉪䉺䈭䈬䉼䉾䊒਄శ㈩✢䈮ᔅⷐ䈭ⷐ⚛ᛛⴚ䈱㐿⊒䈫㓸Ⓧൻᛛⴚ䈱⎇ⓥ䉕ⴕ䈦䈩䈇䉎䇯
8.
Preparation of red emitting BCNO:Eu3+ phosphor particles
(Prof. Kikuo Okuyama)
㩷
⿒⦡Ⱟశ㪙㪚㪥㪦㪑㪜㫌㪊㪂Ⱟశ૕☸ሶ䈱วᚑ㩷
In our laboratory, a new oxynitride phosphor, which was composed of B, C, N, O atoms, was successfully
synthesized using a simple liquid process at low synthesis temperatures (~900͠) under atmospheric pressure.
BCNO phosphor particles were synthesized. The color emission of the phosphors could be easily tuned from the
violet to near-red regions of the photoluminescence (PL) spectrum by varying the carbon content. But, the PL
property was not so good in the red region. So, the purpose of this research is the development of the PL property
in the red region. The Europium ion is selected as a dopant because it is popular dopant for red phosphor.
Furthermore, Calcium or Aluminum is selected for co-dope to develop the color purity.
䈖䉏䉁䈪䈮ᧄ⎇ⓥቶ䈪㐿⊒䈮ᚑഞ䈚䈢ᣂⷙ
Ⱟశ૕ᓸ☸ሶ䈲䇮Ᏹ࿶䊶ૐ᷷ 䌾
㷄 䈱⋭䉣䊈䊦䉩䊷䊒
䊨䉶䉴䈮䉋䈦䈩วᚑ䈪䈐䇮⚵ᚑ䈮䉋䉍⊒శ⦡䉕೙ᓮน⢻䈫䈇䈉․ᓽ䉕ᜬ䈧䈏䇮⿒⦡㗔ၞ䈮䈍䈔䉎Ⱟశ․ᕈ䈏ૐ䈇
䈫䈇䈉໧㗴䈏䈅䈦䈢䇯䈠䈖䈪䇮䊄䊷䊒೷䈱ᷝട䈮䉋䉍⿒⦡㗔ၞ䈱Ⱟశ․ᕈ䈱ะ਄䉕ᧄ⎇ⓥ䈱⋡⊛䈫䈚䈢䇯䊄䊷䊒೷
䈫䈚䈩䇮⿒⦡Ⱟశ૕᧚ᢱ䈪৻⥸⊛䈮↪䈇䉌䉏䈩䈇䉎䊡䉡䊨䊏䉡䊛
䉦䊦䉲䉡䊛
9.
䉕ㆬᛯ䈚䈢䇯䉁䈢䇮⿒⦡䈱⦡⚐ᐲะ਄䈱䈢䉄䇮
䉇䉝䊦䊚䊆䉡䊛 䈭䈬䈱䉮䊄䊷䊒䈮䈧䈇䈩ᬌ⸛䈚䈩䈇䉎䇯
Morphological controllable magnesium fluoride particles: from the nano to
submicrometer (Prof. Kikuo Okuyama)
䊅䊉䈎䉌䉰䊑䊚䉪䊨䊮䉰䉟䉵䉁䈪䈱䊐䉾ൻ䊙䉫䊈䉲䉡䊛☸ሶ䈱ᒻᘒ೙ᓮ
The demand in the production of ultralow refractive index material has been increased. This reason drives us to
make several materials to achieve this requirement. One of the candidate materials is magnesium fluoride (MgF2).
In this study, preparation of MgF2 with controllable size (from nano to submicron) and morphology (spherical and
cubical) was become our focus. The excellent result was obtained, in which all of this controllable can be achieved
by a simple adjustment of reactant concentration. With the ability to control particle size and morphology, the
creation of other inorganic particles is possible and has potential for many field applications.
⃻࿷‫ޔ‬ૐዮ᛬₸᧚ᢱߩ㔛ⷐߪჇട௑ะߦ޽ࠅ‫ޔ‬ᚒ‫ߪޘ‬ૐዮ᛬₸᧚ᢱߣߒߡᦨ߽ᦼᓙߐࠇߡ޿ࠆࡈ࠶ൻ
ࡑࠣࡀࠪ࠙ࡓ(MgF2)☸ሶߦ⌕⋡ߒߚ‫⎇ᧄޕ‬ⓥߢߪ‫☸ߢ߹࠭ࠗࠨࡦࡠࠢࡒࡉࠨࠄ߆࠭ࠗࠨࡁ࠽ޔ‬ᓘ߇೙ᓮ
ߢ߈‫ޔ‬ᒻᘒ߇⃿ᒻߣ┙ᣇ૕ဳߦ೙ᓮน⢻ߥMgF2☸ሶߩวᚑߦߟ޿ߡᬌ⸛ࠍⴕߞߚ‫⎇ᧄޕ‬ⓥߢ↪޿ߚࡊࡠ
࠮ࠬߪ‫ޔ‬෻ᔕ‛⾰ߩỚᐲࠍኈᤃߦ೙ᓮน⢻ߢ޽ࠆߎߣߦട߃‫੹ޔ‬࿁วᚑࠍⴕߞߚMgF2☸ሶએᄖߩᄙߊߩ
ήᯏ☸ሶ߳ߩวᚑߦ߽ㆡ↪น⢻ߢ޽ࠆߚ߼‫ޔ‬᭽‫ߥޘ‬ಽ㊁߳ᔕ↪ߢ߈ࠆน⢻ᕈࠍᜬߞߡ޿ࠆ‫ޕ‬
10. Preparation and dispersion of submicron and nanoparticles for hybrids
inorganic-organic materials (Prof. Kikuo Okuyama)
᦭ᯏ ήᯏ䊊䉟䊑䊥䉾䊄᧚ᢱ䈻䈱ᔕ↪䈮ะ䈔䈢䉰䊑䊚䉪䊨䊮෸䈶䊅䊉☸ሶ䈱วᚑ䈫㐿⊒
Nanoparticles are known as useful filler for some composite materials: photosensitive materials such as
photoanodes and photocatalysts; heat resistance materials, and optical materials. The TiO2 nanoparticles have been
successfully dispersed in organic solvent for optical application and Al(OH)3 particles in PMMA for heat resistance
application. Currently, the focus of the investigation are as the following: (1) preparation and dispersion of
N-doped TiO2 for color degradation of well-dispersed TiO2 nanoparticles in organic solvent, (2) Preparation and
dispersion of graphite oxide powder for development of high performance of fuel cell electrode.
࠽ࡁ☸ሶߪ‫߿࠼࡯ࡁࠕ࠻ࠜࡈޔ‬శ⸅ᇦߣ޿ߞߚ౮⌀ᗵశ᧚‫ޔ‬⠴ᾲ᧚‫ޔ‬శቇ᧚ᢱߥߤߩࠦࡦࡐࠫ࠶࠻᧚
ᢱ߳ߩ᦭↪ߥࡈࠖ࡜࡯ߣߒߡ⍮ࠄࠇߡ޿ࠆ‫ޕ‬శቇ᧚ᢱ߳ߩᔕ↪ߦะߌ㉄ൻ࠴࠲ࡦ࠽ࡁ☸ሶࠍ᦭ᯏṁᇦਛ
߳‫ߚ߹ޔ‬⠴ᾲ᧚ᢱ߳ߩᔕ↪ߦะߌ᳓㉄ൻࠕ࡞ࡒ࠾࠙ࡓ☸ሶࠍPMMAਛ߳☳⎈෸߮ಽᢔߐߖࠆߎߣߦᚑഞ
ߒ߹ߒߚ‫⃻ޕ‬࿷ߪ‫(ޔ‬1)᦭ᯏṁᇦਛߦ㉄ൻ࠴࠲ࡦࠍಽᢔߐߖߚಽᢔṁᶧߩㅌ⦡ߩߚ߼ߩN-doped TiO2☸ሶߩ
วᚑߣಽᢔ‫(ޕ‬2)㜞ᕈ⢻Άᢱ㔚ᳰ↪㔚ᭂ᧚ᢱ㐿⊒ߩߚ߼ߩ‫㉄࠻ࠗࠔࡈ࡜ࠣޔ‬ൻ‛ߩวᚑߣಽᢔߦߟ޿ߡᬌ
⸛ࠍⴕߞߡ޿ࠆ‫ޕ‬
11. Development of nanofiber materials by electrospinning (Prof. Kikuo Okuyama)
㕒㔚⚜♻ᴺ䈮䉋䉎䊅䊉䊐䉜䉟䊋䊷᧚ᢱ䈱㐿⊒
Nanofiber materials are of scientific interest due to its unique morphology, i.e: nanometer-sized diameter with
high surface area. In its process, precursor polymer solution is placed on hypodermic syringe and the precursor is
ejected by syringe pump. At the same time, a high voltage is applied to the needle, resulting cone-jet fluid appear
and whipping to the electrode and forming nanofiber. The simplicity of electrospinning to produce continuous
fibers of different materials may provide solutions for global issues including environment, energy, healthcare and
biomedical application. Therefore the study on nanofiber materials including synthesis, properties investigations,
and application is necessary.
䊅䊉䊐䉜䉟䊋䊷᧚ᢱ䈲䇮䈠䈱䊡䊆䊷䉪䈭ᒻ⁁䋨㜞䈇Ყ⴫㕙Ⓧ䉕ᜬ䈦䈢䊅䊉䉰䉟䉵䈱⋥ᓘ䈭䈬䋩䈎䉌⑼ቇ⊛㑐ᔃ
䉕㓸䉄䈩䈇䉎䇯ᧄ䊒䊨䉶䉴䈪䈲䇮䊒䊥䉦䊷䉰䊷䈪䈅䉎䊘䊥䊙䊷ṁᶧ䈲䉲䊥䊮䉳䈮ਛ䈮ኽ౉䈘䉏䇮䉲䊥䊮䉳䊘䊮䊒䈮䉋
䈦䈩᛼䈚಴䈘䉏䉎䇯䈠䉏䈫หᤨ䈮㜞㔚࿶䈏䊆䊷䊄䊦䈮ශട䈘䉏䇮ᵹ૕䈏౞㍙⁁䈮ྃ㔵䈘䉏䉎䇯䈠䈚䈩䇮ᵹ૕䈲㔚
ᭂ䈮᝝㓸䈘䉏䊅䊉䊐䉜䉟䊋䊷䉕ᒻᚑ䈜䉎䇯⇣䈭䉎᧚ᢱ䉕ㅪ⛯⊛䈮↢ᚑ䈜䉎㕒㔚⚜♻ᴺ䈱◲⚛䈘䈲䇮ⅣႺ䇮䉣䊈䊦
䉩䊷䇮䊓䊦䉴䉬䉝䇮↢‛ቇ⊛䈭ᔕ↪䈫䈇䈦䈢਎⇇⊛䈭໧㗴䈻䈱╵䈋䈫䈭䉎น⢻ᕈ䈏䈅䉍䇮䊅䊉䊐䉜䉟䊋䊷䈱วᚑ䇮
․ᕈ⹏ଔ䇮ᔕ↪䈭䈬䈱⎇ⓥ䈏ᔅⷐ䈫䈭䈦䈩䈇䉎䇯
12. Preparation of ZnO-SiO2 composite particles by spray drying process
(Prof. Kikuo Okuyama)
㉄ൻ੝㋦ 䉲䊥䉦䉮䊮䊘䉳䉾䊃☸ሶ䈱ྃ㔵ੇ῎ᴺ䈮䉋䉎วᚑ
Zinc oxide nanoparticles can be synthesized by liquid-phase process although the luminescence property
degrades due to particle growth. In this research, ZnO-SiO2 composite particles were prepared by spray drying. To
achieve long-term stability and high performance of ZnO luminescence, control of ZnO particle size and
optimization of operating condition of spray drying process, analysis of internal structure of composite particles
and measurement of quantum efficiency are now investigated.
㉄ൻ੝㋦䊅䊉☸ሶ䈲ᶧ⋧䊒䊨䉶䉴䈪วᚑ䈪䈐䉎䈖䈫䈏ႎ๔䈘䉏䈩䈇䉎䈏䇮䉮䊨䉟䊄䈲☸ሶ䈱ಝ㓸䈫ᚑ㐳䉕⿠䈖
䈜䈢䉄䇮㊂ሶ䉰䉟䉵ലᨐ䈪⊒⃻䈜䉎㉄ൻ੝㋦䊅䊉☸ሶ䈱Ⱟశ․ᕈ䈲⚻ᤨഠൻ䈜䉎䈖䈫䈏⺖㗴䈫䈭䈦䈩䈇䉎䇯䈠䈖
䈪ᧄ⎇ⓥ䈪䈲䇮㉄ൻ੝㋦䊅䊉☸ሶ䈫䉲䊥䉦☸ሶ䈫䈱䉮䊮䊘䉳䉾䊃☸ሶ䉕ྃ㔵ੇ῎ᴺ䈮䉋䉍วᚑ䈜䉎䈖䈫䉕⋡⊛䈫䈜
䉎䇯⊒శ䈱㐳ᦼ․ᕈ䈱⛽ᜬ䈫㜞ᯏ⢻ൻ䈮ะ䈔䈩㉄ൻ੝㋦䊅䊉☸ሶ䈱☸ሶᓘ೙ᓮ䉇䊒䊨䉶䉴䈱ᠲ૞᧦ઙ䈱ᦨㆡ
ൻ䇮䉁䈢วᚑ䈚䈢䉮䊮䊘䉳䉾䊃☸ሶ䈱ౝㇱ᭴ㅧ䉇⊒శല₸䈱ಽᨆ䉕ⴕ䈦䈩䈇䉎䇯
13. Synthesis of porous nanostructured-materials by spray-drying method
(Prof. Kikuo Okuyama)
ྃ㔵ੇ῎ᴺ䈮䉋䉎䊅䊉䊘䊷䊤䉴᧚ᢱ䈱วᚑ
Porous nanostructured-materials are of scientific interest because of their ability to absorb and interact with
atoms, ions and molecules on their high surface area in the nanometer sized pore space. They offer new
opportunities in areas of inclusion chemistry, molecular manipulations and reaction in the nanoscale for making
nanostructured materials. Spray-drying method can be used to prepare porous nanostructured-materials due to its
advantages such as possibility to scale-up, continuous in process, low cost, and produces a high yield product.
Particle morphology can also be controlled using this method, by controlling the droplet size. Therefore, it is very
interesting to develop nanostructured-materials by spray-drying method and the evaluation of its performance is
necessary.
䊅䊉䊘䊷䊤䉴᧚ᢱ䈲䇮䊅䊉䉰䉟䉵䈱⚦ሹ䈮䉋䉍ᄢ䈐䈭⴫㕙Ⓧ䉕䉅䈤䇮ๆ෼⢻ജ䉇ේሶ䇮䉟䉥䊮䇮ಽሶ㑆䈮䈍䈔䉎
⋧੕૞↪䈱ᓇ㗀䈏ᄢ䈐䈇䈖䈫䈎䉌⑼ቇ⊛䈭⥝๧䉕㓸䉄䈩䈍䉍䇮ൻቇ䇮ಽሶᠲ૞䇮䊅䊉䉴䉬䊷䊦䈪䈱䊅䊉᧚ᢱ䈱ว
ᚑ䈫䈇䈦䈢ಽ㊁䈪ᣂ䈢䈭ዷ㐿䉕⷗䈞䈩䈇䉎䇯ྃ㔵ੇ῎ᴺ䈲䉴䉬䊷䊦䉝䉾䊒䈏น⢻䇮ㅪ⛯䊒䊨䉶䉴䇮ૐ䉮䉴䊃䇮㜞ല
₸䈪䈅䉎䈫䈇䈦䈢೑ὐ䈎䉌䊅䊉䊘䊷䊤䉴᧚ᢱ䉕วᚑ䈜䉎㓙䈮↪䈇䉌䉏䉎䇯ᶧṢ䈱ᄢ䈐䈘䉕䉮䊮䊃䊨䊷䊦䈜䉎䈖䈫䈮䉋
䈦䈩☸ሶᒻᘒ䉕೙ᓮ䈜䉎䈖䈫䈏䈪䈐䉎䈢䉄䇮ྃ㔵ੇ῎ᴺ䈮䉋䉍䊅䊉᧚ᢱ䉕㐿⊒䈜䉎䈖䈫䈲㕖Ᏹ䈮᦭↪䈪䈅䉍䇮䈠䈱
ᕈ⢻䈱⹏ଔ䈏ᔅⷐ䈪䈅䉎䇯
14. Design and synthesis of silicon-based materials for organic electronic devices
(Prof. J. Ohshita)
䉬䉟⚛䉕䊔䊷䉴䈫䈚䈢᦭ᯏ㔚ሶ䊂䊋䉟䉴᧚ᢱ䈱⸳⸘䈫วᚑ㩷
Aiming at developing materials for organic electronic devices, such as organic light emitting diodes, organic
thin film transistors, and organic solar cells, novel organosilicon compounds that are designed on the basis of the
theoretical calculations at high level are prepared and their properties are studied with respect to UV-vis absorption
and emission spectra, cyclic voltammograms, etc. Applications of the compounds as the device materials are
investigated in collaboration with the research group of Prof. Harima at graduate school of engineering.
᦭ᯏ⊒శ䉻䉟䉥䊷䊄䇮᦭ᯏ⭯⤑䊃䊤䊮䉳䉴䉺䇮᦭ᯏᄥ㓁㔚ᳰ䈭䈬䈱᦭ᯏ㔚ሶ䊂䊋䉟䉴䈱᧚ᢱ䈱㐿⊒䉕⋡ᜰ䈚
䈩䇮㜞䈇䊧䊔䊦䈪䈱ℂ⺰⸘▚䉕ၮ䈮⸳⸘䈘䉏䈢ᣂⷙ䈭᦭ᯏ䉬䉟⚛ൻว‛䉕วᚑ䈚䇮䈠䈱․ᕈ䉕⚡ᄖนⷞๆ෼䊶
⊒శ䉴䊕䉪䊃䊦䇮䉰䉟䉪䊥䉾䉪䊯䉤䊦䉺䊝䉫䊤䊛䈪ᬌ⸛䈚䈩䈇䉎䇯ൻว‛䈱䊂䊋䉟䉴᧚ᢱ䈫䈚䈩䈱ᔕ↪䉕Ꮏቇ⎇ⓥ⑼
᠞⏴⎇ⓥቶ䈫౒ห䈪ᬌ⸛䈚䈩䈇䉎䇯
15. Heteroarene-based small moclecular organic semiconductors
(Prof. K. Takimiya)
䊓䊁䊨䉝䊧䊷䊮♽ૐಽሶ᦭ᯏඨዉ૕䈱㐿⊒㩷
Organic thin-film transistors (OTFTs) have attracted great interest for their potential use in several electronic
applications, including active-matrix displays, electronic paper, and chemical sensors. Intrinsically, carrier transport
LQRUJDQLFPROHFXODUVROLGVLVJRYHUQHGE\WKHH[WHQWRILQWHUPROHFXODUʌ-orbital coupling (transfer integrals), where
larger orbital coupling can afford higher mobility. One of the promising approaches to enhance orbital coupling is
WR XVH KLJKO\ ʌ-extended molecules. We have been focusing on [1]benzothieno[3,2-b]benzothiophene,
dinaphtho[2,3-b:2ƍƍ-f] thieno[3,2-b]thiophene, naphthodithiophenes, and various derivatives of these molecules.
᦭ᯏ⭯⤑䊃䊤䊮䉳䉴䉺䋨OTFTs䋩䈲䇮䉝䉪䊁䉞䊑䊙䊃䊥䉾䉪䉴䊂䉞䉴䊒䊧䉟䉇㔚ሶ䊕䊷䊌䊷䇮䉶䊮䉰䊷╬䈻䈱ᔕ↪
䈏ᦼᓙ䈘䉏䇮⋓䉖䈮⎇ⓥ䈏ⴕ䉒䉏䈩䈇䉎䇯᦭ᯏಽሶ࿕૕ਛ䈪䈱䉨䊞䊥䉝䈱⒖േ䈲䇮ಽሶ㑆䈱ʌ゠㆏䈱㊀䈭䉍䈮䉋
䈦䈩ᡰ㈩䈘䉏䈩䈇䉎䇯OTFTs↪䈇䉎᦭ᯏඨዉ૕䈫䈚䈩䈲䇮ʌ㔚ሶ♽䈱ᐢ䈏䈦䈢❗วᄙⅣಽሶ䋨䊓䊁䊨䉝䊧䊷䊮䋩䈏
㕖Ᏹ䈮᦭↪䈪䈅䉍䇮⃻࿷ᚒ䇱䈱⎇ⓥቶ䈪䈲䇮䊔䊮䉹䉼䉣䊉䉼䉥䊐䉢䊮䉇䉳䊅䊐䊃䉼䉣䊉䉼䉥䊐䉢䊮䇮䊅䊐䊃䉳䉼䉥䊐
䉢䊮╬䉕ၮ⺞䈫䈚䈢ൻว‛䈱㐿⊒䉕ⴕ䈦䈩䈇䉎䇯
16. Phorphirazine-based organic semiconductors (Prof. K. Takimiya)
䊘䊦䊐䉞䊤䉳䊮♽᦭ᯏඨዉ૕䈱㐿⊒
A porphyrazine-based compound such as phthalocyanine, which is a class of 18-S conjugated aromatic
compounds, has been widely studied as an organic semiconductor because of high redox ability and air-stability. In
this project, we develop various heterocycles-fused porphyrazines to create superior organic semiconductors.
䊐䉺䊨䉲䉝䊆䊮䉕ઍ⴫䈫䈜䉎౒ᓎ18S㔚ሶ♽ಽሶ䈪䈅䉎䊘䊦䊐䉞䊤䉳䊮ൻว‛䈲䇮㜞䈇㔚ሶ᝼ฃ⢻䉕᦭䈜䉎቟
ቯ䈭ൻว‛䈪䈅䉎䈖䈫䈎䉌䇮᦭ᯏඨዉ૕䈫䈚䈩ᐢ䈒↪䈇䉌䉏䈩䈇䉎䇯ᒰ⎇ⓥቶ䈪䈲䇮䊘䊦䊐䉞䊤䉳䊮㛽ᩰ䈮䊓䊁䊨
Ⅳ䉕❗Ⅳ䈘䉏䈢ൻว‛䈱㐿⊒䉕ⴕ䈦䈩䈇䉎䇯
17. Thienoquinoidal molecules for n-channel organic field-effect transistors
(Prof. K. Takimiya)
㫅ဳ᦭ᯏ䊃䊤䊮䉳䉴䉺↪䉼䉣䊉䉨䊉䉟䊄ಽሶ䈱วᚑ㩷
Thienoquinoidal compounds with dicyanomethylene or ((alkyloxy)carbonyl)cyanomethylene terminal groups
offer high electron affinity, and thus are attractive materials for n-channel OTFTs. We have been developing such
organic semiconductors based on oligothiophenes, thienothiophene, and benzodithiophene as the thienoquinoidal
cores.
䉳䉲䉝䊉䊜䉼䊧䊮ၮ䉇䉝䊦䉨䊦䉥䉨䉲䉦䊦䊗䊆䊦䉲䉝䊉䊜䉼䊧䊮ၮ䉕䉅䈧䉼䉣䊉䉨䊉䉟䊄ൻว‛䈲䇮㜞䈇㔚ሶ䉝
䉪䉶䊒䉺䊷ᕈ䉕᦭䈜䉎䈖䈫䈎䉌䇮nဳ᦭ᯏඨዉ૕䈫䈚䈩᦭↪䈪䈅䉎䇯ᒰ⎇ⓥቶ䈪䈲䇮䉥䊥䉯䉼䉥䊐䉢䊮䉇䉼䉣䊉䉼䉥
䊐䉢䊮䇮䊔䊮䉹䉳䉼䉥䊐䉢䊮╬䉕䉨䊉䉟䊄ㇱ૏䈫䈜䉎ൻว‛䈱㐿⊒䉕ⴕ䈦䈩䈇䉎䇯
18. Semiconducting polymers for organic thin film devices
(Prof. K. Takimiya)
᦭ᯏ⭯⤑䊂䊋䉟䉴↪ඨዉ૕䊘䊥䊙䊷䈱㐿⊒㩷
Semiconducting polymers are an important class of active materials in printable electronics, such as OTFTs and
organic thin film solar cells. They offer great advantages, such as solution processability along with uniformity and
thermal stability of the thin films, over small-molecule semiconductors. In this project, we focus on the polymers
with heteroarene moieties introduced in the polymer backbone and with donor-acceptor type backbone, both of
ZKLFK FDQ HQKDQFH WKH LQWHUPROHFXODU ʌ-orbital interactions and thus facilitate the charge carrier transport in the
thin films.
䊘䊥䊙䊷ဳ᦭ᯏඨዉ૕䈲䇮⵾⤑ᕈ䇮⭯⤑䈱ဋ৻ᕈ䇮⠴ᾲᕈ䈭䈬䈱ὐ䈎䉌䇮䊒䊥䊮䉺䊑䊦䉣䊧䉪䊃䊨䊆䉪䉴
䋨OTFTs 䉇᦭ᯏ⭯⤑ᄥ㓁㔚ᳰ䋩䈮䈍䈇䈩㕖Ᏹ䈮ᵈ⋡ᐲ䈱㜞䈇᧚ᢱ䈪䈅䉎䇯ᒰ⎇ⓥቶ䈪䈲䇮䊓䊁䊨䉝䊧䊷䊮䉕㜞
ಽሶਥ㎮ౝ䈮ዉ౉䈜䉎䈖䈫䉇䇮䊄䊅䊷䊶䉝䉪䉶䊒䉺䊷ဳਥ㎮䉕↪䈇䉎䈖䈫䈪䇮㜞⒖േᐲඨዉ૕䊘䊥䊙䊷䈱㐿⊒䉕ⴕ
䈦䈩䈇䉎䇯
19. Activation of As and B by thermal plasma jet induced millisecond annealing and its
application to ultra shallow junction formation (Assoc. Prof. S. Higashi)
㩷
ᾲ䊒䊤䉵䊙䉳䉢䉾䊃ᾖ኿䊚䊥⑽ᾲಣℂ䈮䉋䉎 㪘㫊 䈍䉋䈶 㪙 䈱ᵴᕈൻ䈫ᭂᵻធวᒻᚑ
For the formation of ultra shallow junction (USJ) in scaled MOSFETs, we have applied an Ar DC arc
discharge thermal plasma jet (TPJ) to millisecond annealing of Silicon wafers implanted with As or B ions (Fig. 1).
It is clearly observed in As implanted samples that higher cooling rate decreases Rs remarkably when the annealing
temperature is the same. Based on this understanding, we have successfully formed As USJ with a junction depth
(Xj) of 39 nm and a low Rs of 260 :/sq. by applying 950 K annealing with a cooling rate of 5.7×104 K/s.
MOSFET ߩ࠰࡯ࠬ࡮࠼࡟ࠗࡦࠛࠢࠬ࠹ࡦ࡚ࠪࡦߩᭂᵻធว㧔Ultra Shallow Junction : USJ㧕ᒻᚑࠍ⋡ᜰ
ߒ‫ޔ‬ᄢ᳇࿶ &% ࠕ࡯ࠢ᡼㔚ߦࠃࠅ⊒↢ߒߚᾲࡊ࡜࠭ࡑࠫࠚ࠶࠻㧔TPJ㧕೨㕙ߦ߅޿ߡ‫⚛ࡅޔ‬㧔As㧕߅ࠃ߮
ࡎ࠙⚛㧔B㧕ࠍࠗࠝࡦᵈ౉ߒߚࠪ࡝ࠦࡦ࠙ࠛࡂࠍ⿛ᩏߔࠆߎߣߦࠃߞߡਇ⚐‛ᵴᕈൻࠍ⹜ߺߚ‫ ߦ․ޕ‬As
ߩᵴᕈൻߦߪᕆㅦടᾲ࡮಄ළ߇㊀ⷐߢ޽ࠆߎߣࠍ⷗಴ߒ‫ޔ‬ಣℂ᷷ᐲ 950 K‫ޔ‬಄ළㅦᐲ 5.7×104 K/s ߩ᧦ઙ
ߢ As ᵈ౉ࠨࡦࡊ࡞ࠍಣℂߒߚߣߎࠈ‫ޔ‬ਇ⚐‛᜛ᢔࠍᛥ೙ߒߟߟ‫ޔ‬Rs 1095:/sq.‫ޔ‬ធวᷓߐ
Xj11.9 nm ߩ
USJ ᒻᚑߦᚑഞߒߚ‫ޕ‬
20. Dependence of charge storage and programming characteristics on dot number
of floating dot memory (Assoc. Prof. A. Nakajima)
䊐䊨䊷䊁䉞䊮䉫䊄䉾䊃䊜䊝䊥䈮䈍䈔䉎଻ᜬ․ᕈ䈫䊒䊨䉫䊤䊚䊮䉫․ᕈ䈱䊄䉾䊃ᢙଐሽᕈ㩷
The device size of flash memories becomes under 100nm at present. As the size of the floating gate reduces, the
number of electrons in a floating gate also becomes small. When the number of electrons becomes small, electrons
are lost in a short time even in the retention mode. To circumvent this problem, the idea of dividing the floating
gate into plural dots has been proposed. However, the most effective position and number of dots has not been
investigated to date. Therefore, nonvolatile memories with a narrow channel and self-aligned Si nanoscale floating
dots are fabricated and systematically studied the dependence of memory characteristics on the size, number and
position of floating dots.
⃻࿷䊐䊤䉾䉲䊠䊜䊝䊥䈲
䉕ഀ䉎䊂䊋䉟䉴䉰䉟䉵㗔ၞ䈮౉䈦䈩䈇䉎䇯䊐䊤䉾䉲䊠䊜䊝䊥䈮䈍䈔䉎䊐䊨䊷䊁䉞䊮
䉫䉭䊷䊃䈱䉰䉟䉵䈏ዊ䈘䈒䈭䉎䈫䇮䊐䊨䊷䊁䉞䊮䉫䉭䊷䊃䈮଻ᜬ䈜䉎㔚ሶᢙ䉅ዊ䈘䈒䈭䉍䇮䊐䊨䊷䊁䉞䊮䉫䉭䊷䊃䉕࿐䉃
⛘✼⤑䈮䉒䈝䈎䈱㔚ሶ䊥䊷䉪䊌䉴䈏䈅䈦䈩䉅㔚ሶ䈏⍴ᤨ㑆䈪ᄬ䉒䉏䉎䇯䈖䉏䉕⸃᳿䈜䉎䈢䉄䈮䇮䊐䊨䊷䊁䉞䊮䉫䉭
䊷䊃䉕ⶄᢙ䈱䊄䉾䊃䈮ಽഀ䈜䉎੐䈏ឭ᩺䈘䉏䈩䈇䉎䇯䈚䈎䈚ᧂ䈣䇮䊥䊷䉪䈱ᓇ㗀䉕ᦨ䉅ૐᷫ䈪䈐䉎䊄䉾䊃䈱ᦨㆡ䈭㈩
⟎䈮䈧䈇䈩䈲್䈦䈩䈇䈭䈇䇯䈠䈖䈪䇮䊐䊨䊷䊁䉞䊮䉫䊄䉾䊃䈱䉰䉟䉵䇮୘ᢙ䇮㈩⟎䉕ᱜ⏕䈮ⷙቯ䈚䈩䇮䈠䉏䉌䈱䊜䊝䊥
․ᕈ䈻䈱ᓇ㗀䉕♽⛔⊛䈮⺞䈼䈩䈇䉎䇯
21. Conduction mechanism and the application of Si two-dimensional tunnel junction
array (Assoc. Prof. A. Nakajima)
㪪㫀㩷 㪉ᰴర䊃䊮䊈䊦ធว䈮䈍䈔䉎વዉᯏ᭴䈫ᔕ↪
A self-organization fabrication process of two-dimensional small tunnel junction arrays (2D-TJA) are developed.
The dot is naturally formed by the proximity effect in the electron beam lithography at the place where the
horizontal and vertical resist wire patterns cross. Carrier conduction mechanism of the Si 2D-TJA and the
possibility of realizing the application to a circuits are investigated.
ᰴరᓸዋ䊃䊮䊈䊦ធว䉝䊧䉟䈱⥄Ꮖ⚵❱ൻᒻᚑ䊒䊨䉶䉴䉕㐿⊒䈚䈩䈇䉎䇯䊄䉾䊃䈲㔚ሶ✢䊥䉸䉫䊤䊐䉞䊷䈮䈍
䈔䉎ㄭធലᨐ䉕೑↪䈚䈩⚦✢䈱䊧䉳䉴䊃䊌䉺䊷䊮䈏੤Ꮕ䈜䉎૏⟎䈮⥄ὼᒻᚑ䈘䉏䉎䇯૞⵾䈚䈢䉲䊥䉮䊮 ᰴర䊃䊮
䊈䊦ធว䉝䊧䉟䈱વዉᯏ᭴䈫䈖䈱વዉᯏ᭴䉕೑↪䈚䈢࿁〝䈱ታ⃻䈱น⢻ᕈ䉕⺞䈼䈩䈇䉎䇯
4.1.2
Research highlights in nanointegration research division
⎇ⓥ䊊䉟䊤䉟䊃
I.
Wireless interconnects: UWB-CMOS integrated
with antenna
䊪䉟䊟䊧䉴䉟䊮䉺䉮䊈䉪䊃䋺 䉝䊮䊁䊅㓸Ⓧൻ UWB-CMOS
Prof. Takamaro Kikkawa
࿁〝䈱⎇ⓥ
ᢎ᝼ ศᎹ౏㤚
Impulse-radio ultra-wideband (IR-UWB) has been proposed as a candidate of wireless interconnects. Gaussian
monocycle pulse (GMP) is used as a transmitted signal. In the previous work, single chip IR-UWB transmitter and
receiver KDYHEHHQGHYHORSHGXVLQJȝm CMOS technology with a simple architecture [1], and the inter-chip wireless
interconnection using on-chip integrated antennas was demonstrated. This time, IR-UWB data transmission and the
recovery with the low bit error rate (BER) in the short distance communication (< 1 m) have been demonstrated using
on-board bow-tie antennas. Figure 1 shows a block diagram of IR-UWB (a) transmitter and (b) receiver. Synchronization
is performed by sliding the phase of the template. Transmitter and receiver have double GMP generators, i.e., the one is
used for synchronization, and another is used for data acquisition. Figure 2 shows a setup for the BER measurement. 200
MHz reference clock CK1, CK2 and 200 Mbps pseudo random binary sequence (PRBS) DATA are generated by BER
tester (BERT). CK1 and DATA are sent to the transmitter, and CK2 is sent to the receiver. Transmitter produces
alternately coming 200 Mbps on-off keying data GMP and 200 MHz timing GMP. After an external amplifier and
attenuators, GMP signals are emitted from an on-board bow-tie antenna. The receiver recovers NRZ data, and BER is
measured by comparing the recovered data with reference data. Here, the cycle of the input PRBS is (223 - 1). Figure 3
shows a BER as a function of Eb/No. The measured BER was 1.1837x10-11 @ Eb/No = 19.8 dB for a distance = 30 cm
without error correction, which is comparable to that of the wired connection. The measured sensitivity of the receiver was
-48.55 dBm for the BER of 1.06x10-6.
ᧄ⎇ⓥߢߪ‫ޔ‬ή✢ࠗࡦ࠲ࠦࡀࠢ࠻ߩ୥⵬ߣߒߡ‫ࠬ࡞ࡄࡦࠗޔ‬ᣇᑼߩ࠙࡞࠻࡜ࡢࠗ࠼ࡃࡦ࠼ㅢା(IR-UWB)ࠍ
ឭ᩺ߒߡ޿ࠆ‫ޕ‬ㅍାᵄᒻߣߒߡߪࠟ࠙ࠪࠕࡦ࡮ࡕࡁࠨࠗࠢ࡞ࡄ࡞ࠬ(GMP)ࠍ↪޿ࠆ‫⎇ᧄޕ‬ⓥߢߪᣢߦ‫ޔ‬ȝP
CMOS ࠹ࠢࡁࡠࠫ࡯ࠍ↪޿ߡ‫ߥ࡞ࡊࡦࠪޔ‬࿁〝ࠕ࡯ࠠ࠹ࠢ࠴ࡖࠍᜬߟ‫ ࡊ࠶࠴࡞ࠣࡦࠪޔ‬IR-UWB ㅍฃା࿁〝
ߩ㐿⊒ߦᚑഞߒߡ߅ࠅ[1]‫ࡊ࠶࠴ࡦࠝޔ‬㓸Ⓧൻࠕࡦ࠹࠽ࠍ↪޿ߚή✢વㅍࠍታ⸽ߒߚ‫੹ޕ‬࿁‫ޔ‬⍴〒㔌㧔< 1m㧕
ㅢାߦ߅޿ߡ‫ޔ‬IR-UWB ߦࠃࠆ࠺࡯࠲વㅍ߅ࠃ߮ᓳ⺞߇‫ޔ‬ૐࡆ࠶࠻ࠛ࡜࡯₸(BER)ߢน⢻ߢ޽ࠆߎߣࠍࠝࡦࡏ
࡯࠼ࡏ࠙࠲ࠗࠕࡦ࠹࠽ࠍ↪޿ߡታ⸽ߒߚ‫ޕ‬࿑㧝ߦ(a)IR-UWB ㅍା࿁〝 (b) ฃା࿁〝ߩࡉࡠ࠶ࠢ࿑ࠍ␜ߔ‫ޕ‬หᦼ
ߪ࠹ࡦࡊ࡟࡯࠻ߩ૏⋧ࠍࠬ࡜ࠗ࠼ߐߖࠆߎߣߦࠃࠅ㆐ᚑߐࠇࠆ‫ޕ‬ㅍାᯏ‫ޔ‬ฃାᯏߪߘࠇߙࠇ 2 ߟߩ GMP ↢ᚑ࿁
〝ࠍᜬߟ‫ߪߟ৻ޕ‬ㅍฃା࿁〝㑆ߩหᦼ↪ߦ↪޿ࠄࠇ‫ߩ࠲࡯࠺ߪߟ৻߁߽ޔ‬ᄌ⺞࡮ᓳ⺞ߦ↪޿ࠄࠇࠆ‫ޕ‬࿑ 2 ߪ‫ޔ‬
BER ᷹ቯߩߚ߼ߩ࠮࠶࠻ࠕ࠶ࡊߢ޽ࠆ‫ޕ‬BER ⹜㛎ᯏ(BERT)ߪ‫ޔ‬200 MHz ෳᾖࠢࡠ࠶ࠢ CK1,CK2 ߅ࠃ߮ 200 Mbps
ߩ⇼ૃ࡜ࡦ࠳ࡓ࠺࡯࠲(PRBS) DATA ࠍ↢ᚑߔࠆ‫ޕ‬ㅍା࿁〝ߪหᦼ↪ GMP ߣ‫ࡈࠝ࡮ࡦࠝޔ‬ᄌ⺞ߐࠇߚ࠺࡯࠲ GMP
ࠍ੤੕ߦㅍାߔࠆ‫ޕ‬ᄖઃߌࠕࡦࡊߣࠕ࠶࠹ࡀ࡯࠲ߢ಴ജ⺞ᢛᓟ‫ޔ‬GMP ାภߪࠝࡦࡏ࡯࠼ࡏ࠙࠲ࠗࠕࡦ࠹࠽ߢㅍ
ାߐࠇࠆ‫ޕ‬ฃା࿁〝ߪ NRZ ࠺࡯࠲ࠍᓳ⺞ߔࠆ‫ޕ‬ᓳ⺞ߐࠇߚ࠺࡯࠲ࠍ BERT ߩෳᾖ࠺࡯࠲ߣᲧセߔࠆߎߣߦࠃ
ࠅ‫ࠍ₸࡯࡜ࠛ࠻࠶ࡆޔ‬᷹ቯߔࠆ‫౉ޔߢߎߎޕ‬ജ PRBS ߩ๟ᦼߪ(223 - 1)ߢ޽ࠆ‫ޕ‬࿑ 3 ߪ BER ߩ Eb/No ଐሽᕈߢ
޽ࠆ‫〒ޕ‬㔌 30cm ࿕ቯߢ‫ޔ‬1.1837x10-11 @ Eb/No = 19.8 dB ࠍᓧߚ‫ߩߎ ޕ‬୯ߪ᦭✢ߢࠛ࡜࡯ࡈ࡝࡯ߣߺߥߖࠆ୯㧔㧨
10-12㧕ߦㄭ޿୯ߢ޽ࠆ‫৻ޕ‬ᣇ BER < 10-6 ߦኻߔࠆᗵᐲߪ -48.55 dBm.ࠍ㆐ᚑߒߚ‫ޕ‬
Buffer
Triangular Pulse
Generator (TPG)
OOK Data
DATA
'0'
Delay_EN
Delayed CLK
Clock
Ref.
Generator CLK
CLK
'1'
dV
dt
100
(a)
Source
followers
SIDO
dV
dt
Timing Signal
BERT : E8403A
OP
OM
CK1 CK2 DATA DATA DIN
Ch1 Ch2 Ch3
10-4
Ref. DATA
AMP
DOUT
'1'
MUX
-10 dB
D_STRT
'0'
Delay_EN
Delayed D_CLK
Ref.
Clock
Generator
CLK
D_CLK
(b)
dV
dt
-48.55dBm
10-6
-8
Template for DAC
'1'
B=2.4 GHz
B=3.2 GHz
Theoretical
10-2
DSO : 81204A
perr
D_STRT
MUX
[1] N. Sasaki, K, Kimoto, W. Moriyama, and T. Kikkawa, “A single-chip ultra-wideband receiver with silicon integrated antennas for
inter-chip wireless interconnection,” IEEE J. Solid-State Circuits, vol. 44, no. 2, pp. 382 - 393, Feb. 2009.
10
SIDO
dV
dt
Template for SYNC
AMP
Ref.
CLK
IP
IM
OP
AMP
TX
Inverter
Buffer
RZ / NRZ
converter
DOUT
SYNC
Fig.1. Block diagrams of UWB transmitter
and receiver. (a) Transmitter. (b) Receiver.
30 dB
OM
30 dB
DOUT
Ref.
CLK
DATA
ATT
IP
ATT
IM
RX
10-10
-30.01dBm
SYNC
Fig. 2. A setup for the BER measurements
of IR-UWB data communication system.
10-12
0
5
10
15
20
25
Eb/No (dB)
Fig. 3. The upper limit of BER as a function
of Eb/No.
II.
Interconnect technology: low-k dielectric film
Prof. Takamaro Kikkawa
ᢎ᝼ ศᎹ౏㤚
䉟䊮䉺䊷䉮䊈䉪䊃ᛛⴚ䋺㩷 ૐ⺃㔚₸⛘✼⤑
Mesoporous silica (MPS) film is a candidate of low dielectric constant (low-k) film for large scale integrated (LSI)
circuits. By increasing the amount of nano-scale pores, MPS films could have ultra-low-k value under 2.1. However, its
mechanical strength becomes weak so that the film can not withstand the mechanical stress at the interconnection
integration process. We have been studied about the mechanical reinforcement techniques. One of them is zeolite
crystallization of silica skeleton and another is pore surface silylation (Fig. 1). In this study, infrared spectroscopic
analysis technique was used to investigate the structural change of skeletal silica network in order to optimize the
chemical bonding structure of low-k film. MPS films are derived by sol-gel method and self-assembling technology of
non-ionic surfactant template. Vapor phase transporting (VPT) treatment (Fig. 2) was performed to make zeolite nano
crystalline in silica skeleton. In addition, vapor phase pore surface silylation with tetramethylcyclotetrasiloxane
(TMCTS) was performed. Then, infrared (IR) transmittance was measured at near normal and oblique incidence angles
as shown in Fig. 3. The broad and intense adsorption within 1000-1300 cm–1 was due to ionic vibration of siloxane
bonding. Theoretically, the IR transmittance is described by Berreman effect (Fig. 4). In our study, quantative value of
complex dielectric function (Fig. 5(a)) and energy loss function (Fig. 5 (b)) of silica skeleton was determined [1]. The
imaginary part of the complex dielectric function corresponds to TO function and energy loss function corresponds LO
function. As shown in Fig. 5, the effects of VPT treatment and TMCTS treatment are clearly observed. Then it is found
that with the combination of VPT treatment and TMCTS treatment, highly cross-linked siloxane network was formed.
䊜䉹䊘䊷䊤䉴䉲䊥䉦㩿㪤㪧㪪㪀⤑䈲 㪣㪪㪠 ࿁〝䈱䈢䉄䈱ૐ⺃㔚₸᧚ᢱ䈫䈚䈩ᦼᓙ䈘䉏䈩䈇䉎䇯䊅䊉䉴䉬䊷䊦ⓨሹ䈱㊂䉕Ⴧ䉇
䈜䈖䈫䈮䉋䈦䈩න⚐䈮Ყ⺃㔚₸ 㪉㪅㪈 એਅ䈏㆐ᚑน⢻䈪䈅䉎䇯䈚䈎䈚䇮䈠䈱䉁䉁䈪䈲ᯏ᪾ᒝᐲ䈲㈩✢䊒䊨䉶䉴䈪䈱䉴䊃䊧䉴
䈮⠴䈋䉌䉏䈭䈇䈾䈬䈮ᒙ䈇䇯ᚒ䇱䈲㜞ᯏ᪾ᒝᐲൻᛛⴚ䈱㐿⊒䉕ⴕ䈦䈩䈐䈢䇯䈠䈱৻䈧䈲䉲䊥䉦㛽ᩰ䈱䉷䉥䊤䉟䊃⚿᥏
ൻ䈪䈅䉍䇮䉅䈉৻䈧䈲ⓨሹ⴫㕙䈱䉲䊥䊦ൻᛛⴚ䈪䈅䉎㩷 㩿㪝㫀㪾㪅㩷 㪈㪀䇯ᧄ⎇ⓥ䈪䈲䇮ฦ䊒䊨䉶䉴䉕ᦨㆡൻ䈜䉎䈢䉄䈮䇮䉲䊥䉦㛽
ᩰ᭴ㅧ䉕⿒ᄖಽశ䈮䉋䉍⸃ᨆ䈚䈢䇯㪤㪧㪪 ⤑䈲䉹䊦䉭䊦ᴺ䈫⇇㕙ᵴᕈ೷䈱⥄Ꮖ⚵❱ൻᛛⴚ䈮䉋䉍૞ᚑ䈚䈢䇯ᰴ䈮䇮᳇⋧
ャㅍ㩿㪭㪧㪫㪀ᴺ㩿㪝㫀㪾㪅㩷 㪉㪀䈮䉋䉍䉲䊥䉦㛽ᩰ䈮䉷䉥䊤䉟䊃⚿᥏䉕ᒻᚑ䈚䇮ⓨሹ⴫㕙䉕Ⅳ⁁䉲䊨䉨䉰䊮㩿㪫㪤㪚㪫㪪㪀䈮䉋䉍䉲䊥䊦ൻಣ
ℂ䈚䈢䇯䈠䈱ᓟ䇮ᱜ㕙䈍䉋䈶ᢳ౉኿䈪䈱⿒ᄖㅘㆊ₸䉕᷹ቯ䈚䈢㩿㪝㫀㪾㪅㩷㪊㪀䇯1000 – 1300 cm–1 間の強く広い吸収はシロ
キサン結合のイオン振動によるものである。
理論的には赤外透過率は Berreman 効果(Fig. 4)により記述される。
本研究では、[1]の方法によりシリカ骨格の複素誘電関数(Fig. 5(a))およびエネルギー損失関数(Fig. 5(b))を求め
た。複素誘電関数の虚部は TO 関数に一致し、エネルギー損失関数は LO 関数に一致する。Figure 5 に示すよ
うに、VPT 処理と TMCTS 処理の効果は明らかである。結果として、VPT 処理と TMCTS 処理により高い連結
性のシロキサンネットワークが形成された。
[1] Y. Kayaba and T. Kikkawa, Jpn. J. Appl. Phys.48 (2009) 1221406.
CH3
H
CH3
O
Si
O
H3C
Si
O
Si
O
O
O
O
Si
㪘㫌㫋㫆㪺㫃㪸㫍㪼
CH3
O
CH3
O
Si
O
Si
O
O
CH3
Si
O
O
Si
H
㪫㪼㪽㫃㫆㫅㩷
Si CH3
CH3
㪧㫆㫉㫆㫌㫊㩷㫊㫀㫃㫀㪺㪸㩷㪽㫀㫃㫄㩷
㫆㫅㩷㪪㫀㩷㫊㫌㪹㫊㫋㫉㪸㫋㪼
O
Si
Si O Si
Si O Si
O
O
O O
O O
O O O
O
Silylated pore surface
Mesoporous silica film
㪜㪛㪘
Zeolite silica skeleton
Fig. 1 A mesoporous silica film with zeolite silica skeleton and silylated pore surface.
IR probe
p-pol.
㪈㪇
s-pol.
plane of incidence
㪫㫉㪸㫅㫊㫄㫀㫋㫋㪸㫅㪺㪼㩷㩿㩼㪀
㪍
LO
TO
TO
㪐㪇
Oxygen in-phase (AS1)
Thin film on
Si-subst.
Isolated
thin
film
㪏㪇
㪍㪇
㪌㪇
㪈㪋㪇㪇
k
Si-O-Si asymmetric
vibration
㪈㪊㪇㪇
㪈㪉㪇㪇
O
Si
㪈㪈㪇㪇
Si
㪈㪇㪇㪇
㪄㪈
㪮 㪸㫍㪼㩷㫅㫌㫄 㪹㪼㫉㩷㩿㪺㫄 㪀
TO
+
–
–
+
+
–
+
–E
–
+
+
–
LO
+
–
–
+
+
–
LO
Fig. 4 A schematic
illustration of Berreman
effect.
O
Si
O
Si
O
O
Si
O
Si
O
O
O
Si
O
Si
O
O
O
Si
Si
㪘㪪㪈 㪄㪫㪦
㩿㪸㪀
㪋
㪉
㪇
㪄㪉
O
O
O
O
O
ª
sin 2 θ º
Tp ≈ 1 − 2πν «Im(ε ) cosθ + Im( −1 / ε )
cosθ »¼
¬
TO
Fig. 3 IR transmittance
spectrum at variable
incidence angles.
k
+
–
–
+
+
–
E
O
O
detector
LO-TO
㪤㪧㪪
㪭㪧㪫
㪭㪧㪫㪄㪫㪤㪚㪫㪪
㪏
㪈㪇㪇
㪎㪇
Fig. 2 A vapor phase transporting
method for zeolite crystallization.
㪘㪪㪉㪄㪫㪦
㪩㪼㩿ε㪀
Oxygen out-of-phase
(AS2)
㪠㫄㩿ε㪀
㪈㪅㪌
㪘㪪㪈 㪄㪣㪦
O
O
OH
O
Si
Si HO
O
O
O
O
HO
O
Si
Si
O Si+
O
O
O OH O
O
Si
㩿㪹㪀
㪘㪪㪉㪄㪣㪦
㪈㪅㪇
O
㪇㪅㪌
O
O
O
Si HO
O
Si
O
㪠㫄㩿㪄㪈㪆ε㪀
㪇㪅㪇
㪈㪋㪇㪇
㪈㪊㪇㪇
㪈㪉㪇㪇
㪈㪈㪇㪇
㪈㪇㪇㪇
㪄㪈
㩷㪮㪸㫍㪼㩷㫅㫌㫄㪹㪼㫉㩷㩿㪺㫄 㪀
Fig. 5 Complex dielectric functions of silica skeleton of low-k films.
III.
Si ring MOS optical switch using high-k cladding layer
㜞⺃㔚₸䉪䊤䉾䊄䉕↪䈇䈢 MOS ဳ Si 䊥䊮䉫శ䉴䉟䉾䉼
Prof. Shin Yokoyama
ᢎ᝼ ᮮጊ ᣂ
Currently the size of the state-of-the-art transistors is 32 nm and more than a billion of transistors are integrated on a
single chip. For the global interconnection connecting sub operation units and clock distribution wiring, a huge amount
of signal transmission capacity is now required. The metal interconnection is not sufficient for this purpose and the
on-chip optical interconnection is attracting much attention due to the ability of the wavelength division multiplexing
(WDM).
In this study we proposed a metal-oxide-semiconductor (MOS) type Si ring optical switch, which is suitable because
it is compact and operates in a low-power. We have demonstrated the switching operation. Figure 1(a) shows the plan
view (optical micrograph) of the fabricated device and Fig. 2(b) shows the schematic cross section. The carriers are
induced on the Si surface electrostatically and the refractive index is changed. Then the resonance wavelength of the Si
ring is changed, resulting in the modulation of the output light intensity. The relation between the relative dielectric
constant of the cladding layer and the operation voltage is plotted as a parameter of the quality factor Q of the ring
resonator. By using the high-k material (Ba,Sr)TiO3 and high Q >100,000 the operation voltage of a few V is possible.
Figure 3 shows the measurement setup used. Figure 4 shows the optical modulation characteristics. The 75% modulation
is achieved at 125 V. The Q is now 8,300 which will be increased to more than 100,000 by smoothing the side wall of the
Si waveguide (the world record is 250,000 [1]). Then the operation voltage of a few V will be possible. This result was
reported in Ref 2.
32nm 䈫䊃䊤䊮䉳䉴䉺䈏⿥ᓸ⚦ൻ䈚 10 ం䉕⿧䈋䉎⿥㜞ኒᐲൻ䈏㆐ᚑ䈘䉏䈢⃻࿷, LSI 䈱䉰䊑䊡䊆䉾䊃㑆䉕⚿䉖䈣䉍, 䉪
䊨䉾䉪ାภ䉕ಽ㈩䈜䉎㐳〒㔌㈩✢䈮ᄢ䈐䈭ାภવㅍኈ㊂䈏᳞䉄䉌䉏䉎䉋䈉䈮䈭䈦䈩䈇䉎䇯⃻࿷䈱㊄ዻ㈩✢䈱ᕈ⢻䈪䈲
㒢⇇䈪䈅䉍, 䈖䉏䈮ઍ䉒䈦䈩ᵄ㐳ᄙ㊀ᄢኈ㊂વㅍ䈏น⢻䈭శ㈩✢䈏ᵈ⋡䈘䉏䈩䈇䉎䋮ᧄ⎇ⓥ䈪䈲, శ㈩✢䈮ㆡ䈚䈢䉮
䊮䊌䉪䊃䊶ૐᶖ⾌㔚ജ䈭శ䉴䉟䉾䉼䈫䈚䈩, Metal-Oxide-Semiconductor䋨MOS䋩ဳ Si 䊥䊮䉫శ䉴䉟䉾䉼䉕ឭ᩺䈚䈠䈱േ૞䉕
ታ⸽䈚䈢䇯࿑ 1(a)䈮૞⵾䈚䈢䊂䊋䉟䉴䈱ᐔ㕙౮⌀, (b)࿑䈮ᢿ㕙ᮨᑼ࿑䉕␜䈜. MOS ᭴ㅧ䈮䉋䈦䈩 Si 䊥䊮䉫⴫㕙䈮㔚⩄
䉕⺃⿠䈘䈞, 䈖䉏䈮䉋䉎ዮ᛬₸ᄌൻ䉕↪䈇䈩౒ᝄᵄ㐳䉕ᄌൻ䈘䈞಴ജశᒝᐲ䉕ᄌൻ䈘䈞䉎䋮࿑ 2 䈮䉪䊤䉾䊄ጀ䈱⺃㔚₸
䈫േ૞㔚࿶䈱㑐ଥ䉕, ౒ᝄེ䈱 Q ୯䉕䊌䊤䊜䊷䉺䈮␜䈜䋮Ყ⺃㔚₸ 100 એ਄䈱㜞⺃㔚₸⤑(Ba,Sr)TiO3 䉕↪䈇䉎䈫, Q
୯ 10 ਁએ਄䈪ᢙ V 䈪䈱േ૞䈏น⢻䈪䈅䉎䋮࿑ 3 䈮᷹ቯ䉲䉴䊁䊛䉕, ࿑ 4 䈮᷹ቯ⚿ᨐ䉕␜䈜䋮125V 䈪 75%䈱ᄌ⺞䈏
䈪䈐䈢䇯⃻࿷䈱 Q ୯䈲 8300 䈪䈅䉎䇯Si ዉᵄ〝஥ო䈱⨹䉏䉕ᡷༀ䈜䉎䈖䈫䈮䉋䈦䈩 Q ୯䉕 10 ਁએ਄䈮ᡷༀ䈚䋨਎⇇䊧
䉮䊷䊄䈲 25 ਁ[1]䋩, ᢙ V 䈪䈱േ૞䉕⋡ᮡ䈮⎇ⓥ䉕ⴕ䈦䈩䈇䉎䇯䈖䈱ᚑᨐ䈲ᢥ₂[2]䈮⊒⴫䈘䉏䈢䋮
InGaAs detector
[1] A. Liu et al.,: Nature 427 (2004) 615.
[2] Y. Amemiya et al.,: Jpn. J. Appl. Phys. 49 (2010) 04DG18.
Lensed fiber
Voltage
BST/Pt/SiO2/Si
A
Operation voltage (V)
Pt/SiO2/Si
A’
50 Pm
Si ring
resonator
Through port Input port
0.35 Pm
A
BST
Pt
2Pm
0.6 Pm
Q=5u103
80
0.3 Pm
Buried oxide
0.15 Pm
A’
1.1 Pm
Si substrate
Floating
Fig. 1 (a) Plan view of the fabricated Si
ring optical switch (optical micrograph)
and (b) schematic cross section.
Floating
Lensed fiber
Lensed fiber
60
Focus lens
Q=1u104
Tunable
semiconductor
laser
O=1480-1540 nm
40
Q=5u104
20
Q=1u105
0
Si ring
Voltage
(b)
100
0
100
200
300
400
500
Relative dielectric constant
of cladding layer
Fig. 2 Calculated operation voltage
at 90% modulation versus relative
dielectric constant of cladding layer
with a parameter of Q.
Fig. 3 Measurement setup for characterization
of Si ring optical switch.
1
Optical intensity (nW)
Drop port
(a) Voltage
0V
0V
0V
0V
0V
0.8
0.6
0.4
0.2
125V 125V 125V 125V 125V
0
0
10
20
30
40
50
Time (s)
Fig. 4 Optical output intensity at applied
voltages of 125 V and 0 V.
IV.
Sensitivity of Si ring optical biosensor
(Detection of biotin-avidin reaction)
Si శ䊥䊮䉫䊋䉟䉥䉶䊮䉰䊷䈱ᗵᐲ⹏ଔ
శ䊥䊮䉫䊋䉟䉥䉶䊮䉰䊷䈱ᗵᐲ⹏ଔ
䋨䊎䉥䉼䊮䊷䉝䊎䉳䊮෻ᔕᬌ಴․ᕈ䋩
Prof. Shin Yokoyama
ᢎ᝼ ᮮጊ ᣂ
Si ring-resonator biosensor is high-sensitivity, compact and suitable for integration. The signal/noise ratio is higher than
the electric detection method. When biomaterial is adsorbed on the Si ring the resonance wavelength is changed and the
output intensity is changed. Furthermore we use the Si-tag, which aligns the direction of the receptor on the sensor, resulting
in the higher sensitivity. We have, so far, demonstrated the detection of biotin-streptavidin and anti-allergen antibody in the
serum [1.2]. Here, we report on the sensitivity. Figure 1 shows the microphotograph of the Si ring biosensor. The quality
factor of the previous ring resonator was 5400 at a wavelength of 1.5 Pm in the water. It is improved to 22000 by decreasing
the coupling constant between ring and waveguides and by using longer curvature radius. Figure 2 shows the model of the
reaction between biotin (vitamin B7) and streptavidin (a kind of protein in the whites of eggs) where the biotinylated Si-tags
were obtained by standard recombinant DNA and protein expression technique [1]. Figure 3 shows the resonation curves at
each concentration of streptavidin solution. The resonance curve shift is observed at the concentration higher than 10-7g·ml.
In Fig. 4 the resonance wavelength shift is plotted as a function of the streptavidin solution, where the experimental data are
well fit to the Langmuir adsorption equation. In the practical detection of biomaterial, the sensitivity of ng/ml is generally
required. The sensitivity of our sensor should be improved by two orders of magnitude. We have estimated that the practical
sensitivity will be realized by employing the slot waveguide, where the nm size slot is settled in the middle of the
waveguide, and by temperature stabilization and Q factor improvement.
ዊဳ䈪㓸Ⓧൻ䈏ኈᤃ䈎䈧㔚᳇⊛䈭ᣇᴺ䈮Ყ䈼䊉䉟䉵䈮ᒝ䈒㜞ᗵᐲ䈭,Si 䊥䊮䉫౒ᝄེ䉕↪䈇䈢䊋䉟䉥䉶䊮䉰䊷䈱⎇ⓥ
䉕ⴕ䈦䈩䈇䉎䋮↢૕䈏 Si ⴫㕙䈮ๆ⌕䈜䉎䈖䈫䈮䉋䉍౒ᝄᵄ㐳䈏ᄌൻ䈚಴ജశᒝᐲ䈏ᄌൻ䈜䉎䋮䈘䉌䈮ฃኈེ䉕৻ቯᣇะ
䈮ឥ䈋䉎․ᱶ䈭♟䈱௛䈐䉕䈜䉎 Si-tag 䉕↪䈇䉎䈖䈫䈮䉋䉍ᗵᐲ䈏ᢙ୚Ⴧᄢ䈜䉎䋮䈖䈱ᣇᴺ䈮䉋䉍,䊎䉥䉼䊮䊷䉝䊎䉳䊮෻ᔕ,
ⴊᷡਛ䈱᛫䉝䊧䊦䉭䊮᛫૕䈭䈬䈱ᬌ಴䈏䈪䈐䉎䈖䈫䉕ታ⸽䈚䈩䈐䈢[1,2]䋮䈖䈖䈪䈲ᗵᐲ䈮䈧䈇䈩ႎ๔䈜䉎. ࿑ 1 䈲ᡷ⦟䈚
䈢 Si 䊥䊮䉫䊋䉟䉥䉶䊮䉰䊷䈱㗼ᓸ㏜౮⌀䈪䈅䉎䋮ᓥ᧪䈱౒ᝄེ䈱ᕈ⢻ᜰᢙ Q 䈲,ᵄ㐳 1.5Pm 䈱䈫䈐᳓ਛ䈪 5400 䈪䈅䈦䈢
䈏[2],䊥䊮䉫䈫౉಴ജዉᵄ〝䈫䈱⚿วଥᢙ䈱ᷫዋ,䈍䉋䈶ᦛ₸ඨᓘ䈱Ⴧᄢ䈮䉋䉎శ៊ᄬ䈱ᛥ೙䈮䉋䉍 Q 䈲 22000 䈮Ⴧᄢ䈚
䈢䋮࿑ 2 䈮䊎䉥䉼䊮䋨䊎䉺䊚䊮 B7䋩䈫䉴䊃䊧䊒䊃䉝䊎䉳䊮(ෆ⊕฽᦭Ⱞ⊕䈱৻⒳)䈱෻ᔕ䈱ᮨᑼ࿑䉕␜䈜䋮䊎䉥䉼-Si-tag Ⲣว
Ⱞ⊕䈲⚵឵ㆮવሶᠲ૞䈮䉋䈦䈩วᚑ䈚䈢[1]䋮࿑ 3 䈮౒ᝄ․ᕈ䈱䉴䊃䊧䊒䊃䉝䊎䉳䊮Ớᐲଐሽᕈ䉕␜䈜䋮10-7g·ml એ਄䈱Ớ
ᐲ䈪౒ᝄᵄ㐳䉲䊐䊃䈏᷹ⷰ䈪䈐䈢䋮࿑ 4 䈮Ớᐲ䈫౒ᝄᵄ㐳䉲䊐䊃䈫䈱㑐ଥ䉕䊒䊨䉾䊃䈚䈢䋮⦟䈒⍮䉌䉏䈢䊤䊮䉫䊚䊠䉝ๆ⌕ᑼ
䋨࿑ਛ䈮ᑼ䉕␜䈚䈢䋩䈮⦟䈒䊐䉞䉾䊃䈜䉎䋮ታ↪⊛䈭↢૕ᬌ಴䈱䈢䉄䈮䈲,ng/ml 䈱ᗵᐲ䈏ᔅⷐ䈫䈇䉒䉏䈩䈍䉍,䈘䉌䈮 2 ᩴએ
਄䈱ᗵᐲะ਄䈏ᔅⷐ䈪䈅䉎䋮⃻࿷,ዉᵄ〝䈱ਛᄩ䈮 nm 䉰䉟䉵䈱᏷䈱Ḵ䉕⸳䈔䈢䉴䊨䉾䊃ዉᵄ〝䈱ណ↪,᷷ᐲ቟ቯൻ,䈍䉋
䈶 Q ୯䈱ะ਄䈮䉋䉍ታ↪ᗵᐲ䈏ᓧ䉌䉏䉎⷗ㅢ䈚䉕ᓧ䈩䈇䉎䋮
[1] S. Yamatogi et al.: Jpn. J. Appl. Phys. 48 (2009) 04C188. [2] M. Fukuyama et al.: Jpn. J. Appl. Phys. 49 (2010) 04DL09.
Biotin
Streptavidin
Si-tag
Si
waveguide
0.7
10-7
0.6
g/ml
10-6 g/ml
10-4 g/ml
0.5
0.4
0 g/ml
0.3
0.2
0.1
0
1527.1
1527.2
1527.3
1527.4
1527.5
1527.6
Wavelength (nm)
Fig. 3 Resonance curves for various concentration of
streptavidin solutions.
Fig. 2 Schematic of the selective reaction between biotinylated
Si-Tag and strepavidin.
Wavelength shift (nm)
Output intensity (arb. unit)
Fig. 1 Fabricated
bi
d Sii ring
i resonator bi
biosensor.
Si
waveguide
0.4 'O
0.3
'Omax
K
CK
1 CK
0.41
'Omax
3.5 u 105
0.2
0.1
0
10-9 10-8 10-7 10-6 10-5 10-4 10-3 10-2
Concentration (g/ml)
Fig. 4 Optical output intensity versus concentration of
streptavidin solution and the fitting curve followed the Langmuir
adsorption equation.
V.
Development of full-color-emitting boron carbon
oxynitride (BCNO) phosphors with high performance
㜞䈇Ⱟశ․ᕈ䉕ᜬ䈧ో⦡⊒శဳ
Ⱟశ૕䈱㐿⊒
Prof. Kikuo Okuyama
ᢎ᝼ ᅏጊ༑ਭᄦ
Recently, the demand of phosphor is increasing by practical application of White LEDS, and the cheap phosphors
with high Photo Luminescence(PL) properties have been expected to develop. We were successfully to develop the new
oxynitride BCNO phosphor particle [1]. Fig. 1 and 2 show PL spectra and digital photo of BCNO phosphor. BCNO
phosphors have the following advantages: (1) BCNO phosphor particles can be obtained at low temperatures (below
900 °C) under ambient pressure; (2) these phosphors possess a relatively high QE, i.e., 79% with an emission center at
469 nm, and they generate brilliant light with no RE ions; (3) they can be excited under a wide range of wavelengths,
from 254 nm (short-UV) to 460 nm (blue); and, (4) the color emission can be tuned from violet to near-red regions (387
~ 570 nm) by varying the carbon content. This report will describe much improved PL property of BCNO phosphor by
making a selection of the Carbon source.
Fig. 3 and 4 show the emission spectra of the BCNO phosphor particles prepared with the various carbon sources, i.e.,
EG, TEG, and PEGs (PEG-200, PEG-20K, PEG-500K with Mw = 200, 20K, and 500K, respectively). With the increased
of the Mw of the carbon source (PEGs), the PL Intensity decreased. These results indicate that the PL properties of the
BCNO phosphors were strongly dependent on the Mw of the carbon source, which affected the amount of carbon that
reacted with boron, nitrogen, and oxygen atoms. When a carbon source with a lower molecular weight such as EG was
used, it did not perform as a carbon source. The carbon residue was generated and covered the surface of the BCNO
phosphor particles by using polymers with long carbon chains (PEG20k or PEG500K). These carbon sources can have an
adverse effect on PL performance. TEG has a constant Mw and a uniform size distribution throughout the solution, which
is different from PEG200. Hence, a homogeneous solution was obtained after mixing with boric acid and urea, resulting
in uniform color emission and improved PL performance of the BCNO after heating.
All over carbon sources under investigation in the present study, TEG has the best molecular structure for obtaining a
BCNO phosphor with high PL performance.
ㄭᐕ䈱⊕⦡
䈱ታ↪ൻ䈮䉋䉎Ⱟశ૕䈱㔛ⷐ䈱Ⴧട䈮䉋䉍䇮቟ଔ䈪㜞ᕈ⢻䈭Ⱟశ૕䈱㐿⊒䈏᳞䉄䉌䉏䈩䈇䉎䇯╩
⠪䉌䈲࿑ ෸䈶࿑ 䈮␜䈜䉋䈉䈭⚵ᚑ䈱೙ᓮ䈮䉋䉍⊒శ⦡䉕೙ᓮ䈜䉎䈖䈫䈏಴᧪䉎ᣂⷙ㉄⓸ൻ‛
Ⱟశ૕ᓸ☸
ሶ䈱㐿⊒䈮ᚑഞ䈚䈢 䇯
Ⱟశ૕䈱․ᓽ䈫䈚䈩䈲䇮ਅ⸥䈏᜼䈕䉌䉏䉎䇯 Ᏹ࿶䊶Ყセ⊛ૐ᷷
㷄એਅ 䈪䈱ว
ᚑ䈏น⢻䇯
Ꮧ࿯㘃䉕૶䉒䈝䈮㜞䈇Ⱟశ䉕␜䈜䇯 ᏷ᐢ䈇ബ⿠ᵄ㐳䉕ᜬ䈧䇯 ᷝട䈜䉎὇⚛Ḯ䈱㊂䉕೙ᓮ䈜䉎䈖
䈫䈮䉋䉍⚡ᄖ䈎䉌นⷞၞ䈪⊒శ䈜䉎䇯ᧄ⎇ⓥ䈪䈲䇮὇⚛Ḯ䈱ㆬቯ䈮䉋䉍
Ⱟశ૕䈱㜞ᯏ⢻ൻ䈮ᚑഞ䈚䈢 䇯ᷝട
䈜䉎὇⚛Ḯ䈱⒳㘃 䉣䉼䊧䊮䉫䊥䉮䊷䊦
䇮䊁䊃䊤䉣䉼䊧䊮䉫䊥䉮䊷䊦
䇮 䊘䊥䉣䉼䊧䊮䉫䊥䉮䊷䊦
ಽሶ㊂䋻
䉕ᄌ䈋䈩วᚑ䈚䈢
䈱Ⱟశ․ᕈ䉕Ყセ䈚䈢⚿ᨐ䉕࿑ ෸䈶࿑ 䈮␜䈜䇯䈖䈱ಽᨆ⚿ᨐ䈎䉌䇮
὇⚛Ḯ䈱ಽሶ㊂䈱Ⴧട䈮䉋䉍䇮Ⱟశ․ᕈ䈏ૐਅ䈜䉎䈖䈫䈏⏕⹺䈘䉏䈢䇯䈖䈱⎇ⓥ䈎䉌
Ⱟశ૕䈱Ⱟశ․ᕈ䈲䇮䊖
䉡⚛䇮㉄⚛䇮⓸⚛䈫෻ᔕ䈜䉎὇⚛Ḯ䈱ಽሶ㊂䋨ಽሶ᭴ㅧ䋩䈮ᒝ䈒ଐሽ䈚䈩䈇䉎䈖䈫䈏⏕⹺䈘䉏䈢䇯
䈲
䈮Ყ䈼䈩ಽሶ㊂䈏ዊ䈘䈒䇮
วᚑ෻ᔕ䈮㑐ਈ䈜䉎䈖䈫䈏䈪䈐䈭䈎䈦䈢䈫⠨䈋䉌䉏䉎䇯
䉇
䈲ಽሶ㊂䈏ᄢ䈐䈒䇮ᧂ෻ᔕ䉦䊷䊗䊮䈏
Ⱟశ૕☸ሶ⴫㕙䈮↢ᚑ䈚䇮
Ⱟశ૕䈱Ⱟశ․ᕈ䉕ૐਅ䈘
䈞䉎䇯䉁䈢䇮
䈲䊝䊉䊙䊷䈪䈅䉍䇮
䈮Ყ䈼䈩ဋ৻䈭ಽሶ᭴ㅧ䈪䈅䉎䈖䈫䈎䉌䇮ဋ৻䈭෻ᔕ䈏↢䈛䇮
Ⱟశ
૕䈱⚐ᐲ䈏ะ਄䈚䈢䈢䉄䇮Ⱟశ․ᕈ䈏ะ਄䈚䈢䈫⠨䈋䉌䉏䉎䇯
એ਄䈱὇⚛Ḯ䈮㑐䈜䉎⎇ⓥ䈮䉋䉍䇮
䈏㜞ᕈ⢻
Ⱟశ૕䉕วᚑ䈜䉎䈢䉄䈮䇮ᦨ䉅ㆡ䈚䈢ಽሶ᭴ㅧ䉕᦭䈚䈩
䈇䉎䈖䈫䈏⏕⹺䈘䉏䈢䇯
[1] T. ogi, Y. Kaihatsu, W-N. Wang, F Iskandar and K. Okuyama, Advanced Materials, 20, 3235-3238, (2008)
[2] Y. Kaihatsu, W-N. Wang, F Iskandar, T. ogi, and K. Okuyama, Journal of the Electrochemical Society, In press
Excitation=365 nm
Em=520 nm
(a)
Em=542 nm Em=571 nm
(b)
(d)
(c)
60
Em=387 nm Em=469 nm Em=520 nm Em=542 nm Em=571 nm
(d) QE =53 %
(e) QE =10 %
(c) QE =76 %
(b) QE =79 %
Excitation:365 nm
70
(e)
50
PEG Mw:
200
PEG
Mw:200
40
PEGMw:
Mw:20K
PEG
20K
30
EG
EG
20
400
450
500
550
600
PEG
Mw:500K
PEG Mw:
500K
Wavelength [nm]
Fig.1. PL srectra and
digital graphs of BCNO
phosphor prepared under
various conditions
Fig.2. CIE diagram and
quantum efficiencies of
BCNO prepared under
various conditions.
50
450
40
30
400
20
10
0
0
360 400
650
500
60
10
(a) QE =34 %
70
TEG
TEG
PL intensity [a.u.]
Em=469 nm
Quantum Efficiencies䋺QE
(e)
(d)
(c)
PL intensity [a.u.]
Em=387 nm
(b)
460 500
560 600
Wavelength [nm]
660 700
Fig.3. PL properties of BCNO
prepared with various carbon
sources.
101
10
2
10
100
103
1000
104
10000
350
105
106
100000
1000000
Molecule weight [g/mol]
Fig.4. PL properties of BCNO
prepared with carbon sources of
differing molecular weights.
PL peaks [nm]
Normalized intensity
(a)
VI.
Formation of highly ordered nanostructures by drying
micrometer colloidal droplets
䊙䉟䉪䊨䉰䉟䉵䈱ᶧṢ䈮䉋䉎䊅䊉☸ሶ䈱⵾ㅧ
Prof. Kikuo Okuyama
ᢎ᝼ ᅏጊ༑ਭᄦ
Materials of interest in solid-state chemistry typically possess a crystalline structure; thus, their functional properties
are controlled by the packing of their atoms or ions in three-dimensional space. Similarly, nanoparticles are useful
building blocks for nanomaterials, the function of which is determined in part by the spatial structure of the component
nanoparticles. Thus, nanoparticles may be used for the construction of periodic and quasiperiodic crystal structures1.With
regards to desirable morphologies for nanomaterials, high surface area porous or hollow structures are frequently
preferred for a wide range of applications2.
Nanoparticles with well-defined chemical compositions can act as building blocks for the construction of functional
structures, such as highly ordered aggregates, as well as porous and hollow aggregates. In this work, a spray-drying
technique is used to form a crystal-like structure with nanoparticle building blocks as shown Fig. 1. When spray-drying
uniform spherical particles, tightly packed aggregates with either simple or broken symmetries (quasicrystalline) were
formed. Using polystyrene (PS) particles with varied zeta potentials as templates, it is also possible to form highly
ordered porous and hollow aggregates from inorganic colloidal particles as shown in Fig. 2. Essential to the production of
quasicrystalline structures is the use of monodisperse colloidal particles in spray drying, as the broken symmetries form
is not achievable when two different sizes of colloidal particles are used in the precursor suspension. With varying
colloidal particles sizes, smaller colloidal particles fill the spaces formed between the larger particles, resulting in
adjustment of colloidal crystallization as shown in Fig. 3.
A geometric model that considers the tight packing of several spheres into frustrated clusters (quasicrystal form) with
short-range icosahedral symmetry is compared to experimentally produced structures and found to quantitatively explain
experimental observations.
࿕૕ൻቇ䈮䈍䈇䈩ᵈ⋡䈘䉏䈩䈇䉎᧚ᢱ䈮䈲䇮᧚ᢱ䈱ᯏ⢻ᕈ䉕┙૕ਛ䈱ේሶ䉇䉟䉥䊮䈱㈩೉䈮䉋䈦䈩೙ᓮ䈜䉎⚿᥏
᭴ㅧ䈏䈅䉎䇯ห᭽䈮䊅䊉☸ሶ䈲䇮᭴ᚑᚑಽ䊅䊉☸ሶ䈱ⓨ㑆⊛᭴ㅧ䈮䉋䈦䈩৻ㇱ䈱ᯏ⢻䈏᳿䉁䉎䊅䊉᧚ᢱ䈱᦭↪䈭᭴ᚑ
ⷐ⚛䈪䈅䉎䇯䈖䈱䉋䈉䈮䇮䊅䊉☸ሶ䈲ᢿ⛯⊛䈪Ḱ๟ᦼ⊛䈭⚿᥏᭴ㅧ䈱᭴▽䈮↪䈇䉌䉏䈩䈇䉎น⢻ᕈ䈏䈅䉎䇯䊅䊉☸ሶ䈱
ᒻ⁁䈮㑐䈚䈩䈲䇮㜞䈇⴫㕙Ⓧ䉕䉅䈧䊘䊷䊤䉴䉅䈚䈒䈲ਛⓨ᭴ㅧ䈏䇮᭽䇱䈭ᔕ↪䈱䈢䉄䈮ᦸ䉁䉏䈩䈇䉎䇯
ൻቇ⚵ᚑ䈏᣿⏕䈭䊅䊉☸ሶ䈲䇮䊘䊷䊤䉴䉇ਛⓨಝ㓸૕䈫ห᭽䈭㜞㈩೉ಝ㓸૕䈭䈬䈱䇮ᯏ⢻೎⚵❱᭴ㅧ䈱᭴▽䈱䈢
䉄䈱᭴ᚑⷐ⚛䈫䈚䈩૞↪䈜䉎䇯ᧄ⎇ⓥ䈪䈲䇮࿑䋱䈮␜䈜䉋䈉䈭ྃ㔵ੇ῎ᴺ䉕↪䈇䈩䊅䊉☸ሶ᭴ᚑⷐ⚛䈮䉋䉍⚿᥏૕䈱䉋
䈉䈭᭴ㅧ䉕ᒻᚑ䈚䈢䇯ྃ㔵ੇ῎ᴺ䈪䈲䇮න৻䉅䈚䈒䈲ኻ⒓ᕈ䈏፣䉏䈩䈇䉎Ḱ⚿᥏䈱䉋䈉䈭☸ሶ䈏ኒ䈮ಝ㓸䈚䈢ဋ৻䈭
⃿ᒻ☸ሶ䈏ᒻᚑ䈘䉏䉎䇯䉁䈢䇮䊁䊮䊒䊧䊷䊃☸ሶ䈫䈚䈩᭽䇱䈭䉷䊷䉺㔚૏䈱䊘䊥䉴䉼䊧䊮☸ሶ䉕↪䈇䉎䈫䇮࿑ 䈮␜䈜䉋䈉
䈭ήᯏ䉮䊨䉟䊄☸ሶ䈪㜞ᐲ㈩೉䈱䊘䊷䊤䉴䉇ਛⓨ☸ሶ䉕วᚑ䈜䉎䈖䈫䈏น⢻䈪䈅䉎䇯ྃ㔵ੇ῎ᴺ䈮䈍䈇䈩䇮නಽᢔ䉮
䊨䉟䊄☸ሶ䉕↪䈇䉎䈫Ḱ⚿᥏᭴ㅧ䈏↢ᚑ䈜䉎䈢䉄䇮Ḱ⚿᥏᭴ㅧ䈱↢ᚑ䉕ᛥ೙䈜䉎䈮䈲䇮ේᢱṁᶧਛ䈮䉰䉟䉵䈱⇣䈭䉎
䋲⒳㘃䈱䉮䊨䉟䊄☸ሶ䉕↪䈇䉎䈖䈫䈏ᔅⷐ䈪䈅䉎䇯࿑ 䈮␜䈜䉋䈉䈮䇮᭽䇱䈭䉰䉟䉵䈱䉮䊨䉟䊄☸ሶ䉕↪䈇䈢႐ว䇮ዊ䈘䈇
䉮䊨䉟䊄☸ሶ䈲ᄢ䈐䈇☸ሶ䈱㑆䈮⹣䉄䉌䉏䇮⚿ᨐ⊛䈮䉮䊨䉟䊄⚿᥏૕䈏⺞ᢛ䈘䉏䉎䇯䈇䈒䈧䈎䈱ኒ䈮㈩೉䈘䉏䈢⃿૕䈪
᭴ᚑ䈘䉏䈢⍴䈇
㕙૕ኻ⒓䈱Ḱ⚿᥏૕䈱ᐞ૗ቇ⊛䊝䊂䊦䈮䉋䉍䇮ታ㛎⊛䈮วᚑ䈚䈢᭴ㅧ䈫Ყセ䉕ⴕ䈇䇮䉁䈢ታ㛎⊛
䈭⠨ኤ䉕ⴕ䈦䈢䇯
[1] Coloredo, S et al. Nanoparticle-Based One-Dimensional Photonic Crystals, Langmuir 2008, 24, 4430-4434.
[2] Zhang, Y. et al. Synthesis and Color Evolution of Silica-Coated Hematite Nanoparticles. JACS 2009, 92, 1877-1880.
Fig.2.Scanning electron micrographs and
schematic models of (a) aggregated particles
of 100 nm silica for n=1-6 and scale bars
Fig.1. A schematic illustration of are 100 nm, (b) porous aggregates of 5 nm
particle formation on the surface of silica for n=1-6 and scale bars are 150 nm,
charged colloidal particles: (a) and (c) hollow aggregates of 5 nm silica for
large silica, (b) porous aggregates n=1-6 and scale bars are 200 nm.
and (c)hollowaggregates
Fig.3. Scanning electron micrographs and
schematic models of large silica aggregates
and mesoporous silica aggregates produced
in the spray-drying process.
VII. Preparation of bismuth-bridged bithiophenes as novel
phosphorescence materials
ᣂ ⷙ䈭䉍䉖శ⊒శ᧚ᢱ䈫䈚䈩䈱䊎䉴䊙䉴᨞ᯅ䊎䉼䉥䊐䉢䊮䈱
วᚑ
Prof. Joji Ohshita
ᢎ᝼ ᄢਅᵺᴦ
Oligo- and polythiophenes are currently receiving considerable attention as functional organic materials in the filed of
organic HOHFWURQLFV 7KHH[WHQGHGʌ-conjugation in these systems arising from the good coplanarity of adjacent thiophene
rings, and the sufficient thermal and chemical stability, allow the use of these compounds as active components in organic
electronic devices. Recently, introduction of a intramolecular heteroatom-bridge at the ȕ,ȕ¶-position of bithiophenes has
been well studied, which provides useful building blocks for the preparation of materials with even better conjugation not
only by fixing the tricyclic units complete planer but also by electronic effects of the bridging atoms.
In this regard, we prepared dithienosiloles having a Si-bridged bithiophene system and found that dithienosiloles are
generally highly emissive [1]. To explore further the scope of heteroatom-bridged bithiophene system, we prepared
dithienobismoles and investigated their optical properties, hoping that the heavy atom effects of bismuth would lead to
phosphorescence properties of the compounds.
Dithienobismoles were prepared are outlined bellow (Scheme 1). The crystal structures were determined by Xray
diffraction studies, which indicated high planarity of the tricyclic dithienobismole system as illustrated in Figure 1. As we
expected, these compounds exhibited red phosphorescence around 620 nm (Figures 2 and 3), indicating potential
applications of dithienobismoles as OLED (organic light emitting diodes) materials.
䉥䊥䉯䋭䇮䈍䉋䈶䊘䊥䉼䉥䊐䉢䊮䈲䇮⃻࿷᦭ᯏ䉣䊧䉪䊃䊨䊆䉪䉴䈱ಽ㊁䈪೑↪䈪䈐䉎᦭ᯏ᧚ᢱ䈫䈚䈩㕖Ᏹ䈮ᵈ⋡䈘䉏䈩䈇
䉎䇯㓞ធ䈜䉎䉼䉥䊐䉢䊮Ⅳห჻䈱㜞䈇ᐔ㕙ᕈ䈫⦟ᅢ䈭ᾲ⊛䊶ൻቇ⊛቟ቯᕈ䉉䈋䈮䇮䈖䉏䉌䈱ൻว‛䈏᦭ᯏ䊂䊋䉟䉴䈱ᵴ
ᕈ䉮䊮䊘䊷䊈䊮䊃䈫䈚䈩⎇ⓥ䈘䉏䈩䈐䈢䇯ᦨㄭ䇮䊎䉼䉥䊐䉢䊮Ⅳ䈱 ȕ䋬ȕ´䋭૏䉕䊓䊁䊨ర⚛䈪᨞ᯅ䈜䉎䈫䇮ൻว‛䈮䉋䉍᜛ᒛ
䈘䉏䈢౒ᓎ䈏ᦼᓙ䈪䈐䉎䈾䈎䇮䊓䊁䊨ర⚛䈱㔚ሶ⊛䈭ᓇ㗀䉅ᦼᓙ䈪䈐䉎䈱䈪ᬌ⸛䈏䈭䈘䉏䈩䈇䉎䇯
䉒䉏䉒䉏䈲䇮ᦨㄭ䇮䊎䉼䉥䊐䉢䊮䉕䉬䉟⚛䊡䊆䉾䊃䈪᨞ᯅ䈚䈢ൻว‛䉕วᚑ䈚䇮䈠䉏䉌䈱ᯏ⢻ᕈ䉕ႎ๔䈚䈢䇯੹࿁䇮䉴䉨
䊷䊛䋱䈮᭎⇛䉕␜䈜ᚻᴺ䈪䇮䊎䉴䊙䉴䈪᨞ᯅ䈚䈢䉳䉼䉣䊉䊎䉴䊝䊷䊦䈱วᚑ䈮ᚑഞ䈚䈢䇯ᓧ䉌䉏䈢䉳䉼䉣䊉䊎䉴䊝䊷䊦䈲䇮
ᦼᓙㅢ䉍㜞䈇ᐔ㕙ᕈ䉕᦭䈜䉎䈖䈫䈏ಽ䈎䈦䈢䈏䇮䉁䈢䇮䈠䉏䉌䈏 620nm ઃㄭ䈮䉍䉖శ䉕␜䈜䈖䈫䉕⷗಴䈚䈢䇯䈖䈱䈖䈫䈲䇮䊎
䉴䊙䉴䈱㊀ේሶലᨐ䈮䉋䉎䉅䈱䈫⠨䈋䉌䉏䉎䈏䇮䈖䉏䉌䈱ൻว‛䈱 OLED䋨᦭ᯏ⊒శ䉻䉟䉥䊷䊄䋩䈫䈚䈩䈱೑↪䈱㜞䈇น⢻
ᕈ䉕␜䈚䈩䈇䉎䇯
[1] For example, see Ohshita, J.; Kurushima, Y.; Lee, K. H.; Ooyama, Y.; Harima, Y. Organometallics 2007, 26, 6591.
Br
R'
S
R
R
S
1) 2 n-BuLi
2) ArBiX2
Br
R
S
R'
R'
S
Bi
R
R'
Ph
DTBi1 R = SiMe3; R' = H 57%
DTBi2 R = SiMe3; R' = Me 30%
DTBi3 R, R' =
Scheme 1.
20%
Synthesis of Dithienobismoles.
Figure 1. Crystal Structure of (left) DTBi1 and
(right) DTBi2.
Figure 3. Photos of the Crystals of DTBi2B (left)
under room light and (right) under UV irradiation.
Figure 22.
Phosphorescence
Spectra
off Dithienobismoles.
Ph
h
S
Di hi
VIII. Preparation and FET activity of star-shaped oligothiophenes
with an organosilicon core
䉬䉟⚛䉮䉝䉕᦭䈜䉎ᤊဳ䉥䊥䉯䉼䉥䊐䉢䊮䈱วᚑ䈫
ᵴᕈ
Prof. Joji Ohshita
ᢎ᝼ ᄢਅᵺᴦ
Organic field effect transistors (FETs) are of current interest, because of their utilities such as for flexible flat-panel
displays and electronic paper. Organic FETs of high performance are usually achieved by using H[SDQGHG ʌ-conjugated
compounds as the active materials. On the other hand, iW LV NQRZQ WKDW VLOLFRQ ı-orbital interacts with the adjacent
ʌ-HOHFWURQ V\VWHP QDPHO\ E\ ı-ʌ FRQMXJDWLRQ However, no FET-active ı-ʌ FRQMXJDWed compounds have been reported
until recently. Recently, we prepared compounds with two or more oligothiophene units linked by an organosilicon core at
WKH Į-positions as FET active materials (Figure 1) [1]. In these compounds, ıíʌ FRQMXJDWLRQ EHWZHHQ WKH RUJDQRVLOLFRQ
FRUHOLQNDJHDQGWKHʌ-electron system and/or electron donation from the silicon unit are anticipated to elevate the HOMO
energy level of the oligothiophene unit increasing the hole-affinity. As expected, the compound with three
quinquethiophene units linked by a tetrasilane core (5T3Si4) showed high FET activity with the hole mobility of 0.06
cm2V-1s-1 in its vapor deposited film. To understand the effects of the silicon bridge, DFT calculations on model molecules
were carried out, which suggested that the SOMO of the radical cation of bis(bithiophenyl)tetramethyldisilane is delocalized
over the molecule (Figure 2). Intramolecular hole mobility of this type of the compounds was determined by TRMC
(time-resolved microwave conductivity) measurements, again indicating the role of the silicon bridge, enhancing the
mobility (Figure 3).
᦭ᯏ㔚⇇䊃䊤䊮䉳䉴䉺䋨FET䋩䈲䇮䈠䈱䊐䊧䉨䉲䊑䊦䊂䉞䉴䊒䊧䉟䉇㔚ሶ䊕䊷䊌䊷䈭䈬䈻䈱ᔕ↪䈱น⢻ᕈ䈱䈢䉄䈮䇮⃻࿷
⥝๧䈏ᜬ䈢䉏䈩䈇䉎䇯ㅢᏱ䇮ᕈ⢻䈱䉋䈇᦭ᯏ FET ᵴᕈጀ䈱᧚ᢱ䈲䇮᜛ᒛ䈚䈢 ʌ 㔚ሶ♽䉕ਥ㛽ᩰ䈫䈚䈢䉅䈱䈪䈅䈦䈢䇯৻ᣇ䇮
䉬䉟⚛䈱 ı ゠㆏䈲㓞ធ䈜䉎 ʌ ౒ᓎ♽䈫䈱㑆䈪䇮䈇䉒䉉䉎 ı䋭ʌ ౒ᓎ䈫䈇䈉⋧੕૞↪䉕䈜䉎䈖䈫䈏⍮䉌䉏䈩䈇䉎䇯䈚䈎䈚䈭䈏䉌䇮
ı䋭ʌ ౒ᓎ䉕೑↪䈚䈢 FET ᵴᕈ᧚ᢱ䈱㐿⊒଀䈲ᦨㄭ䉁䈪䈭䈎䈦䈢䇯ᦨㄭ䇮ᚒ䇱䈲䇮䉬䉟⚛䉮䉝䈪䉥䊥䉯䉼䉥䊐䉢䊮䉕᨞ᯅ䈚
䈢ಽሶ䉕วᚑ䈚䇮FET ᵴᕈ䈭᧚ᢱ䈫䈚䈩ឭ᩺䈚䈢䋨Figure 1䋩䇯䈖䈱䉋䈉䈭ൻว‛ਛ䈪䈲䇮ı䋭ʌ ౒ᓎ䈫䈫䉅䈮䇮䉬䉟⚛䈱ᒝ䈇
㔚ሶଏਈᕈ䈮䉋䈦䈩䇮ൻว‛䈱 HOMO 䊧䊔䊦䈏਄᣹䈚䇮䈠䉏䈮䉋䈦䈩䊖䊷䊦ⷫ๺ᕈ䈏ะ਄䈚䈩䈇䉎䈫ᦼᓙ䈪䈐䉎䇯ᦼᓙ
ㅢ䉍䇮䈖䉏䉌䈱ൻว‛䈱䈉䈤䇮3 䈧䈱䉨䊮䉨䉼䉥䊐䉢䊮䊡䊆䉾䊃䉕䊁䊃䊤䉲䊤䊮䉮䉝䈪᨞ᯅ䈚䈢ൻว‛䈱⌀ⓨ⫳⌕⤑䈏
0.06cm2V-1s-1 䈫䈇䈉⦟ᅢ䈭⒖േᐲ䉕␜䈜䈖䈫䉕⷗಴䈚䈢䇯䈖䈱⃻⽎䉕ℂ⸃䈜䉎䈢䉄䈮䇮䊝䊂䊦ൻว‛䈱 DFT ⸘▚䉕ⴕ䈦䈢
䈫䈖䉐䇮䉦䉼䉥䊮䊤䉳䉦䊦䈱 LUMO 䈱゠㆏䈏ಽሶో૕䈮䉋䈒ᐢ䈏䈦䈩䈇䉎䈖䈫䈏ಽ䈎䈦䈢䋨Figure 2䋩䇯䉁䈢䇮ಽሶౝ䈱⒖േ
ᐲ䉕 TRMC ᷹ቯ䈮䉋䈦䈩⷗Ⓧ䉅䈦䈢䈫䈖䉐䇮䉬䉟⚛᨞ᯅ䈮䉋䉎⒖േᐲ䈱ะ਄䈏⷗䉌䉏䈢䋨Figure 3䋩䇯
[1] J. Ohshita, D.-H. Kim, A. Kunugi and A. Kunai, Organometallics, 2005, 24, 4494. J. Ohshita, Y. Hatanaka, S. Matsui,
Y. Ooyama, Y. Harima and Y. Kunugi, Appl. Organomet. Chem., in press (available on line, DOI: 10.1002/aoc.1551).
D.-H. Kim, J. Ohshita, T. Kosuge, Y. Kunugi and A. Kunai, Chem. Lett., 2006, 35, 266. J. Ohshita, Y. Izumi, D.-H.
Kim, A. Kunai, T. Kosuge, Y. Kunugi, A. Naka and M. Ishikawa, Organometallics, 2007, 26, 6150.
Et
Et
S
Et
S
n
Me
Me
Si
Me
Me
Si M Si
Me R Me
n
S
nT 3Si 4 M = Si , R = Me
nT 3Si 3C M = C, R = H
Figure 1.
Et
n
Et
n
S
n
Me
Me
S
i
Me
Me
S
Si M
Si
Me
Me
Si
Me
Me
S
Et
n
Si-bridged Oligothiophenes.
S
Et
n
nT 4Si 5 M = Si
nT 4Si 4G e M = Ge
Figure 2. LUMO Profiles for (left) 1,2-Bis(bithiophenyl)tetramethyldisilane and (right)
,
(
p y)
1,3-Bis(bithiophenyl)hexamethyltrisilane.
Figure 3. Photoconductivity transients observed for (a) 3T3Si4 and (b) 3T3Si3C thin films on a
quartz substrate upon excitation at 355 nm, 0.82 ~ 3.4 u 1016 photons cm-2.
IX.
High-mobility semiconducting polymers based on
naphthodithiophene
䊅䊐䊃䉳䉼䉥䊐䉢䊮䉕᦭䈜䉎㜞⒖േᐲඨዉ૕䊘䊥䊙䊷䈱
㐿⊒
Prof. K. Takimiya
ᢎ᝼ Ἇች๺↵
Polymer semiconductors offer an advantage over small molecular semiconductors in printable electronics owing to the
high processability and uniformity of thin films. In recent years, several polymer semiconductors with rigid fused rings
have been reported to show high mobilities exceeding 0.1 cm29VZKLFKRULJLQDWHVIURPWKHHQKDQFHGʌ-ʌLQWHUDFWLRQV
between polymer chains.
In this presentation, we report novel semiconducting polymers incorporating
naphtho[1,2-b:5,6-b’]dithiophene [1] (Figure 1) that have been shown to be a promising building block for organic
semiconductor molecules [2].
The polymers are soluble in warm chlorinated benzenes. Molecular weights (Mn) of the polymers are 7.1–33.4 kg/mol.
The ionization potential of the polymers was found to be 5.0 eV, indicative of sufficient air stability. Polymer devices
showed the highest mobility of 0.54 cm2/Vs and the average mobility of ~0.2 cm2/Vs, which are among the highest
recorded so far, with on/off ratios as high as 107. XRD study revealed that tKH SRO\PHUV DIIRUG D QDUURZ ʌ-stacking
distance with 3.6 Å, which can be the rationale for the high mobility.
䊘䊥䊙䊷ဳ᦭ᯏඨዉ૕䈲䇮⵾⤑ᕈ䇮⭯⤑䈱ဋ৻ᕈ䇮⠴ᾲᕈ䈭䈬䈱ὐ䈎䉌䇮䊒䊥䊮䉺䊑䊦䉣䊧䉪䊃䊨䊆䉪䉴䈮䈍䈇䈩㕖
Ᏹ䈮ᵈ⋡ᐲ䈱㜞䈇᧚ᢱ䈪䈅䉎䇯䈖䉏䉁䈪䇮䊘䊥䊙䊷᧚ᢱ䈱䉨䊞䊥䉝⒖േᐲ䉕ะ਄䈘䈞䉎䈢䉄䇮ʌ 㔚ሶ♽䈱ᐢ䈇᭽䇱䈭䊓
䊁䊨䉝䊧䊷䊮䉕ਥ㎮ౝ䈮᦭䈜䉎䊘䊥䉼䉥䊐䉢䊮⺃ዉ૕䈏วᚑ䈘䉏䇮㜞䈇䊃䊤䊮䉳䉴䉺․ᕈ䋨> 0.1 cm2/Vs䋩䉕␜䈜䈖䈫䈏ႎ
๔䈘䉏䈩䈇䉎䇯ᧄ⎇ⓥ䈪䈲䇮ᒰ⎇ⓥ䉫䊦䊷䊒䈪㐿⊒䈘䉏䈢㜞ᕈ⢻ૐಽሶ᦭ᯏඨዉ૕䈱ၮᧄ㛽ᩰ䈪䈅䉎䊅䊐䊃䉳䉼䉥䊐
䉢䊮[1]䉕᦭䈜䉎ᣂⷙ䈭䊘䊥䊙䊷ဳ᦭ᯏඨዉ૕䉕วᚑ䈚䇮ႣᏓဳ᦭ᯏ䊃䊤䊮䉳䉴䉺⚛ሶ䈱⹏ଔ䉕ⴕ䈦䈢[2]䇯
Figure 1 䈮วᚑ䈚䈢䊘䊥䊙䊷䈱᭴ㅧ䉕␜䈜䇯䊘䊥䊙䊷䈲䇮䉪䊨䊨䊔䊮䉷䊮䉇䉳䉪䊨䊨䊔䊮䉷䊮䈮นṁ䈪䈅䉍䇮䊘䊥䊙䊷䈱
ಽሶ㊂䋨Mn䋩䈲 7100ޯ33400 䈪䈅䈦䈢䇯ᄢ᳇ਛశ㔚ሶಽశᴺ䈮䉋䉍᷹ቯ䈚䈢䊘䊥䊙䊷⭯⤑䈱䉟䉥䊮ൻ䊘䊁䊮䉲䊞䊦䈲⚂
5.0eV 䈫䇮ઍ⴫⊛䈭䊘䊥䊙䊷᧚ᢱ䈪䈅䉎┙૕ⷙೣᕈ䊘䊥䋨3í䊓䉨䉲䊦䉼䉥䊐䉢䊮䋩䋨rrP3HT䋩䉋䉍䉅 0.3eV ⒟ᐲᄢ䈐䈒䇮ᄢ᳇
ਛ䈪䉅቟ቯ䈪䈅䉎䈖䈫䈏੍ᗐ䈘䉏䉎䇯䊃䊤䊮䉳䉴䉺䈲䇮䉲䊤䊮೷䈮䉋䉎 SAM ಣℂ䉕ᣉ䈚䈢 Si/SiO2 ၮ᧼਄䈮䇮䊘䊥䊙䊷ṁᶧ
䉕䉴䊏䊮䉮䊷䊃䈚䇮䈘䉌䈮 150ºC 䈪ടᾲಣℂᓟ䇮Au 㔚ᭂ䉕⌀ⓨ⫳⌕䈜䉎䈖䈫䈪૞⵾䈚䈢䇯Figure 2 䈮⚛ሶ䈱㔚ᵹí㔚࿶․
ᕈ䉕␜䈜䇯㘻๺㗔ၞ䈮䈩▚಴䈚䈢䊖䊷䊦⒖േᐲ䈲䇮ᐔဋ䈪⚂ 0.2 cm2/Vs䇮ᦨᄢ䈪 0.54 cm2/Vs 䈫䇮䊘䊥䊙䊷᧚ᢱ䈫䈚䈩
䈲㕖Ᏹ䈮㜞䈇୯䈪䈅䈦䈢䇯䉁䈢䇮䉥䊮䊶䉥䊐Ყ䈲ޯ 108 䈪䈅䈦䈢䇯䊘䊥䊙䊷⭯⤑䈱㕙ౝ X ✢࿁᛬᷹ቯ䉕ⴕ䈦䈢䈫䈖䉐䇮ʌ
䉴䉺䉾䉪䈮↱᧪䈜䉎㕖Ᏹ䈮䉲䊞䊷䊒䈭䊏䊷䉪䈏⷗䉌䉏䇮⚿᥏ᕈ䈱㜞䈇᭴ㅧ䉕᦭䈜䉎䈖䈫䈏ಽ䈎䈦䈢䇯䈘䉌䈮䇮ʌ 䉴䉺䉾䉪䈱
〒㔌䈲⚂ 3.5 Å 䈫䇮rrP3HT 䈱䈠䉏䋨3.8 Å䋩䈫Ყ䈼䈩ዊ䈘䈒䇮䈖䈱ᒝ䈇 ʌíʌ ⋧੕૞↪䈏㜞⒖േᐲ䈱ⷐ࿃䈪䈅䉎䈖䈫䈏␜ໂ
䈘䉏䈢䇯
[1] S. Shinamura, E. Miyazaki, K. Takimiya, J. Org. Chem. 2010, 75, 1228.
[2] I. Osaka, T. Abe, S. Shinamura, E. Miyazaki, K. Takimiya, J. Am. Chem. Soc. 2010, 132, 5000.
10-3
10-4
S
0.015
S
R
Figure 1. Naphthodithiophene-based
Semiconducting polymers
Vg=70V
0.01
10-6
-Id (A)
n
(-Id)1/2 (A1/2)
S
Vg=80V
-8x10-5
10-5
S
-1x10-4
Vd=-80V
10-7
10-8
Vg=60V
-6x10-5
Id (A)
R
Vg=50V
-4x10-5
0.005
Vg=40V
10-9
-2x10-5
10-10
10-11
40
20
0
-20
Vg (V)
-40
-60
0
-80
Vg=30V
Vg=20V
Vg=0V
0
0
-10 -20 -30 -40 -50 -60 -70 -80
Vd (V)
Figure 2. Typical I-V characteristics of the polymer device
X.
New n-channel organic semiconductor based on
thienoquinoidals
䉼
䉣䊉䉨䊉䉟䊄᭴ㅧ䉕ᜬ䈧ᣂⷙ n ဳ᦭ᯏඨዉ૕䈱㐿⊒
䉼䉣䊉䉨䊉䉟䊄᭴ㅧ䉕ᜬ䈧ᣂⷙ
Prof. Kazuo Takimiya
ᢎ᝼ Ἇች๺↵
Recent efforts in materials developments and device optimizations have rapidly improved the device performance of
organic field-effect transistors (OFETs). In the development of the OFET materials, the FET performance of the devices
based on n-channel organic semiconductors is still inferior to that of the devices based on p-channel organic ones.
Previously we have reported on a terthienoquinoidal derivative (Fig. 1) whose devices showed n-channel characteristics
under ambient condition with high electron-mobility (P
Pe) over 0.1 cm2 V–1 s–1 [1]. To synthesize the terthienoquinoidal,
however, more than 10-step reactions are necessary, and more easy accessible n-channel organic semiconductors are
favorable. In this work, we developed new thienoquinoidal compounds with ((alkoxy)carbonyl)cyanomethylene groups
as n-channel organic semiconductors (Fig. 2) [2].
For the construction of this class of compounds, a new, straightforward synthetic method was established and applied
to oligothienoquinoidal and fused thienoquinoidal systems. As shown in Scheme 1, a series of
((alkyloxy)carbonyl)cyanomethylene-substituted thienoquinoidal derivatives were synthesized from the corresponding
D
D-bromo- or D
D-iodothiophene derivatives. When both core and alkyl groups in the ester moiety were tuned, the
thienoquinoidals exhibited good solubility, stability in the atmosphere, and electron-accepting properties, as well as
solution processability. Solution-processed FETs based on the terthienoquinoid derivative (3T) with
((n-alkyloxy)carbonyl)cyanomethylene moieties exhibit good electron mobilities (ȝeᨺ0.015
ᨺ0.015 cm2 V–1 s–1) and Ion/Ioff §105
under ambient conditions (Fig. 3). Vapor-processed FETs using the benzodithienoquinoidal (BDT) derivative showed
similar n-channel FET characteristics. By the cyclic voltammetry measurement, the LUMO energy levels of the
((alkyloxy)carbonyl)cyanomethylene-substituted thienoquinoidals expected from the onset of the first reduction wave fall
into ca. 4.0–4.2 eV below the vacuum level, thereby meeting the criteria for air-stable n-channel carrier transport in
OFETs.
ㄭᐕ䇮᧚ᢱ㐿⊒䉇䊂䊋䉟䉴᭴ㅧ䈱ᦨㆡൻ䈮䉋䉍䇮᦭ᯏ㔚⇇ലᨐ䊃䊤䊮䉳䉴䉺 (OFET) 䈱䊂䊋䉟䉴․ᕈ䈲ᕆㅦ䈮ะ਄
䈚䈩䈇䉎䈏䇮n ဳ᦭ᯏඨዉ૕䉕↪䈇䈢 FET ⚛ሶ䈱․ᕈ䈲ଐὼ䈫䈚䈩ૐ䈒䇮ᦝ䈮ᄢ᳇ਅ䈪㚟േ䈜䉎 OFET 䈲㒢䉌䉏䈩䈇
䉎䇯╩⠪䈲એ೨䇮䉼䉣䊉䉨䊉䉟䊄⺃ዉ૕ (࿑ 1) 䉕↪䈇䈢 OFET 䈏䇮ᄢ᳇ਅ䈪㚟േ䈚䇮䈎䈧 0.10 cm2 V–1 s–1 એ਄䈱㜞䈇
㔚ሶ⒖േᐲ䉕␜䈜䈖䈫䉕ႎ๔䈚䈢[1]䇯䈚䈎䈚䇮䈠䈱วᚑ䈮䈲 10 Ბ㓏એ਄ᔅⷐ䈪䈅䉍䇮䉋䉍◲ଢ䈮วᚑน⢻䈭 n ဳ᦭ᯏ
ඨዉ૕䈏ᦸ䉁䉏䈩䈇䉎䇯੹࿁䇮◲ଢ䈮วᚑน⢻䈭 n ဳ᦭ᯏඨዉ૕䈫䈚䈩䇮䉝䊦䉮䉨䉲䉦䊦䊗䊆䊦䉲䉝䊉䊜䉼䊧䊮ၮ䉕᦭
䈜䉎䉼䉣䊉䉨䊉䉟䊄⺃ዉ૕ (࿑ 2) 䈱㐿⊒䉕ⴕ䈦䈢䈱䈪ႎ๔䈜䉎[2]䇯
ᧄ䉨䊉䉟䊄ൻว‛䈱วᚑᴺ䉕䉴䉨䊷䊛 1 䈮␜䈜䇯ኻᔕ䈜䉎䉳䊊䊨䉭䊮ൻว‛䈎䉌䉦䉾䊒䊥䊮䉫෻ᔕ䇮ᰴ䈇䈪㉄ൻ෻ᔕ
䉕 1 䊘䉾䊃䈪ⴕ䈇䇮䉼䉣䊉䉨䊉䉟䊄ൻว‛䉕วᚑ䈚䈢䇯䉥䉪䉼䊦䊃䊥䉪䊨䊨䉲䊤䊮䈪 SAM ಣℂ䉕ⴕ䈦䈢䉲䊥䉮䊮 (Si/SiO2)
ၮ᧼਄䈮䉴䊏䊮䉮䊷䊃ᴺ䉅䈚䈒䈲⌀ⓨ⫳⌕ᴺ䈮䉋䉍᦭ᯏൻว‛䉕⵾⤑䈚䇮ᦨᓟ䈮䉸䊷䉴/䊄䊧䉟䊮㔚ᭂ䉕⫳⌕䈜䉎䈖䈫䈮䉋
䉍䊃䉾䊒䉮䊮䉺䉪䊃ဳ OFET ⚛ሶ䉕૞⵾䈚䈢䇯䉥䊥䉯䉼䉥䊐䉢䊮 (nT) 䈍䉋䈶䊔䊮䉹䉳䉼䉥䊐䉢䊮 (BDT) 䈱䉼䉣䊉䉨䊉䉟䊄
⺃ዉ૕䈱 OFET ⚛ሶ䈲ᄢ᳇ਅ䈪㚟േ䈚䇮䈠䈱㔚ሶ⒖േᐲ䈲ᦨᄢ 0.015 cm2 V–1 s–1 䈪䈅䈦䈢 (࿑ 3)䇯䉰䉟䉪䊥䉾䉪䊗䊦䉺
䊮䊜䊃䊥䋭ᴺ䈮䉋䉍⷗Ⓧ䉅䈦䈢ᧄ䉨䊉䉟䊄ൻว‛䈱 LUMO Ḱ૏䈲 4.0–4.2 eV 䈪䈅䉍䇮䈠䈱䈢䉄䈮䈖䉏䉌䈱 OFET ⚛ሶ䈲ᄢ
᳇ਅ䈪䉅㚟േ䈚䈢䈫⠨䈋䉌䉏䉎䇯
[1] S. Handa, E. Miyazaki, K. Takimiya, and Y. Kunugi, Y. J. Am. Chem. Soc. 2007, 129, 11684–11685.
[2] Y. Suzuki, E. Miyazaki, and K. Takimiya, J. Am. Chem. Soc. 2010, 132, 10453–10466.
Scheme 1. 3 Synthetic route of various thienoquinoidals
(a)
Fig. 1 Structure of dicyanomethylene-substituted thienoquidal.
(b)
Fig. 2 Structure of Alkoxy)carbonyl)cyanoAlkoxy)carbonyl)cyanomethylene-substituted oligothienoquinoidals.
Fig. 3 Output characteristics of
the OFETs. (a) 3T (R=hexyl)
and (b) BDT (R=hexyl).
XI.
Plasma synthesis of composite materials using
aerosol precursor
䉣䉝䊨䉹䊦ේᢱ䉕↪䈇䈢ⶄว᧚ᢱ䈱䊒䊤䉵䊙วᚑ
䉝䊨䉹䊦ේᢱ䉕↪䈇䈢ⶄว᧚ᢱ䈱䊒䊤䉵䊙วᚑ
Prof. Manabu Shimada
ᢎ᝼ ፉ↰ ቇ
Synthesis of thin-film/particulate composite materials that aims at improving the functions of devices consisting of
the materials has recently been attracting attention. Among various synthesizing methods, plasma-enhanced chemical
vapor deposition (PECVD) methods have widely been used due mainly to their superiority in controlling the structure at
a nanosize scale. However, the choice of raw materials for film synthesis by the PECVD methods is often difficult
since appropriate gaseous precursors must be sought. In this study, a novel synthesis method for composite materials is
proposed, in which aerosol particles are supplied as a solid precursor in addition to a gaseous precursor.
Non-equilibrium microwave plasma is employed as the reactive plasma field for the synthesis. The plasma reactor
used in our previous study [1] for nanoparticle synthesis was modified to enable film formation on a substrate. A
schematic diagram of the experimental system is shown in Fig. 1. Helium gas at a flow rate of 4.5 - 6 standard liters per
minute and a pressure of 3 - 5 kPa flows as carrier gas through a quartz tube. A microwave plasma field is formed in
the tube by the resonance of 2.45 GHz microwave. Titanium tetraisopropoxide (TTIP) vapor, used as the model
gaseous precursor, is generated by using a bubbler and added to the helium gas. Silica nanoparticles of about 50 - 200
nm in average diameter are used as the model solid precursor. A water suspension of the nanoparticles is nebulized in
pressurized helium gas, and the resulting aerosol droplets are fed from an upstream position of the tube after carefully
adjusting the pressure of the aerosol. A small piece of a silicon wafer as the substrate is placed in the tube at a
downstream region of the plasma. After finding appropriate conditions for the dispersion of the nanoparticles in the
reactor as well as for film synthesis on the substrate from the gasesous precursor, the two kinds of precursors were fed
into the reactor alternately. Figure 2 shows a typical cross-sectional view of a film thus synthesized. Many silica
nanoparticles were embedded in a relatively dense thin film, and the gaps between the particles appear to be almost filled
up with a continuous phase material. The synthesized film was confirmed to include silica and titania from analyses
using UV-Vis, FT-IR and Raman spectroscopy. Such composite materials were also synthesized by feeding the gaseous
and aerosol precursors simultaneously. In this case, the morphology of the materials varied from dense and porous
films to composite particulate matter (Fig. 3), depending on the synthesizing conditions.
䊂䊋䉟䉴䈱ᯏ⢻ะ਄䉕⋡ᜰ䈚䈢⭯⤑䉇☸ሶ⁁䈱ⶄว᧚ᢱ䈱วᚑ䈏ᵈ⋡䈘䉏䈩䈇䉎䇯⒳䇱䈱วᚑᴺ䈱䈭䈎䈪䉅䇮䊒
䊤䉵䊙 CVD ᴺ䈲䊅䊉䉴䉬䊷䊦᭴ㅧ䈱೙ᓮᕈ䈮ఝ䉏䉎䈭䈬䈱ὐ䈎䉌ᐢ䈒↪䈇䉌䉏䈩䈇䉎䇯䈚䈎䈚䈭䈏䉌䇮䈖䈱ᣇᴺ䈪䈲䇮
วᚑේᢱ䈫䈭䉎䉧䉴⁁‛⾰䈱ㆬᛯ䈏㔍䈚䈇䇯䈠䈖䈪ᧄ⎇ⓥ䈪䈲䇮䉣䉝䊨䉹䊦☸ሶ䉕࿕૕ේᢱ䈫䈚䈩䉧䉴⁁ේᢱ䈮ട䈋䉎
ᣂ䈢䈭ⶄว᧚ᢱวᚑᴺ䉕ឭ᩺䈜䉎䇯
วᚑ෻ᔕ႐䈫䈚䈩㕖ᐔⴧ䊙䉟䉪䊨ᵄ䊒䊤䉵䊙႐䉕↪䈇䈢䇯䊒䊤䉵䊙෻ᔕེ䈲䇮ᣢᓔ䈱⎇ⓥ䈪↪䈇䈢䊅䊉☸ሶวᚑⵝ
⟎[1]䉕ᡷㅧ䈚䈢䉅䈱䈪䇮ታ㛎♽䉕࿑ 1 䈮␜䈜䇯࿶ജ 3䌾5 kPa 䈱䊓䊥䉡䊛䉧䉴䉕䉨䊞䊥䉝䉧䉴䈫䈚䇮䊙䉟䉪䊨ᵄ䈮䉋䉎䊒䊤䉵
䊙䉕⍹⧷䉼䊠䊷䊑ౝ䈮ᒻᚑ䈚䈢䇯䉼䉺䊆䉡䊛䊁䊃䊤䉟䉸䊒䊨䊘䉨䉲䊄⫳᳇䈫ᐔဋᓘ⚂ 50䌾200 nm 䈱䉲䊥䉦䊅䊉☸ሶ䉕䈠
䉏䈡䉏䊝䊂䊦䉧䉴ේᢱ䇮䊝䊂䊦☸ሶේᢱ䈫䈚䈩↪䈇䈢䇯䉲䊥䉦☸ሶ䈲䇮 Ộᶧ䈱ᶧṢൻ䉕⚻䈩䉣䉝䊨䉹䊦䈫䈚䈩෻ᔕེ
䈮ዉ౉䈚䈢䇯෻ᔕེౝ䈻䈱䊅䊉☸ሶ䈱ಽᢔ䈫䉧䉴⁁ේᢱ䈮䉋䉎⭯⤑↢ᚑ䈮ኻ䈜䉎ㆡಾ䈭᧦ઙ䉕ត⚝䈚䈢ᓟ䇮2 ⒳㘃䈱
ේᢱ䉕੤੕䈮෻ᔕེ䈮ዉ౉䈚䈢䇯↢ᚑ䈚䈢⤑䈱౮⌀䋨࿑ 2䋩䉋䉍䇮䉲䊥䉦䊅䊉☸ሶ䈏Ყセ⊛⒮ኒ䈭⤑䈮ၒ䉄ㄟ䉁䉏䈩䈇䉎
⁁ᘒ䈏⏕⹺䈘䉏䇮䉁䈢䈖䈱⤑䈲䇮UV-Vis䇮FT-IR䇮䊤䊙䊮ಽశಽᨆ䉋䉍䇮䉲䊥䉦䈫䉼䉺䊆䉝䈱ਔᣇ䉕฽䉃䈖䈫䈏ಽ䈎䈦䈢䇯
䈖䈱䉋䈉䈭ⶄว‛⾰䈲䇮䉧䉴䈫䉣䉝䊨䉹䊦ේᢱ䉕หᤨ䈮ଏ⛎䈚䈢䈫䈐䈮䉅ᓧ䉌䉏䈢䈏䇮䈖䈱႐ว䈲䇮วᚑ᧦ઙ䈮䉋䉍䇮⒮
ኒ⤑䇮ⓨ㓗ᕈ⤑䇮ⶄว☸ሶ⁁‛⾰䈭䈬䈱ᒻᘒ䈏↢䈛䈢䋨࿑ 3䋩䇯
[1] M. Shimada, Y. Azuma, K. Okuyama, Y. Hayashi and E. Tanabe, Jpn. J. Appl. Phys., 45, Pt. 1, pp. 328-332, 2006.
Syringe pump
Regulator
Needle
valve
He gas
Mass flow
controller
Bubbler
Quartz
tube
Solid
precursor
Suspension of
silica nanoparticles
Silicon wafer
Gaseous
precursor
Thermostatic
bath
Antenna
Two-fluid
nozzle
Fig. 2 Cross-sectional view of film synthesized
by alternate feeding of precursors.
Titanium tetraisopropoxide (TTIP)
Cavity
(Microwave
resonator)
Quartz
tube
FWD
REF
500nm
Vacuum
pump
Regulator
100 nm
Magnetron
Fig. 1 Schematic diagram of experimental system.
Power supply
Fig. 3 Porous film-shaped and particulate materials
synthesized by simultaneous feeding of precursors.
XII. Activation of As and B by thermal plasma jet
induced millisecond annealing and its application
to ultra shallow junction formation
ᾲ䊒䊤䉵䊙䉳䉢䉾䊃ᾖ኿䊚䊥⑽ᾲಣℂ䈮䉋䉎 As 䈍䉋䈶 B
䈱ᵴᕈൻ䈫ᭂᵻធวᒻᚑ
Assoc. Prof. S.Higashi
ಎᢎ᝼ ᧲ ᷡ৻㇢
For the formation of ultra shallow junction (USJ) in scaled metal-oxide-semiconductor field-effect-transistors
(MOSFETs), millisecond annealing techniques such as flash lamp and laser annealing have been studied intensively.
However, non-uniformity of wafer surface temperature due to pattern effect becomes a critical issue. We have applied an
Ar DC arc discharge thermal plasma jet (TPJ) to millisecond annealing of Silicon wafers (Fig. 1). Silicon wafers
implanted with As or B ions were irradiated by high power TPJ and significant decrease in sheet resistance (Rs) was
observed as shown in Fig. 2. The Rs of B implanted samples saturates at an annealing temperature higher than 1400 K,
while it is 1000 K in the case of As implanted samples. In addition, we found that not only the annealing temperature, but
faster heating and cooling rates play important role to achieve efficient activation of As atoms as shown in Fig. 3. Figure
4 shows the Rs of As implanted samples as a function of the cooling rate. It is clearly seen that higher cooling rate
decreases Rs remarkably when the annealing temperature is the same. Based on this understanding, we have successfully
formed As USJ with a junction depth (Xj) of 39 nm and a low Rs of 260 :/sq. by applying 950 K annealing with a
cooling rate of 5.7×104 K/s. In addition, a B USJ with Rs RI ȍ/sq. and Xj of 26 nm was achieved by annealing at
1392K.
⿥䇱ᄢⷙᮨ㓸Ⓧ࿁〝䋨ULSI䋩䈱ၮᧄ⚛ሶ䈪䈅䉎㊄ዻʊ㉄ൻ⤑ʊඨዉ૕䋨metal oxide semiconductor : MOS䋩㔚⇇ല
ᨐ䊃䊤䊮䉳䉴䉺䋨field effect transistor : FET䋩䈱䉭䊷䊃㐳❗ዊ䈮઻䈉⍴䉼䊞䊈䊦ലᨐᛥ೙䈮䈲䇮䉸䊷䉴䊶䊄䊧䉟䊮䉣䉪䉴䊁䊮
䉲䊢䊮䈱ᭂᵻធว䋨Ultra Shallow Junction : USJ䋩ᒻᚑ䈏ਇนᰳ䈪䈅䉎䇯ਇ⚐‛᜛ᢔ䉕ᛥ೙䈚䈧䈧㜞ല₸ᵴᕈൻ䉕㆐ᚑ
䈜䉎䈢䉄䈮䇮䊐䊤䉾䉲䊠䊤䊮䊒䉇䊧䊷䉱䊷䉕↪䈇䈢䊚䊥⑽ᾲಣℂ䈏ᬌ⸛䈘䉏䈩䈇䉎䈏䇮Si 䉡䉣䊊⴫㕙䈱䊌䉺䊷䊮䈮⿠࿃
䈜䉎⴫㕙෻኿₸ಽᏓ䈱䈢䉄ဋ৻ടᾲ䈏㔍䈚䈇䈫䈇䈉⺖㗴䈏䈅䉎䇯ᧄ⎇ⓥ䈪䈲䇮ᄢ᳇࿶ DC 䉝䊷䉪᡼㔚䈮䉋䉍⊒↢䈚䈢
ᾲ䊒䊤䉵䊙䉳䉢䉾䊃䋨TPJ䋩೨㕙䈮䈍䈇䈩䇮䊍⚛䋨As䋩䈍䉋䈶䊖䉡⚛䋨B䋩䉕䉟䉥䊮ᵈ౉䈚䈢䉲䊥䉮䊮䉡䉣䊊䉕⿛ᩏ䈜䉎䈖䈫䈮䉋
䈦䈩ਇ⚐‛ᵴᕈൻ䉕⹜䉂䈢䋨Fig. 1䋩䇯TPJ ᾖ኿䊚䊥⑽ᾲಣℂᓟ䈱䉲䊷䊃ᛶ᛫䋨Rs䋩᷹ቯ⚿ᨐ䉕 Fig. 2 䈮␜䈜䇯As 䈍䉋䈶 B
䈇䈝䉏䈱⹜ᢱ䈪䉅䇮ಣℂ᷷ᐲ䈱਄᣹䈫䈫䉅䈮 Rs 䈲න⺞ᷫዋ䈚䇮As ⹜ᢱ䈪䈲 260:/sq.䇮B ⹜ᢱ䈪䈲 230:/sq.⒟ᐲ䈱୯
䈪㘻๺䈚䈢䇯As ⹜ᢱ䈲⚂ 1000 K 䈪චಽ䈮ૐ䈇 Rs 䈏ᓧ䉌䉏䈢䈱䈮ኻ䈚䈩䇮B ⹜ᢱ䈲 400 K 䈾䈬㜞䈇ಣℂ᷷ᐲ䈏ᔅⷐ
䈪䈅䉎䈖䈫䈏᣿䉌䈎䈮䈭䈦䈢䇯䈖䉏䉌䈱⹜ᢱ䉕᭽䇱䈭 TPJ ᾖ኿᧦ઙ䈪ᾲಣℂ䈚䇮Rs 䉕ಣℂ᷷ᐲ䈍䉋䈶ಣℂᤨ㑆䈮ኻ䈚䈩
ᢛℂ䈜䉎䈫䋨Fig. 3䋩䇮B 䈲ಣℂ᷷ᐲ䈱਄᣹䈫䈫䉅䈮 Rs 䈲ᷫዋ䈚ಣℂᤨ㑆䈮ኻ䈜䉎ଐሽᕈ䈏䈾䈫䉖䈬⷗䉌䉏䈭䈇䈱䈮ኻ䈚
䈩䇮As 䈲ಣℂ᷷ᐲ䈣䈔䈪䈭䈒ಣℂᤨ㑆䈏⍴䈇㗔ၞ䈪 Rs 䈏ਅ䈏䈦䈩䈇䉎䈖䈫䈏ಽ䈎䉎䇯Fig. 3 As 䈱䋨a䋩䌾䋨d䋩䈱 Rs 䉕಄
ළㅦᐲ䈮ኻ䈚䈩䊒䊨䉾䊃䈚䈢⚿ᨐ䈏 Fig. 4 䈪䈅䉎䇯ಣℂ᷷ᐲ䈏ห䈛䈪䉅䇮಄ළㅦᐲ䈏 2.6×104 䈎䉌 1.2×105 K/s 䈻䈫Ⴧട
䈜䉎䈖䈫䈪䇮Rs 䈲 3400 䈎䉌 260:/sq.䈻䈫ᄢ᏷䈮ૐਅ䈜䉎䈖䈫䈏᣿䉌䈎䈮䈭䈦䈢䇯䈖䈱⚿ᨐ䈲䇮․䈮 As 䈱ᵴᕈൻ䈮䈲ᕆ
ㅦടᾲ䊶಄ළ䈏㊀ⷐ䈪䈅䉎䈖䈫䉕␜䈚䈩䈇䉎䇯䈠䈖䈪䇮ಣℂ᷷ᐲ 950 K䇮಄ළㅦᐲ 5.7×104 K/s 䈱᧦ઙ䈪 As ᵈ౉䉰䊮䊒
䊦䉕ಣℂ䈚䈢䈫䈖䉐䇮Fig. 5 䈮␜䈜䉋䈉䈮ਇ⚐‛᜛ᢔ䉕ᛥ೙䈚䈧䈧䇮Rs 1095:/sq.䇮ធวᷓ䈘(Xj) 11.9 nm 䈱 USJ ᒻᚑ䈮
ᚑഞ䈚䈢䇯䉁䈢 B ᵈ౉䉰䊮䊒䊦䈮㑐䈚䈩䉅䇮1392K 䈱Ყセ⊛㜞䈇ಣℂ᷷ᐲ䈪ᾲಣℂ䈜䉎䈖䈫䈮䉋䈦䈩䇮Fig. 6 䈮␜䈜䉋
䈉䈮 Rs = 392:/sq.䇮Xj =26 nm 䈱 USJ 䉕ᒻᚑ䈪䈐䈢䇯
[1] K. Matsumoto, et. al., Jpn. J. Appl. Phys., 49, (2010) 04DA02. H. Furukawa, et. al., Jpn. J. Appl. Phys. 48 (4), (2009) 04C011. S. Higashi, Ext. Abs.
2010 Int. Workshop Junction Tech. (IWJT-2010), (Shanghai, China, May. 10-11, 2010), pp. 30-35. [Invited]
Fig. 1. Schematic diagram of TPJ induced
millisecond annealing.
Fig. 4. Rs of As implanted samples
plotted with respect to the cooling rate.
Fig. 2. Rs of As and B implanted samples
as functions of annealing temperature.
Fig. 3. Rs of As and B implanted samples as
functions of annealing temperature and duration.
Fig. 5. SIMS profiles of As
as-implanted and annealed samples.
Fig. 6. SIMS profiles of B
as-implanted and annealed samples.
XIII. Critical dose for amorphization of Ge by ion
implantation
䉟䉥䊮ᵈ౉䈮䉋䉎 Ge 䈱䉝䊝䊦䊐䉜䉴ൻ⥃⇇䊄䊷䉵
䉟䉥䊮ᵈ౉䈮䉋䉎
Assoc. Prof.
Kentaro Shibahara
ಎᢎ᝼ ⦼ේ ஜᄥ㇢
In the field of leading edge CMOS field, Ge is paid attention as alternative material of Si. However Ge process
technology is immature. Especially, research and development for doping related technology is insufficient. We have
obtained critical amorphization dose for ion implanted as a part of fundamental data collection concerning doping with
ion implantation [1, 2]. In the cases of elements heavier than (open circles in Fig. 1), Ge was amorphized with lower
those than critical doses(blue line) calculated with analytical model obtained with experimental results for Si. Estimation
considering fundamental elastic and inelastic scattering (dashed red line) led to similar result. Therefore, localized
heating and rapid cooling like the thermal spike phenomenon would be occurred. On the other hand light element B
could not amorphize Ge even with the dose of 3x1016cm-2 which is much larger than estimated values. Cross-sectional
TEM images clearly show generation of high density crystal defects. One of the considerable reason of such a high
resistance for amorphization is attributable to complex vacancy formation reported by Peaker et al.[3].
వ┵ CMOS 䊂䊋䉟䉴䈱ಽ㊁䈪䇮Ge 䈲 Si 䈱ઍᦧ䈋᧚ᢱ䈫䈚䈩ᵈ⋡䈘䉏䈩䈇䉎䈏䇮䈠䈱䊒䊨䉶䉴ᛛⴚ䈲䉁䈣䉁䈣ᧂᾫ䈪
䈅䉎䇯․䈮䊄䊷䊏䊮䉫㑐ㅪᛛⴚ䈲⎇ⓥ䊶㐿⊒䈏ਇචಽ䈪䈅䉎䇯ᚒ䇱䈲䇮Ge 䈻䈱䉟䉥䊮ᵈ౉䈮䉋䉎䊄䊷䊏䊮䉫䈱䈢䉄䈱ၮ
ᧄ䊂䊷䉺෼㓸䈱৻Ⅳ䈫䈚䈩䇮䉝䊝䊦䊐䉜䉴ൻ⥃⇇䊄䊷䉵䉕⺞䈼䈢[1,2]䇯P 䉋䉍㊀䈇ర⚛䈱䉟䉥䊮ᵈ౉䈱႐ว(࿑ਛ⊕ਣ)䇮
Si 䈱ታ㛎䈎䉌᳞䉄䉌䉏䈢⸃ᨆ䊝䊂䊦䈱୯(࿑ਛ㕍✢)䈮Ყ䈼ዋ䈭䈇䊄䊷䉵䈪䉝䊝䊦䊐䉜䉴ൻ䈏⿠䈖䈦䈢䇯ၮᧄ⊛䈭ᒢᕈ
ᢔੂ䇮㕖ᒢᕈᢔੂ䉕⠨ᘦ䈚䈢⷗Ⓧ䉅䉍(࿑ਛ⿒✢)䈫Ყセ䈚䈩䉅ห᭽䈪䈅䉎䇯䈖䈱䈢䉄䇮ᾲ䉴䊌䉟䉪⃻⽎䈱䉋䈉䈭ዪᚲ⊛䈭
ṁⲢ䊶ᕆ಄⃻⽎䈏⿠䈐䈩䈇䉎น⢻ᕈ䈏䈅䉎䈫⠨䈋䉌䉏䉎䇯৻ᣇ䇮シర⚛䈪䈅䉎 B 䈱႐ว䈮䈲䇮⷗Ⓧ䉅䉍୯䉋䉍䈲䉎䈎䈮ᄙ
䈇 3x1016cm-2 䈱ᵈ౉䉕ⴕ䈦䈩䉅䉝䊝䊦䊐䉜䉴ൻ䈲⿠䈐䈭䈎䈦䈢䇯ᢿ㕙 TEM ౮⌀䉋䉍䇮㜞Ớᐲ䈱⚿᥏ᰳ㒱䈏↢ᚑ䈘䉏䈩
䈇䉎䈖䈫䈏᣿䉌䈎䈪䈅䉎䇯䈠䉏䈮䉅䈎䈎䉒䉌䈝䉝䊝䊦䊐䉜䉴ൻ䈏⿠䈐䈩䈇䈭䈇䈱䈲䇮Peaker 䉌[3]䈮䉋䈦䈩ႎ๔䈘䉏䈢ⓨሹ
䈱ⶄวᰳ㒱䈱↢ᚑ䈏ේ࿃䈫䈚䈩⠨䈋䉌䉏䉎䇯
[1] K. Osada and K. Shibahara, Conf. Digest of The 2009 International Meeting for Future of Electron Devices, Kansai (2009
IMFEDK, Osaka, Japan, May 14-15, 2009) pp. 130-131.
[2] K. Shibahara and K. Osada, Ext. Abs. the 9th International Workshop on Junction Technology (IWJT2009, Kyoto, Japan, June
11-12, 2009) pp. 104-105.
[3] A.R. Peaker et al., ECS Transaction 3 (2006) pp.67-76.
Fig. 2 XTEM images of B+ implanted specimens. Implantation doses are (a)
3x1016 cm-2, (b) 1.3x1016 cm-2 and (c) 7.0x1015 cm-2.
Fig. 1 Critical amorphization doses. Open
circles shows the experimentally obtained
critical dose. B+ implantation did not result
in amorphization even with the dose of
3x1016 cm-2, as plotted with a solid circle.
XIV. Dependence of charge storage and programming
characteristics on dot number of floating dot memory
䊐䊨䊷䊁䊄䉾䊃䊜䊝䊥䈮䈍䈔䉎଻ᜬ․ᕈ䈫䊒䊨䉫䊤䊚䊮䉫․ᕈ䈱
䊐䊨䊷䊁䊄䉾䊃䊜䊝䊥䈮䈍䈔䉎଻ᜬ․ᕈ䈫䊒䊨䉫䊤䊚䊮䉫․ᕈ䈱
䊄䉾䊃ᢙଐሽᕈ
Assoc. Prof.
Anri Nakajima
ಎᢎ᝼ ਛፉ቟ℂ
The device size of flash memories becomes under 100nm at present. As the size of the floating gate reduces, the
number of electrons in a floating gate also becomes small. When the number of electrons becomes small, electrons are
lost in a short time even in the retention mode. To circumvent this problem, the idea of dividing the floating gate into
plural dots has been proposed. However, the most effective position and number of dots has not been investigated to date.
Therefore, nonvolatile memories with a narrow channel and self-aligned Si nanoscale floating dots were fabricated (Fig.
1) and systematically studied the dependence of charge memory characteristics (Figs. 2and 3) on the size, number and
position of dots [1].
It is found that the threshold voltage is independent of dot number when floating dots are positioned along the
channel with an upper gate, which covers all the dots (Fig.1). Moreover, in the structure, better retention characteristics
(Fig. 2) were obtained without degrading programming characteristics (Fig. 3). The threshold voltage in our device is
determined by the potential difference between the Fermi level at the source/drain and the highest Si conduction band
edge along the channel. The highest energy is achieved under the dot whose amount of leaked charge is the smallest. The
threshold voltage keeps constant only if there is at least one dot that does not have the leakage path. This leads to the
longer retention time, On the other hand, almost the same amount of charge is injected into/ejected from each floating dot
when applying a large positive/negative gate voltage. That leads to the same programming characteristics.
Therefore, the metal-oxide-semiconductor field-effect transistor with multiple self-aligned Si floating dots positioned
along the narrow channel in series is a promising candidate for future extremely low power memory having a long
retention time without sacrificing programming characteristics.
⃻࿷䊐䊤䉾䉲䊠䊜䊝䊥䈲 100nm 䉕ഀ䉎䊂䊋䉟䉴䉰䉟䉵㗔ၞ䈮౉䈦䈩䈇䉎䇯䊐䊤䉾䉲䊠䊜䊝䊥䈱䊐䊨䊷䊁䉞䊮䉫䉭䊷䊃䈱䉰䉟
䉵䈏ዊ䈘䈒䈭䉎䈫䇮䊐䊨䊷䊁䉞䊮䉫䉭䊷䊃䈮଻ᜬ䈜䉎㔚ሶᢙ䉅ዊ䈘䈒䈭䉍䇮⛘✼⤑䈮䉒䈝䈎䈱㔚ሶ䊥䊷䉪䊌䉴䈏䈅䈦䈩䉅㔚
ሶ䈏⍴ᤨ㑆䈪ᄬ䉒䉏䉎䇯䈖䉏䉕ㆱ䈔䉎䈢䉄䈮䇮䊐䊨䊷䊁䉞䊮䉫䉭䊷䊃䉕ⶄᢙ䈱䊄䉾䊃䈮ಽഀ䈜䉎੐䈏ឭ᩺䈘䉏䈩䈇䉎䇯䈚
䈎䈚䇮䊥䊷䉪䈱ᓇ㗀䉕ᦨ䉅ૐᷫ䈪䈐䉎䊄䉾䊃䈱ᦨㆡ䈭㈩⟎䈮䈧䈇䈩䈲್䈦䈩䈇䈭䈇䇯䈠䈖䈪䇮㔚ሶ✢䊥䉸䉫䊤䊐䉞䊷䉕↪䈇
䈩䊐䊨䊷䊁䉞䊮䉫䊄䉾䊃䈱䉰䉟䉵䇮୘ᢙ䇮㈩⟎䉕ᱜ⏕䈮ⷙቯ䈚䈩䇮䊜䊝䊥․ᕈ䋨࿑䋲䋬䋳䋩䈻䈱ᓇ㗀䉕♽⛔⊛䈮⺞䈼䈢[1]䇯
䊐䊨䊷䊁䉞䊮䉫䊄䉾䊃䉕䉼䊞䊈䊦ᣇะ䈮ⶄᢙ⋥೉䈮㈩⟎䈚䉮䊮䊃䊨䊷䊦䉭䊷䊃䈏ో䈩䈱䊄䉾䊃䉕ⷒ䈉႐ว䈮䋨࿑䋱䋩䇮䈚䈐
䈇୯㔚࿶䈏䊄䉾䊃䈱୘ᢙ䈮ଐሽ䈚䈭䈇੐䈏್䈦䈢䇯ᦝ䈮䈖䈱႐ว䇮ᦠ䈐ㄟ䉂䊶ᶖ෰․ᕈ䉕ഠൻ䈘䈞䈝䈮䋨࿑䋳䋩䇮଻ᜬᤨ
㑆䉕㐳䈒䈪䈐䉎੐䈏᣿䉌䈎䈮䈭䈦䈢(࿑䋲)䇯䈖䈱䉋䈉䈭᭴ㅧ䈪䈲㔚ሶ䉕଻ᜬᤨ䈮䈲䇮䉼䊞䊈䊦䈮ᴪ䈦䈩વዉᏪ┵䈱䉣䊈
䊦䉩䊷䈏ᦨ䉅㜞䈒䈭䉎૏⟎䈲䈠䉏䈡䉏䈱䊄䉾䊃䈱⋥ਅ䈫䈭䉎䇯䈖䈱ᦨ䉅㜞䈇વዉᏪ┵䉣䊈䊦䉩䊷䈫䉸䊷䉴䊶䊄䊧䉟䊮䈱䊐䉢
䊦䊚䉣䊈䊦䉩䊷䈫䈱Ꮕ䈏䈚䈐䈇୯㔚࿶䉕᳿䉄䉎䈱䈪䇮ⶄᢙ䈱䊄䉾䊃䈱ౝ䈱䈇䈒䈧䈎䈮䊥䊷䉪䈏䈅䉍䇮䈠䈖䈪䈱વዉᏪ┵䉣
䊈䊦䉩䊷䈏ਅ䈏䈦䈩䉅䇮䊥䊷䉪䈱ή䈇䊄䉾䊃䈏䋱䈧䈪䉅䈅䉏䈳ో૕䈫䈚䈩䈱䈚䈐䈇୯㔚࿶䈲ో䈒ᄌ䉒䉌䈭䈇䇯䈖䈱䈢䉄䊜䊝
䊥䈱଻ᜬᤨ㑆䉕㐳䈒଻䈩䉎䇯৻ᣇ䇮ᦠ䈐ㄟ䉂ᤨ䈮䈲䇮ⶄᢙ䈱䊄䉾䊃䈮หᤨ䈮䉮䊮䊃䊨䊷䊦䉭䊷䊃䈎䉌㜞㔚࿶䈏䈎䈎䉎䈱䈪
ᦠ䈐ㄟ䉂ᶖ෰ᤨ㑆䈲ᄌ䉒䉌䈭䈇䇯ㅢᏱ䈱䊐䊤䉾䉲䊠䊜䊝䊥䈪䈲ᦠ䈐ㄟ䉂䊶ᶖ෰․ᕈ䈫଻ᜬ․ᕈ䈲䊃䊧䊷䊄䉥䊐䈱㑐ଥ䈮
䈅䉎䈱䈪䇮 䈖䉏䈲䊐䊤䉾䉲䊠䊜䊝䊥䈱ᦨㆡ᭴ㅧ䈮㑐䈜䉎ᜰ㊎䉕ਈ䈋䉎↹ᦼ⊛䈭ᚑᨐ䈪䈅䉎䇯
[1] A. Nakajima, T. Fujiaki, and Y. Fukuda, J. Appl. Phys. 105 Art. No.114505 (2009)
0.05
0
Drain
Channel
Buried oxide
Substrate
ӠVth (V)
-0.05
1.2
Dot 1
Dot 2
Dot 5
Dot 10
-0.1
-0.15
-0.2
-0.25
Fig. 1. (a) Schematic diagram of
fabricated memory (b) SEM
micrograph of floating dots after
isotropic wet etching.
0 10 20 30 40 50 60 70
Time (h)
Fig. 2. Retention characteristics
at room temperature for memory
devices with various numbers of
floating dots. The average value
of threshold voltage shift is
shown as a function of retention
time after write stress at 7 V for
10 min. The threshold voltage
was measured in the gate voltage
range from -0.5 to 1.5 V.
1.1
(a)
1
0.8
0.4
Dot 0
Dot 1
Dot 2
Dot 5
Dot 10
0
-0.4
Threshold Voltage (V)
Source
Threshold Voltage (V)
(a)
Floating dot
2
4
6
8
Time (min)
10
Dot 1
Dot 2
Dot 5
Dot 10
0.9
0.8
0.7
0.6
0.5
0.4
0.3
0
(b)
0
2
4
6
8
Time (min)
10
Fig. 3. Programming characteristics at room temperature for
memory devices with various numbers of floating dots [(a)
writing and (b) erasing]. The threshold voltage was measured
in the gate voltage range from -0.5 to 1.5 V immediately after
various stress times at write (7 V) or erase (-6 V) voltages.
The average value is shown and was obtained using five
devices both for writing and erasing.
XV.
Conduction path fluctuation in Si two-dimensional
tunnel junction array
Assoc. Prof. Anri Nakajima
ಎᢎ᝼ ਛፉ቟ℂ
Si㩷㩷 2 ᰴర䊃䊮䊈䊦ធว䈮䈍䈔䉎વዉ䊌䉴䈱ំ䉌䈑
A self-organization fabrication process of two-dimensional small tunnel junction arrays (2D-TJA) were developed.
The dot is naturally formed by the proximity effect in the electron beam lithography at the place where the horizontal and
vertical resist wire patterns cross (Figs.1 and 2). A Si 2D-TJA with 10×10 dots showed several different patterns of
Coulomb oscillation corresponding to different carrier conduction paths in the array at 4.2K (Figs.3 and 4). This
conduction path fluctuation is considered to occur due to the stochastic characteristic of the carrier tunneling of the
junctions. The possibility to realize a new functional device of stochastic associative processing circuit by using the
2D-TJA as its bit comparator were showed (Fig. 5).
2 ᰴరᓸዋ䊃䊮䊈䊦ធว䉝䊧䉟䈱⥄Ꮖ⚵❱ൻᒻᚑ䊒䊨䉶䉴䉕㐿⊒䈚䈢䇯䊄䉾䊃䈲㔚ሶ✢䊥䉸䉫䊤䊐䉞䊷䈮䈍䈔䉎ㄭធല
ᨐ䉕೑↪䈚䈩⚦✢䈱䊧䉳䉴䊃䊌䉺䊷䊮䈏੤Ꮕ䈜䉎૏⟎䈮⥄ὼᒻᚑ䈘䉏䉎䋨࿑䋱䋬䋲䋩䇯䋱䋰䌸䋱䋰୘䈱䊄䉾䊃䉕ᜬ䈧 Si 2 ᰴర
䊃䊮䊈䊦ធว䉝䊧䉟䈲⇣䈭䈦䈢䉨䊞䊥䉝વዉ䊌䉴䈮ኻᔕ䈜䉎ᐞ䈧䈎䈱⇣䈭䈦䈢䊌䉺䊷䊮䈱䉪䊷䊨䊮ᝄേ䉕␜䈚䈢䋨࿑䋳䋬
䋴䋩䇯䈖䈱વዉ䊌䉴ំ䉌䈑䈲䇮ធว䉕䉨䊞䊥䉝䈏⏕₸ㆊ⒟䈮ᓥ䈦䈩䊃䊮䊈䊦䈜䉎䈢䉄䈮↢䈛䉎䈫⠨䈋䉌䉏䉎䇯䈖䈱 2 ᰴరᓸ
ዋ䊃䊮䊈䊦ធว䉝䊧䉟䉕䊎䉾䊃䉮䊮䊌䊧䊷䉺䈫䈚䈩↪䈇䉎੐䈮䉋䉍⏕₸⊛ㅪᗐ䊒䊨䉶䉾䉲䊮䉫࿁〝䉕ታ⃻䈪䈐䉎น⢻ᕈ䉕
␜䈚䈢䇯
[1]
Koki Matsushita and Anri Nakajima, “Conduction Path Fluctuation in Silicon Two-Dimensional Tunnel Junction Array,” Jpn. J.
Appl. Phys. Vol. 48, No. 6, 06FD10 (4pages), June (2009).
Source
&TCKPEWTTGPV
#
Drain
20nm
400nm
Buried SiO2
Si Substrate
10 Wires
input bit pattern
30㬍30 Dots
Memory
cell
10㬍10 Dots
Va
Memory
cell
V1
BC
Va
VCo1
VN
BC
VCoN
CoN
Co2
Va
VCo2
Co1
Fig. 1. Schematic diagram of fabricated
device with a two-dimensional tunnel
junction array.
Memory
cell
V2
BC
Winner-Take-All circuit
$CEMICVGXQNVCIG
8
result
Fig. 3. Typical drain current versus back
gate voltage characteristics at 4.2K for
Si two-dimensional tunnel junction
arrays and for Si nanowires. Drain
voltage is 10 mV.
Fig. 5. Architecture of stochastic associative
processing circuit.
Fig. 2. SEM image of structure
after dry etching.
(c)
$CEMICVGXQNVCIG
8
(b)
&TCKPEWTTGPV
#
(a)
&TCKPEWTTGPV
#
&TCKPEWTTGPV
#
$CEMICVGXQNVCIG
8
$CEMICVGXQNVCIG
8
Fig. 4. Three types of Coulomb oscillation ((a), (b), (c)) appeared in the repetitive measurements of drain current as function of
back gate voltage for a device with a two-dimensional tunnel junction array of 10×10 dots. Drain voltage is 10 mV and
measurement temperature is 4.2K. Vertical lines were appropriately shifted for clarity. Horizontal lines were also shifted for each
threshold voltage to coincide with one another. Every measurement, the temperature was once raised to room temperature.
XVI.
Monolithic integration of Si-dot light emitting diodes,
Si photodiodes, and spin-coated optical waveguides
on Si substrate
Assistant Prof.
Tetsuo Tabei
․છഥᢎ
↰ㇱ੗ືᄦ
䉲䊥䉮䊮ၮ᧼਄䈪䈱䉲䊥䉮䊮䊄䉾䊃⊒శ䉻䉟䉥䊷䊄䇮䉲䊥䉮䊮
䊐䉤䊃䉻䉟䉥䊷䊄䇮ႣᏓဳశዉᵄ〝䈱䊝䊉䊥䉲䉾䉪㓸Ⓧ
In order to overcome problems of signal propagation delay and power dissipation in global metal wiring in large-scale
integrated circuits (LSIs), on-chip optical interconnects have been proposed. In this study the fabrication technology for
monolithic integration of optical components involving silicon-dot light emitting diodes (SDLEDs) on silicon substrate
by all silicon process have been developed, and the light propagation characteristics have been investigated.[1] To
confirm the propagation light in the fluorinated polyimide (FPI) waveguide with the core size of 1.5 Pm × 20 Pm by p-i-n
photodiode (PD), optical components are fabricated as shown in Fig.1. He-Ne laser light is incident vertically into the
points A to J in Fig. 2 (a) and the photocurrent of PDs are evaluated. The light detection sensitivity of PD with biased
voltage 7 V is about 0.25 A/W (quantum efficiency is 0.49) for O = 633 nm. Photocurrent by the propagation light
through the FPI waveguide is confirmed as shown in Fig.2(b). Also, the light emission of 0.39 nW/A (quantum
efficiency of 3.77×10-8 %) from the SDLED fabricated together with p-i-n photodiodes and optical waveguides on the
same silicon substrate (Fig. 3) has been confirmed. Figure 4 shows the spectrum of emitted lights from SDLED and the
atomic-force microscopy image of fabricated silicon-dots. Although the intensity of SDLED emission light through
waveguides and grating couplers was so weak that the propagation light was not detected (Fig.5), it is confirmed that the
fabrication of optical components on the same silicon substrate is possible and each optical component works normally.
Thus the possibility of the monolithic integration of optically interconnected LSI was demonstrated.
ᄢⷙᮨ㓸Ⓧ࿁〝(LSI)䈱㐳〒㔌㊄ዻ㈩✢䈮䈍䈔䉎ାภવ㆐ㆃᑧ䉇ᶖ⾌㔚ജჇᄢ䈱໧㗴䉕స᦯䈜䉎䈢䉄䈮䇮䉼䉾䊒
਄శ㈩✢䈏ឭ᩺䈘䉏䈩䈇䉎䇯ᚒ䇱䈲䉲䊥䉮䊮ၮ᧼਄䈮䇮䉲䊥䉮䊮䊄䉾䊃⊒శ䉻䉟䉥䊷䊄䉕฽䉃శ䉮䊮䊘䊷䊈䊮䊃䉕䉲䊥䉮䊮
䊒䊨䉶䉴䈪䊝䊉䊥䉲䉾䉪㓸Ⓧ䈜䉎૞⵾ᛛⴚ䉕㐿⊒䈚䇮శ䈱વ៝․ᕈ䉕⺞䈼䈢䇯[1] ࠦࠕࠨࠗ࠭ 1.5 ȝm × 20 ȝm ߩ䊐䉾⚛
ൻ䊘䊥䉟䊚䊄(FPI)ዉᵄ〝ౝ䉕વ៝䈜䉎శ䉕 p-i-n 䊐䉤䊃䉻䉟䉥䊷䊄(PD)䈪⏕⹺䈜䉎䈢䉄䈮䇮࿑ 1 䈱䉋䈉䈭శ䉮䊮䊘䊷䊈䊮䊃
䉕૞⵾䈚䈢䇯He-Ne 䊧䊷䉱䊷శ䉕࿑ 2(a)䈱 A 䈎䉌 J 䈱૏⟎䈮ု⋥䈮౉኿䈚䇮䊐䉤䊃䉻䉟䉥䊷䊄䈮ᵹ䉏䉎శ㔚ᵹ䉕⹏ଔ䈚
䈢䇯䊐䉤䊃䉻䉟䉥䊷䊄䈱ฃశᗵᐲ䈲ᵄ㐳 633nm 䈱శ䈮ኻ䈚ශട㔚࿶ 7 V 䈪 0.25 A/W (㊂ሶല₸ 0.49)ߢ޽ࠆ‫ޕ‬࿑ 2(b)
ߦ␜䈜䉋䈉䈮ዉᵄ〝વ៝శߦࠃࠆశ㔚ᵹ߇⏕⹺ߢ߈ߚ‫ ߚ߹ޕ‬p-i-n 䊐䉤䊃䉻䉟䉥䊷䊄䉇శዉᵄ〝䈫౒䈮ห৻ၮ᧼਄䈮
૞⵾䈚䈢䉲䊥䉮䊮䊄䉾䊃⊒శ䉻䉟䉥䊷䊄(SDLED)(࿑ 3)䈎䉌 0.39 nW/A (㊂ሶല₸ 3.77×10-8 %) 䈱⊒శ䉕⏕⹺䈚䈢䇯࿑ 4
䈮 SDLED 䈱⊒శ䉴䊕䉪䊃䊦䈫䉲䊥䉮䊮䊄䉾䊃䈱ේሶ㑆ജ㗼ᓸ㏜䈱౮⌀䉕␜䈜䇯䉲䊥䉮䊮䊄䉾䊃⊒శ䉻䉟䉥䊷䊄䈎䉌శዉᵄ
〝෸䈶ࠣ࡟࡯࠹ࠖࡦࠣ⚿วེࠍㅢߒߚశ䈲㕖Ᏹ䈮ᒙ䈇䈢䉄વ᠞శ䉕⏕⹺䈜䉎䈖䈫䈲䈪䈐䈭䈎䈦䈢(࿑ 5)䈏䇮৻䈧䈱
ၮ᧼਄䈮ᢙ⒳㘃䈱శ䉮䊮䊘䊷䊈䊮䊃䉕หᤨ䈮૞⵾䈜䉎䈖䈫䈲น⢻䈪䈅䉍䇮ฦ䉮䊮䊘䊷䊈䊮䊃䈲ᱜᏱ䈮േ૞䈜䉎䈖䈫䉕⏕
⹺䈚䈢䇯ᓥߞߡశ㈩✢ LSI ߩࡕࡁ࡝ࠪ࠶ࠢ㓸Ⓧߩน⢻ᕈࠍ␜ߔߎߣ߇ߢ߈ߚ‫ޕ‬
[1] T. Tabei, K. Maeda, S. Yokoyama, and H. Sunami, Ext. Abs. of the 2009 Int. Conf. on Solid State Devices and Materials
((SSDM2009, Sendai, Japan,
p Oct. 7-9, 2009))
Output light
Optical fiber
Al mirror
FPI waveguide
TiN cover
1.5 Pm
Grating
coupler
Al mirror
SOG
1.4 Pm
NSG + PSG
Field oxide
0.64 Pm
0.5 Pm
Grating
coupler
p-type Si substrate (1 k:·cm)
A
Al mirror
F
G
6
D
D
A
A
C
C
B
B
10 20 30 40 50
Time (s)
D
A
C
B
60 70
pin PD
J
0
Waveguide length 6 mm
Laser Laser Laser
off on off on off on off
Waveguide length 4 mm
Laser Laser Laser
off on off on off on off
310
290
270
90
70
50
30
0
E
H
I
4
3
2 1.5 1
Distance from p-i-n PD (mm)
Photo current (pA)
Photo current (pA)
(b)
D
C
FPI waveguide (6 mm)
80
70
60
50 H
40
300
NSG + PSG
0.64 Pm
Field oxide
0.5 Pm
p-type Si substrate (1 k:·cm)
FPI waveguide (4 mm)
B
I
I
I
F
F
F
H
G
H
G
10 20 30 40 50 60 70
Time (s)
Fig. 2. (a) Incidence position of He-Ne laser light and (b) response
of p-i-n PDs. Bias voltage applied to the PDs is 7 V.
Al electrode
SDLED
Poly-Si
Fig. 3. Schematics of FPI waveguide and SDLED.
(b)
(a)
Photon Energy (eV)
30
25
20
15
10
5
2
0
0.6
1.5
1
Applied Voltage (V)
15
0 (Background)
0.8
1
10
1.2
1.4
Wavelength (mm)
50 nm
Fig. 4. (a) Light intensity of the fabricated SDLED vs.
wavelength and (b) AFM image of fabricated silicon dots.
FPI waveguide 1 mm
(a)
G
Grating coupler
1.4 Pm
SOG
Mirror
p-i-n PD
Fig. 1. Schematics of FPI waveguide, grating coupler and p-i-n PD.
(a)
FPI waveguide
1.5 Pm
Grating
coupler
Light Intensity (arb. units)
Input light
Al mirror
100 Pm
Si-dot LED
(b)
Injection current: 100 mA
Fig. 5. (a) Photograph of fabricated SDLED and FPI waveguide
and (b) observed CCD image.
4.2
Integrated Systems Research Division
㓸Ⓧ䉲䉴䊁䊛⑼ቇ⎇ⓥㇱ㐷㩷 㩷 㩷 㩷
The Integrated Systems Research Division focuses on basic research for terabit-capacity highly-functional
memories, super-parallel processing, bio-sensing, wireless interconnection and 3-dimensional integration. With the
obtained results we aim at the realization of artificial-brain technology exceeding humans in intelligent-processing
speed, storage capacity and adaptive learning.
㓸Ⓧ䉲䉴䊁䊛⑼ቇㇱ㐷䈪䈲䇮䊁䊤䊎䉾䊃ኈ㊂䈫㜞ᯏ⢻䊜䊝䊥䇮⿥ਗ೉Ṷ▚䇮䊋䉟䉥䉶䊮䉲䊮䉫䇮ή✢䉟䊮䉺䊐䉢䊷
䉴䇮䋳ᰴర㓸Ⓧ䈮㑐䈜䉎ၮ⋚⎇ⓥ䉕ផㅴ䈚䈩䈇䉎䇯䈠䈚䈩䇮䈖䉏䉌䈱ၮ⋚ᛛⴚ䉕↪䈇䈩䇮ੱ㑆䈱⣖䉋䉍ㅦ䈇⹺⍮ಣ
ℂ䇮ᄢⷙᮨ䈭⸥ᙘኈ㊂䇮ⅣႺ䈮ㆡᔕ䈜䉎ቇ⠌ᯏ⢻䉕᦭䈜䉎㓸Ⓧ䊑䊧䉟䊮䈱ታ⃻䉕⋡ᜰ䈜䇯
4.2.1
Research projects in integrated systems research division
㓸Ⓧ䉲䉴䊁䊛⑼ቇ⎇ⓥㇱ㐷䈮䈍䈔䉎⎇ⓥ䊒䊨䉳䉢䉪䊃
The current research projects in the Integrated Systems Research Division are outlined.
⃻࿷ⴕ䈦䈩䈇䉎ਥ䈭⎇ⓥ䊒䊨䉳䉢䉪䊃䈱᭎ⷐ䉕⚫੺䈜䉎䇯
1.
Area-efficient N-port memories with large access bandwidth
(Prof. H. J. Mattausch and Assoc. Prof. T. Koide)
ᐢᏪၞ᏷ኻᔕ䈱ዊ㕙Ⓧ㪥䊘䊷䊃䊜䊝䊥㩷
N-port memories with simultaneous read/write access from all ports offer large latency-free access-bandwidth
even at low clock frequencies. We are therefore developing new N-port-memory architectures, which offer an
optimum trade-off between the opposing requirements of (i) small area-consumption for each of the N ports and (ii)
low access-conflict probability. The area-efficient N-port memories with large access bandwidth will be used as
basic building blocks for memory-based, flexible systems with humanlike capabilities.
ో䈩䈱䊘䊷䊃䈎䉌หᤨ䈮ᦠ䈐ㄟ䉂䋯⺒䉂ㄟ䉂䉕ⴕ䈉䈖䈫䈏䈪䈐䉎 䊘䊷䊃䋨ᄙ䊘䊷䊃䋩䊜䊝䊥䈪䈲䇮ૐ䈇๟ᵄᢙ䈪
䈅䈦䈩䉅䊧䉟䊁䊮䉲䉞䉕઻䈉䈖䈫䈭䈒㜞๟ᵄ㗔ၞ䈱Ꮺၞ᏷䉕ᓧ䉌䉏䉎೑ὐ䈏䈅䉎䇯䈠䈖䈪⋧෻䈜䉎䋲䈧䈱ⷐ᳞䇮(i) 䋱
䊘䊷䊃ᒰ䈢䉍䈱භ䉄䉎࿁〝㕙Ⓧ䈱೥ᷫ䈫 (ii) ૐ䉝䉪䉶䉴ⴣ⓭⏕₸䇮䈱䊃䊧䊷䊄䉥䊐䉕ᦨㆡൻ䈪䈐䉎ᣂ䈚䈇 䊘䊷䊃䊜
䊝䊥䉝䊷䉨䊁䉪䉼䊞䈱㐿⊒䉕ⴕ䈦䈩䈇䉎䇯ᐢ䈇Ꮺၞ᏷䉕ᜬ䈤䇮㕙Ⓧല₸䈱㜞䈇 䊘䊷䊃䊜䊝䊥䈲䇮ੱ㑆⊛ⷐ⚛䉕஻
䈋䈢䊜䊝䊥䊔䊷䉴䉮䊮䊏䊠䊷䉺䈱䈢䉄䈱ᣂ䈚䈇䉝䊷䉨䊁䉪䉼䊞䈱ၮᧄ᭴ᚑⷐ⚛䈫䈭䉍ᓧ䉎䉅䈱䈫⠨䈋䉌䉏䉎䇯
2.
Associative memories with fast nearest-match capability
(Prof. H. J. Mattausch and Assoc. Prof. T. Koide)
ᦨዊ〒㔌ᬌ⚝ᯏ⢻䉕஻䈋ᜬ䈧㜞ㅦㅪᗐ䊜䊝䊥㩷
An important basic component for intelligent data processing is an associative memory with nearest-match
capability between input-data words and a stored basis of reference-data words. Especially for real-time recognition
and learning it will be necessary to implement fast pattern matching up to large absolute minimum distances. This
project aims at integrating the complete matching function in an area-efficient way into the memory, where the
reference-data words are stored. Various new concepts for realizing the pattern-matching function, utilizing mixed
analog-digital circuitry or mapping of the distance into time domain, are investigated. Additionally, concepts for
including a learning function into this associative-memory architecture for building complete intelligent systems
are also studied.
⍮⊛䊂䊷䉺ಣℂ䈱䈢䉄䈱㊀ⷐ䈭ၮᧄ᭴ᚑⷐ⚛䈫䈚䈩䇮౉ജ䊂䊷䉺䊪䊷䊄䈫䊜䊝䊥䈮⸥ᙘ䈘䉏䈢ⶄᢙ䈱ෳᾖ↪
䊂䊷䉺䊪䊷䊄䈫䈱ᦨዊ〒㔌䉕ᬌ⚝䈜䉎ᯏ⢻䉕ᜬ䈧ㅪᗐ䊜䊝䊥䈏䈅䉎䇯․䈮䊥䉝䊦䉺䉟䊛⹺⼂䉇ቇ⠌䉲䉴䊁䊛䈱䈢䉄
䈮䈲䇮㕖Ᏹ䈮ᄢ䈐䈭୯䈱ᦨዊ〒㔌䈱䊌䉺䊷䊮䊙䉾䉼䊮䉫䉕㜞ㅦ䈮ታⴕ䈜䉎䈖䈫䈏ᔅⷐ䈫䈭䉎䇯ᧄ䊒䊨䉳䉢䉪䊃䈪䈲䇮
㕙Ⓧല₸䈱⦟䈇ᣇᴺ䈪ෳᾖ↪䊂䊷䉺䈏⸥ᙘ䈘䉏䈩䈇䉎䊜䊝䊥ౝ䈮ో䈩䈱ᯏ⢻䉕㓸Ⓧ䈜䉎䈖䈫䉕⋡⊛䈫䈚䇮䊌䉺䊷
䊮䊙䉾䉼䊮䉫䈱ᯏ⢻䉕ታ⃻䈜䉎䈢䉄䈮䉝䊅䊨䉫䊶䊂䉞䉳䉺䊦Ⲣว࿁〝䉇〒㔌䉕ᤨ㑆㗔ၞ䈮䊙䉾䊏䊮䉫䈜䉎䈭䈬䈱
᭽䇱䈭ᣂ䈚䈇䉮䊮䉶䊒䊃䉕ត᳞䈚䈩䈇䉎䇯ᦝ䈮䇮ቢో䈭⍮⢻ᖱႎಣℂ䉲䉴䊁䊛䉕᭴▽䈜䉎䈢䉄䈱ㅪᗐ䊜䊝䊥䊔䊷䉴
䈱ቇ⠌ᯏ⢻䈮㑐䈜䉎⎇ⓥ䉅ⴕ䈦䈩䈇䉎䇯
3.
Digital real-time moving-picture segmentation
(Assoc. Prof. T. Koide and Prof. H. J. Mattausch)
䊂䉞䉳䉺䊦䊥䉝䊦䉺䉟䊛േ↹௝ಽഀ㩷
Image segmentation is the extraction process of all objects from natural input images and is the necessary first
step of object-oriented intelligent image processing such as object recognition or object tracking. The aim of our
project is to develop high-speed, high-density image segmentation/extraction algorithms and architectures for
gray-scale/color image segmentation of real-time moving-pictures. In this way we will enable vision-based
intelligent processing.
↹௝ಽഀಣℂ䈲䇮౉ജ䈫䈚䈩ข䉍ㄟ䉖䈣ⶄ㔀䈭⥄ὼ↹௝䈎䉌୘䇱䈱ኻ⽎‛䉕᛽಴䈜䉎ಣℂ䈪䈅䉍䇮䉥䊑䉳䉢
䉪䊃䊔䊷䉴䈱ಣℂ䈪䈅䉎↹௝⹺⼂䉇േ૕ᬌ಴╬䈮䈍䈇䈩㊀ⷐ䈭೨ಣℂ䈪䈅䉎䇯䈖䉏䉁䈪䈮䈇䈒䈧䈎䈱↹௝ಽഀ
䉝䊦䉯䊥䉵䊛䈏ឭ᩺䈘䉏䈩䈇䉎䈏ㅢᏱ䉸䊐䊃䉡䉢䉝䈪䈱ಣℂ䉕೨ឭ䈫䈚䈩䈇䉎䈢䉄䇮䊥䉝䊦䉺䉟䊛ಣℂ䉇ዊ㕙Ⓧ䈪䈱
ታⵝ䈏࿎㔍䈪䈅䉎䇯ᧄ䊒䊨䉳䉢䉪䊃䈪䈲䇮䊎䉳䊢䊮䊔䊷䉴䈱⍮⊛ᖱႎಣℂ䉕㆐ᚑ䈜䉎䈢䉄䈮䇮䊥䉝䊦䉺䉟䊛䈱䉦䊤
䊷䊶䉫䊧䊷䉴䉬䊷䊦േ↹௝䈱䈢䉄䈱䇮㜞ㅦ䈎䈧ዊ㕙Ⓧ䈪ታⵝน⢻䈭↹௝ಽഀ ᛽಴䉝䊦䉯䊥䉵䊛෸䈶䉝䊷䉨䊁䉪
䉼䊞䉕ឭ᩺䈜䉎䈖䈫䉕⋡⊛䈫䈚䈩䈇䉎䇯
4.
Massive-parallel memory-embedded SIMD matrix processing
(Assoc. Prof. T. Koide and Prof. H. J. Mattausch)
⿥ਗ೉䊜䊝䊥䉣䊮䊔䊂䉞䉾䊄㪪㪠㪤㪛ဳ䊙䊃䊥䉾䉪䉴䊒䊨䉶䉾䉲䊮䉫㩷
In this project, we investigate a novel concept of massive-parallel, memory-embedded SIMD (Single Instruction
Multi Data Stream) multimedia processors for achieving highly-parallel processing with low power consumption.
The novel SIMD concept relies on many small processing elements with a bit-serial and word-parallel mode of
operation, which are directly connected to SRAM arrays. Embedding of Content Addressable Memory (CAM) in
the SIMD matrix is also studied to further improve the overall processing performance.
ᧄ䊒䊨䉳䉢䉪䊃䈪䈲䇮㜞䈇ਗ೉ಣℂ䉕ૐ䈇ᶖ⾌㔚ജ䈪ᚑ䈚ㆀ䈕䉎䈢䉄䈮䇮ᣂ䈚䈇䉮䊮䉶䊒䊃䈱⿥ਗ೉䊜䊝䊥䉣䊮
䊔䊂䉞䉾䊄 S
(Sing
㐿⊒䈚䈩䈇䉎ᣂ䈚䈇 S
n
i n
i
S
m)ဳ䊙䊦䉼䊜䊂䉞䉝䊒䊨䉶䉾䉰䈮㑐䈜䉎⎇ⓥ䉕ⴕ䈦䈩䈇䉎䇯
ဳ䉝䊷䉨䊁䉪䉼䊞䈲䇮䊎䉾䊃䉲䊥䉝䊦䊝䊷䊄䉇䊎䉾䊃䊌䊤䊧䊦䊝䊷䊄䈱䉥䊕䊧䊷䉲䊢䊮䈱ᯏ
⢻䉕ᜬ䈧䈢䈒䈘䉖䈱ዊ䈘䈭㕙Ⓧ䈱䊒䊨䉶䉾䉲䊮䉫䉣䊧䊜䊮䊃䉕䇮SR
䊮䉶䊒䊃䈪䈅䉎䇯䉁䈢䇮S
䊙䊃䊥䉾䉪䉴䉮䉝䈮ㅪᗐ䊜䊝䊥䋨
ༀ䈜䉎䈖䈫䈮㑐䈚䈩䉅⎇ⓥ䉕ⴕ䈦䈩䈇䉎䇯
䉝䊧䉟䈫⋥ធធ⛯䈚䈢ᒻ䈪ᢝ䈐⹣䉄䉎䈫䈇䈉䉮
䋩䉕ၒ䉄ㄟ䉃䈖䈫䈮䉋䉍䇮ో૕䈱ಣℂᕈ⢻䉕ᦝ䈮ᡷ
5.
Influence of fabrication variability on circuit performance and reliability
(Prof. H. J. Mattausch and Assoc. Prof. T. Koide)
࿁〝ᕈ⢻䈫ା㗬ᕈ䈮䈍䈔䉎⵾ㅧ䈳䉌䈧䈐䈱ᓇ㗀㩷
Nanometer-scale design rules are a challenge for performance and reliability of integrated circuits because the
control of variation effects in fabrication processes becomes more difficult. Therefore, we are investigating the
influence of these fabrication variations on the performance variation of MOSFETs and integrated circuits. This
project aims in particular at the development of a simulation method for predicting the performance and reliability
variations of integrated circuits based on the surface-potential model HiSIM for circuit simulation. The developed
simulation method will be applied to the reliable design of our novel integrated circuits for intelligent system with
capabilities exceeding those of humans.
䈳䉌䈧䈐⃻⽎䉕⵾ㅧㆊ⒟䈮䈍䈇䈩ᛥ೙䈜䉎䈖䈫䈲䇮䉁䈜䉁䈜㔍䈚䈒䈭䈦䈩䈐䈩䈇䉎䈢䉄䇮䊅䊉䊧䊔䊦䈪䈱⸳⸘
ၮḰ䈲䇮㓸Ⓧ࿁〝䈱ᕈ⢻䈫ା㗬ᕈ䈏㊀ⷐⷞ䈘䉏䈩䈇䉎䇯䈖䈱䈖䈫䈎䉌ᚒ䇱䈲䇮⵾ㅧㆊ⒟䈮䈍䈇䈩⊒↢䈜䉎
SF
䈫㓸Ⓧ࿁〝䈮䈍䈔䉎ᕈ⢻䈳䉌䈧䈐䈱ᓇ㗀䈮䈧䈇䈩⎇ⓥ䈚䈢䇯․╩䈜䈼䈐䈖䈫䈲䇮䈖䈱䊒䊨䉳䉢䉪䊃䈱␹㜑
䈲䇮㓸Ⓧ࿁〝䈪䈱ᕈ⢻䈫⏕ታᕈ䈮䈍䈔䉎䈳䉌䈧䈐䉕੍᷹䈜䉎䉲䊚䊠䊧䊷䉲䊢䊮ᣇᴺ䈱ᚑᨐ䈪䈅䉎䇮࿁〝䉲䊚䊠䊧䊷
䉲䊢䊮䈮䈍䈔䉎⴫㕙䊘䊁䊮䉲䊞䊦䉮䊮䊌䉪䊃䊝䊂䊦 iS
䈮ၮ䈨䈇䈩ᚑ䈘䉏䈩䈇䉎䈫䈇䈉ὐ䈪䈅䉎䇯䈖䈱ᣂ䈚䈇㓸Ⓧ
࿁〝䉲䊚䊠䊧䊷䉲䊢䊮ᚻᴺ䉕↪䈇䉎䈖䈫䈪䇮ੱ㑆䈱⢻ജ䉕⿥䈋䈢䉟䊮䊁䊥䉳䉢䊮䊃䉲䉴䊁䊛䉕⏕┙䈜䉎䈢䉄䈱䇮ᱜ⏕
䈭⸳⸘䈏䈪䈐䉎䉋䈉䈮䈭䉎䇯
4.2.2
Research highlights in integrated systems research division
ᴾᴾ
⎇ⓥ䊊䉟䊤䉟䊃
I.
Correlation of microscopic and macroscopic variation
with surface-potential compact model HiSIM
Prof. Hans Jürgen
Mattausch
ᢎ᝼㩷 䊙䉺䉡䉲䊠
䊊䊮䉴䊶䊡䊦䉭䊮
⴫㕙䊘䊁䊮䉲䊞䊦䉮䊮䊌䉪䊃䊝䊂䊦 HiSIM 䉕↪䈇䈢㩷
ᓸⷞ⊛䈳䉌䈧䈐䈫Ꮒⷞ⊛䈳䉌䈧䈐䈱⋧㑐䈮䈧䈇䈩㩷
Variation analysis of n-MOSFETs fabricated by different manufacturers at 3 technology nodes (180nm, 100nm, 65nm) demonstrates that the surface-potential compact model HiSIM is capable to bridge the gap between circuit simulation and TCAD Assoc. Prof.
by enabling extraction of microscopic MOSFET-parameter variation from measured Tetsushi Koide
macroscopic Vth and Ion variation. Fig. 1 shows that the regional compact model ಎᢎ᝼㩷
ᢎ᝼㩷 ዊ಴ື჻
ዊ಴ ჻
BSIM4 is not capable of doing this because it predicts the wrong trends as demonstrated for the correlation between Vth and substrate doping in Fig.1. Considering only the 4 microscopic variations of
substrate doping, pocket-implantation doping, carrier-mobility degradation due to gate-interface roughness, and channel-length change, is found sufficient to reproduce within-wafer Vth and Ion variations of wide MOSFETs (Wg = 10µm) for
all Lg and all 3 technology nodes. Figs. 2 and 3 show the most variation-sensitive HiSIM parameters and the applied extraction strategy, respectively. The extraction results are listed in Table I and Fig. 4 shows the variation reproduction in
the case of the 100nm technology for long and short Lg as an example. Extracted microscopic variation reductions between 180nm and 65nm node range from 25% for pocket doping to 70% for carrier -mobility degradation. However, Vth
and Ion variations at shortest Lg remain approximately constant for all 3 technologies.
3 ⒳䈱䊁䉪䊉䊨䉳䊉䊷䊄䋨180nm, 100nn, 65nm䋩䈮䈍䈔䉎⇣䈭䉎⵾ㅧ䊜䊷䉦䈮䉋䈦䈩૞ᚑ䈘䉏䈢 n-MOSFET 䈱䈳䉌䈧䈐
⸃ᨆ䉋䉍䇮⴫㕙䊘䊁䊮䉲䊞䊦䈮ၮ䈨䈒䉮䊮䊌䉪䊃䊝䊂䊦 HiSIM 䈲䇮䊙䉪䊨⊛䈭 Vth 䈫 Ion 䈱䈳䉌䈧䈐᷹ቯ䈎䉌䇮䊚䉪䊨⊛䈭
MOSFET 䈱䊌䊤䊜䊷䉺䈱䈳䉌䈧䈐䉕᛽಴䈜䉎䈖䈫䈏น⢻䈪䈅䉍䇮࿁〝䉲䊠䊚䊧䊷䉲䊢䊮䈫 TCAD 䈱㑆䈱䉲䊚䊠䊧䊷䉲䊢䊮䈱
㓒䈢䉍䈱ᯅᷰ䈚䈏น⢻䈪䈅䉎䈖䈫䉕┙⸽䈚䈢䇯৻ᣇ䇮Fig.1 䈮䈍䈇䈩䈲䇮㗔ၞಽ䈔䈮ၮ䈨䈒䉮䊮䊌䉪䊃䊝䊂䊦 BSIM4 䈲䇮
Vth 䈫ၮ᧼䈻䈱ਇ⚐‛ᷝട䈱⋧㑐䈮ኻ䈚䈩㑆㆑䈦䈢௑ะ䉕␜䈚䈩䈇䉎䈖䈫䈎䉌䇮䈖䈱䉋䈉䈭⢻ജ䈏䈭䈇䈖䈫䈏 Fig.1 䉋䉍ಽ
䈎䉎䇯䉁䈢䇮ၮ᧼䈻䈱ਇ⚐‛ᷝട䇮䊘䉬䉾䊃䈻䈱ਇ⚐‛ᵈ౉䇮䉭䊷䊃⇇㕙䈱☻䈘䈮⿠࿃䈜䉎䉨䊞䊥䉝⒖േᐲ䈱ഠൻ䇮ਗ䈶
䈮䇮䉼䊞䊈䊦㐳䈱ᄌൻ䈱 4 䈧䈱䊚䉪䊨⊛䈭䈳䉌䈧䈐䈣䈔䉕⠨ᘦ䈜䉎䈖䈫䈮䉋䉍䇮ో䈩䈱䉼䊞䊈䊦㐳 Lg 䈫 3 ⒳䈱䊁䉪䊉䊨䉳䊉
䊷䊄䈮ኻ䈚䈩䇮᏷䈏ᄢ䈐䈭 MOSFET(Wg = 10µm)䈱䉼䉾䊒ౝ䈱 Vth 䈫 Ion 䈱䈳䉌䈧䈐䉕䇮චಽ䈮ౣ⃻䈜䉎䈖䈫䈏䈪䈐䉎䈖䈫
䈏ಽ䈎䈦䈢䇯䈳䉌䈧䈐䈮ᦨ䉅ᢅᗵ䈭 HiSIM 䊌䊤䊜䊷䉺䊷䈫ㆡ↪䈚䈢᛽಴ᚻ㗅䉕 Fig.2 䈫 Fig.3 䈮䈠䉏䈡䉏␜䈜䇯Table1
䈮᛽಴⚿ᨐ䉕䇮Fig.4 䈮㐳䊶⍴䉼䊞䊈䊦 Lg 䈮ኻ䈜䉎 100nm ᛛⴚ䈱႐ว䈱䈳䉌䈧䈐䈱ౣ⃻ᣇᴺ䉕଀䈫䈚䈩␜䈜䇯180nm 䈫
65nm 䊉䊷䊄䈪䈲䇮᛽಴䈘䉏䈢䊚䉪䊨⊛䈭䈳䉌䈧䈐䈱೥ᷫ䈲䇮䊘䉬䉾䊃䈻䈱ਇ⚐‛ᵈ౉䈮ኻ䈚䈩䈲 25䋦䇮䉨䊞䊥䉝⒖േᐲ䈱
ഠൻ䈮ኻ䈚䈩䈲 70䋦䈱▸࿐䈪䈅䈦䈢䇯䈚䈎䈚䈭䈏䉌䇮ᦨ䉅⍴䈇 Lg 䈮䈍䈇䈩䈲䇮Vth 䈫 Ion 䈱䈳䉌䈧䈐䈲䇮3 ⒳䈱䊁䉪䊉䊨䉳
䊉䊷䊄䈮䈍䈇䈩䇮䈾䈿৻ቯ䈪䈅䈦䈢䇯
Standard Analytical
Equation without
Pocket Doping
-5
-10
NMOS
W g =10µm, Lg =1µm
-5
0
5
10
Substrate Doping (%)
Fig. 1. Vth dependence on
substrate-doping changes as
predicted by HiSIM2 and
BSIM4 at the 100nm node.
Parameter
Meaning
TOX
gate oxide thickness
NSUBC
substrate doping (large device)
NSUBP
peak pocket-doping concentration
XLD
channel-length change
MUESR1
mobility degradation (oxide roughness, large device)
MUECB0
mobility degradation (phonon scattering, large device)
Fig.2. Microscopic model parameters most sensitively influencing Vth and Ion of n-MOSFETs for a 180nm technology, utilizing the pocket implantation, for long
(Lg=10µm) and short (Lg=180nm) channels.
TABLE I
EXTRACTION RESULTS OF N-MOSFET-VARIATION BOUNDARIES
FOR THE MOST SENSITIVE MICROSCOPIC PARAMETERS OF THE
180NM, 100NM, AND 65NM NODE.
Parameter Variation Boundaries (%)
Technology
Node
NSUBC MUESR1 NSUBP LMIN(XLD) L180(XLD)
180nm
100nm
65nm
-2.9 / +2.7
-2.0 / +2.3
-1.9 / +2.0
-9.7 / +10
-3.3 / +4.9
-2.8 / +3.5
-2.2 / +2.0
-2.1 / +1.9
-1.4 / +1.8
-10 / +7.8
-5.4 / +6.8
-4.0 / +4.0
-10 / +7.8
-3.0 / 3.8
-1.5 / +1.5
nominal
nominal
Vth
Step
1
2
3
4
Fig.3. Extraction strateg
strategy
of microscopic parameter
variation from measured
macroscopic Vth-Ion variation data.
Vth
Device
Long
Short
Parameter
NSUBC
MUESR1
NSUBP
XLD
Direction
㽲
㽳
㽴
㽵
1.04
Lg = 10µm
Wg = 10µm
F
1.02
1
B
T
0.98
1.1
Ion/Ion,nom
BSIM4
0
Short channel
Ion
HiSIM2 with
Pocket Doping
Lg =180nm
Vth
Ion
1. TOX
1. NSUBP
2. XLD
2. TOX
3. XLD
3. NSUBP
Ion/Ion,nom
∆V th (%)
Lg =10µm
Vth
Ion
1. TOX
1. TOX
2. NSUBC
2. NSUBC
3. MUECB0 3. MUESR1
Ion
Long channel
5
F
Lg = 0.1µm
Wg = 10µm
1.05
T
1
B
0.95
S
S
0.96
0.995
1
1.005
Vth/Vth,nom
0.9
0.98
1
1.02
Vth/Vth,nom
Simulated Variation
(Symbol)
Variation-Boundary Combinations
(NSUBC, MUESR1, NSUBP, LMIN(XLD))
F (Fast)
(-2.0%, +4.9%, -2.1%, -5.4%)
S (Slow)
(+2.3%, -3.3%, +1.9%, +6.8)
T (Top)
(+2.3%, +4.9%, +1.9%, -5.4%)
B (Bottom)
(-2.0%, -3.3%, -2.1%, +6.8%)
Fig.4. Extracted variations of the most sensitive microscopic parameters for long (Lg=10µm) and short
(Lg=0.1µm) n-MOSFETs at the 100nm node.
II. VLSI design of a handwritten-character learning and㩷
recognition system based on associative memory
ㅪᗐ䊜䊝䊥䈮ၮ䈨䈒ᚻᦠ䈐ᢥሼ䈱ቇ⠌䈫⹺⼂䉲䉴䊁䊛䈱
VLSI ⸳⸘
Prof. Hans Jürgen Mattausch
ᢎ᝼㩷 䊙䉺䉡䉲䊠㩷 䊊䊮䉴䊶䊡䊦䉭䊮
Assoc. Prof. Tetsushi Koide
ಎᢎ᝼ ዊ಴ ື჻
The reported research on VLSI-system design for handwritten-character learning and recognition applies an associative memory architecture as shown in Fig. 1 for searching the most similar data among previously stored reference data.
The chosen architecture achieves high speed, low power consumption and small implementation area due to a mixed
digital-analog fully-parallel nearest-match search circuitry. To recognize new data, a learning capability according to Fig.
2 based on the concept of short/long-term memory which tries to mimic the function of the human brain is realized. For
improvement of the recognition rate, we developed a reference-data-optimization algorithm that averages the recognized
input patterns for each stored reference object and updates the corresponding reference pattern periodically. We evaluated the proposed intelligent VLSI-design method for the application of hand-written character learning and recognition with the VLSI architecture shown in Fig.3. The designed test-chip in 0:18 ȝm CMOS technology to demonstrate the
proposed algorithm and design method is shown in Fig.4 together with the achieved performance data. In particular the
processing capability of this test-chip amounts to 0.3 million input-character images per second.
ᚻᦠ䈐ᢥሼ䈱ቇ⠌䈫⹺⼂䈱䈢䉄䈱 VLSI 䉲䉴䊁䊛⸳⸘䈮㑐䈜䉎⎇ⓥᚑᨐ䉕䇮Fig.1 䈮␜䈜੍䉄䊜䊝䊥ౝ䈮଻ሽ䈘䉏䈩
䈇䉎ෳᾖ䊂䊷䉺䈱ਛ䈎䉌䇮ᦨ䉅㘃ૃ䈚䈢䊂䊷䉺䉕ᬌ⚝䈜䉎䈖䈫䈏䈪䈐䉎ㅪᗐ䊜䊝䊥䉝䊷䉨䊁䉪䉼䊞䈮ㆡ↪䈚䈢䇯䊂䉞䉳䉺
䊦䈫䉝䊅䊨䉫䉕Ⲣว䈚䈢ోਗ೉ᦨዊ〒㔌ᬌ⚝࿁〝䈮䉋䉍䇮ណ↪䈚䈢䉝䊷䉨䊁䉪䉼䊞䈲䇮㜞ㅦ䇮ૐᶖ⾌㔚ജ䇮䈎䈧ዊ䈘䈭
ታⵝ㕙Ⓧ䉕㆐ᚑ䈚䈩䈇䉎䇯ᣂ䈚䈇䊂䊷䉺䉕⹺⼂䈜䉎䈢䉄䈮䇮Fig.2 䈮␜䈜䉋䈉䈭ੱ㑆䈱⣖䈱ᯏ⢻䉕ᮨ୮䈚䈢⍴ᦼ䊶㐳ᦼ
⸥ᙘ䈱᭎ᔨ䈮ၮ䈨䈒ቇ⠌ᯏ⢻䉕ታ⃻䈚䈢䇯ᦝ䈮䇮⹺⼂₸ะ਄䈱䈢䉄䈮䇮ෳᾖ䊂䊷䉺ᦨㆡൻ䉝䊦䉯䊥䉵䊛䉕㐿⊒䈚䈢䇯
䈖䈱䉝䊦䉯䊥䉵䊛䈲䇮⹺⼂䈘䉏䈢౉ജ䊂䊷䉺䈫䊜䊝䊥䈮⫾Ⓧ䈘䉏䈢ෳᾖ䉥䊑䉳䉢䉪䊃䈫䈱ᐔဋ䉕䈫䉍䇮ኻᔕ䈜䉎ෳᾖ䊌䉺䊷
䊮䉕๟ᦼ⊛䈮ᦝᣂ䈜䉎ᣇᴺ䈪䈅䉎䇯Fig.3 䈮␜䈜 VLSI 䉝䊷䉨䊁䉪䉼䊞䉕↪䈇䈩䇮ᚻᦠ䈐ᢥሼቇ⠌䊶⹺⼂䈱䉝䊒䊥䉬䊷
䉲䊢䊮䈮ኻ䈚䈩䇮ឭ᩺䈜䉎䉟䊮䊁䊥䉳䉢䊮䊃䈭 VLSI ⸳⸘ᚻᴺ䈱⹏ଔ䉕ⴕ䈦䈢䇯ឭ᩺䉝䊦䉯䊥䉵䊛䈫⸳⸘ᚻᴺ䈱᦭ലᕈ䉕
⹏ଔ䈜䉎䈢䉄䈮䇮180nm CMOS ᛛⴚ䉕↪䈇䈩⸳⸘䈚䈢䊁䉴䊃䉼䉾䊒䉕㆐ᚑ䈚䈢ᕈ⢻䊂䊷䉺䈫౒䈮 Fig.4 䈮␜䈜䇯․╩䈜
䈼䈐䈖䈫䈲䇮䈖䈱䊁䉴䊃䉼䉾䊒䈲Ფ⑽ 0.3 ం୘䈱౉ജᢥሼ↹௝䉕ಣℂ䈜䉎䈖䈫䈏䈪䈐䉎䈖䈫䈪䈅䉎䇯
SC12
SC1W
UC12
WC1
SC22
UC1W
C1
SC2W
F
UC22
WC2
UC2W
C2
SCR2
SCRW
SC21
UC21
SCR1
Winner
Line-Up
Amplifier
(WLA)
LA1
Winner
Take All
Circuit
(WTA)
LA2
Long term storage
( use of memory for a long term)
M1
Match Signals (Digital)
SC11
UC11
M2
F
UCR2
UCRW
WCR
Column Decode and Read/Write
(k bit × W Columns)
UCR1
CR
F
Order R
Complexity
O(R)
unknown
input data
Learning
Deterioration
Short term storage
( use of the temporary memory )
Order R
MR
LAR Complexity
O(R)
Enable
Large
strength of memorizing
Row Decoder (R Rows)
Search Data
(k bit × W Columns)
Small
Forgetting
Enable
Fig.2. Concept of learning based on a short/long-term
memory.
Fig. 1. Block diagram of the applied associative memory architecture.
Learning Circuit
CLK
NEW RST
Associative Memory Part
Encoder
Technology
Associative Memory
4
ADD_C
Dout
Winner_Addr
Selector
6
Din
16
(1kwords 16bit)
8
7
16
Din
Q
SRAM
stored Reference Data
NEW
REF_IN
6
D
Q
ADR
SRAM
stored Test Data
Ctrl
Dout
(128words 8bit)
For checking
the reference data
16
Ctrl
16
32
8
32
OUT
16
REF_OUT
Ctrl
21
Counting
Memory
C
Ctrl
21
Ctrl
OUT
IN
Reference
Optimization
Memory[1]
For checking
the Winner addr
16
SRAM Memory
stored Reference Data
(1k words 16bit)
Jump_up_Addr
Selector
SEL
D
ADR
Ctrl
6
6
OR_ML
16
OR_ML
6
Winner_RANK
Ranking Control Circuit
Selector
16
WTA_OUT
(After Encoding)
16
10
6
6
Logic
Logic
6
Selector
64
6
64
64
64
WTA_OUT
ADD_R
64
Encoder
6
Din
64
Ctrl
16
Ranking Processing Circuit
SEL
6
Synchronized
circuit
Ctrl
(64words 256bit)
16
Reference
Optimization
Memory[2]
Ctrl
IN
18
Learning
Circuit
SRAM Memory
stored Test Data
Reference (128 words 8bit)
Optimization
Circuit
13
Number of Ref. data
Number of Long
Term Storage data
2.5 mm
64 words
Variable
Maximum Number of
recognized input data
64
before optimization
Maximum Frequency 100 MHz (Typical)
Power Consumption
Controler
Reference Optimization Circuit
180 nm CMOS
1-poly 5-metal CMOS
SupplyVoltage
1.8 V
Distance Measure Hamming Distance
256 bits
Length of data
(16 16 pixels)
116 mW @100 MHz
Simulation data
Fig.3. VLSI implementation architecture for a handwritten character recognition sys- Fig.4. Prototype handwritten-character-recognition system with
tem with learning capability, based on associative memory.
learning function in 0.18 _m CMOS technology.
III.
Grouping method based on feature matching for
tracking and recognition of complex objects
Assoc. Prof. Tetsushi Koide
ಎᢎ᝼㩷 ዊ಴ ື჻
ⶄ㔀䈭䉥䊑䉳䉢䉪䊃䈱ㅊ〔䈫⹺⼂䈱䈢䉄䈱․ᓽ䊙䉾䉼䊮䉫
䈮ၮ䈨䈇䈢䉫䊦䊷䊏䊮䉫ᚻᴺ㩷
Prof. Hans Jürgen Mattausch
ᢎ᝼㩷 䊙䉺䉡䉲䊠 䊊䊮䉴䊶䊡䊦䉭䊮
We propose a grouping algorithm for tracking and recognition of complex objects in video images. The algorithm is
based on region-growing image segmentation for dividing each image into its constituent elements or segments and feature matching using the characteristic features of these elements. All segments in video images, which can be viewed as
simple objects, can be detected and tracked with this algorithm no matter whether they are moving or not. However, for
complex-object tracking and recognition, it is additionally necessary to group all elements belonging to these complex
objects based on common characteristic features. Fig. 1 schematically illustrates the complex-object example of a car,
which is generally segmented into several segments. The basic object-tracking algorithm, whose flowchart is shown in Fig.
2, is based on four steps, namely image segmentation, segment-feature extraction, segment-matching with previous frame,
and calculation of the next estimated position. The next-position estimation distinguishes moving and fixed segments. As
a result of the grouping method, the proposed algorithm is able to detect and track moving complex objects like e.g. cars
in video images. A MATLAB simulation as shown in Figs. 3 and 4 confirmed that the proposed algorithm is indeed capable of extracting and tracking complex moving objects. In our future work the proposed algorithm will be further refined
and implemented in hardware with an FPGA platform as well as by an ASIC design.
䊎䊂䉥↹௝䈮䈍䈔䉎ⶄ㔀䈭䉥䊑䉳䉢䉪䊃䈱ㅊ〔䈫⹺⼂䈱䈢䉄䈱䉫䊦䊷䊏䊮䉫䉝䊦䉯䊥䉵䊛䉕ឭ᩺䈜䉎䋮ឭ᩺䉝䊦䉯䊥䉵
䊛䈲䋬ฦ↹௝䉕᭴ᚑ䈘䉏䉎ⷐ⚛䉇䉶䉫䊜䊮䊃䈮ಽഀ䈜䉎㗔ၞᚑ㐳ဳ䈱↹௝ಽഀ䈫䋬ಽഀ䈘䉏䈢ⷐ⚛䈱․ᓽ㊂䉕↪䈇䉎
․ᓽ䊙䉾䉼䊮䉫䈮ၮ䈨䈇䈩䈇䉎䋮䊎䊂䉥↹௝䈱䉲䊮䊒䊦䈭䉥䊑䉳䉢䉪䊃䈫䈚䈩䈫䉌䈋䉎䈖䈫䈏䈪䈐䉎䈜䈼䈩䈱䉶䉫䊜䊮䊃䈲䋬
䈠䈱䉥䊑䉳䉢䉪䊃䈏േ䈇䈩䈇䉎䈎㕒ᱛ䈚䈩䈇䉎䈎䈮㑐䉒䉌䈝䋬䉝䊦䉯䊥䉵䊛䈮䉋䉍ᬌ಴䈘䉏䋬ㅊ〔䈘䉏䉎䋮䈚䈎䈚䈭䈏䉌䋬ⶄ
㔀䈭䉥䊑䉳䉢䉪䊃䈱ㅊ〔䈫⹺⼂䉕ⴕ䈉႐ว䈮䈲䋬౒ㅢ䈱․ᓽ㊂䈮ၮ䈨䈇䈩䋬ⶄ㔀䈭䉥䊑䉳䉢䉪䊃䈮ዻ䈜䉎䈜䈼䈩䈱᭴ᚑ
ⷐ⚛䉕䉫䊦䊷䊒ൻ䈜䉎ᔅⷐ䈏䈅䉎䋮Fig. 1 䈲ゞ䉕ⶄ㔀䈭䉥䊑䉳䉢䉪䊃䈱଀䈫䈚䈢႐ว䈮䈧䈇䈩␜䈚䈩䈍䉍䋬䈇䈒䈧䈎䈱䉶
䉫䊜䊮䊃䈮ಽഀ䈘䉏䈩䈇䉎䉶䉫䊜䊮䊃䉕䉫䊦䊷䊒ൻ䈜䉎ᔅⷐ䈏䈅䉎䋮
䉥䊑䉳䉢䉪䊃ㅊ〔䈱ၮᧄ䉝䊦䉯䊥䉵䊛䉕 Fig. 2 䈱䊐䊨䊷䉼䊞䊷䊃䈮␜
䈜䋮ឭ᩺䉝䊦䉯䊥䉵䊛䈲䋬↹௝ಽഀ䋬ಽഀ䉶䉫䊜䊮䊃䈱․ᓽ㊂᛽಴䋬
೨䊐䊧䊷䊛䈱䉶䉫䊜䊮䊃䈫䈱․ᓽ㊂䊙䉾䉼䊮䉫䋬ᰴ䈱䊐䊧䊷䊛䈪䈱ផ
ቯ૏⟎䈱⸘▚䈱䋴䈧䈱䉴䊁䉾䊒䈎䉌᭴ᚑ䈘䉏䉎䋮ᰴ䈱䊐䊧䊷䊛䈪䈱
Input image
ផቯ૏⟎䈱⸘▚䈮䉋䉍䋬േ䈇䈩䈇䉎䉥䊑䉳䉢䉪䊃䈎㕒ᱛ䉥䊑䉳䉢䉪䊃
䈎䈱್೎䉕䈜䉎䈖䈫䈏䈪䈐䉎䋮䉫䊦䊷䊒䉟䊮䉫䈮䉋䉍䋬䊎䊂䉥↹௝䈮
䊶䊶䊶
䈍䈔䉎ゞ䈱䉋䈉䈭ⶄ㔀䈭േ䈐䈱䈅䉎䉥䊑䉳䉢䉪䊃䈱ᬌ಴䈫ㅊ〔䈏น⢻
䈫䈭䉎䋮Fig. 3 䈫 4 䈮␜䈚䈢 MATLAB 䉲䊚䊠䊧䊷䉲䊢䊮⚿ᨐ䉋䉍ឭ᩺
Extracted segments
Grouped complex object
䉝䊦䉯䊥䉵䊛䈱᦭ലᕈ䈏⏕⹺䈪䈐䈢䋮੹ᓟ䈱⺖㗴䈫䈚䈩䈲䋬䉝䊦䉯䊥
䉵䊛䈱ᦝ䈭䉎ᡷ⦟䈫 FPGA 䉇 ASIC 䈮䉋䉎ឭ᩺䉝䊦䉯䊥䉵䊛䈱ታⵝ Fig. 1. Schematic example of the segmentation and
grouping process for the tracking of a complex object
䈏᜼䈕䉌䉏䉎䋮
like a car in a video sequence.
Motion vector
Sample 1
Extracted segments
Sample 1
Motion vector
Sample 2
Fig. 2. Flow chart of the basic algorithm for
tracking of simple objects (segments of the
segmentation algorithm) in a video image.
Sample 2
Extracted segments
Fig. 3. Example for the results of segmentation in case of a traffic scene. The videos
have QVGA (320×240 pixels) image size and
have been taken by a single fixed camera with
a frame rate of 30 fps (frame per second).
Fig.4. Results of the grouping algorithm for both video samples, which
verifies that the complex moving
objects are indeed correctly grouped.
IV.
A scalable massively parallel Processor
for real-time image processing
䊥䉝䊦䉺䉟䊛䉟䊜䊷䉳䊒䊨䉶䉾䉲䊮䉫䈱䈢䉄䈱㩷
䉴䉬䊷䊤䊑䊦⿥ਗ೉䊒䊨䉶䉾䉰㩷
Assoc. Prof. Tetsushi Koide
ಎᢎ᝼㩷 ዊ಴ ື჻
Prof. Hans Jürgen Mattausch
ᢎ᝼㩷 䊙䉺䉡䉲䊠 䊊䊮䉴䊶䊡䊦䉭䊮
Today's real-time image processing technology has become a requirement for cellular smartphones, car information
systems, and surveillance cameras. An image processor integrated into SoC devices for these applications requires high
power efficiency for long battery life and high temperature operation. In addition, the image processor must minimize
silicon area for lower cost and be scalable for processing performance. Our previous work on the matrix processor
(MTX) has achieved both high power and high area efficiency. Demand for higher resolution, advanced frame rates, and
increased algorithm complexity is requiring image processors to scale upwards in performance. Our next generation
MX-2 core, which was cooperative work with Renesas Technology, was developed by expanding processing elements
(PE) of MTX from 2-bit grain to 4-bit grain, and by increasing the operating frequency. The MX-2 core achieves both
high power efficiency of 310GOPS/W and high area efficiency of 36.1GOPS/mm2. In addition, the new pipeline
architecture with scalable PEs increased from 256 to 2048 is used to improve the power and area efficiency. Figure 1
shows the MX-2 core architecture. The MX-2 core contains 256 * n (n=1,2,…8) PEs, the associated data registers (DRs)
composed of SRAM cells, a controller, and the I/O interface. Each PE has two banks of 512-bit DR, and can access any
bit of DR by H-ch. PE can also access any bit in other PEs by V-ch. Each PE contains a 4-bit ALU, a temporary register
(XREG), and a valid register that controls activation of PE. Figure 2 shows a micrograph of the SoC designed and
fabricated in 65nm CMOS process for 1.0V to 1.2V operation. 32 PEs and DRs have been implemented by handcraft
layout in order to achieve the smallest area with the least power consumption. Figure 3 shows the features of the MX-2
core0. The MX-2 core0 has higher power efficiency than previously reported processors with 8.8 times better area
efficiency.
੹ᣣ䈱䊥䉝䊦䉺䉟䊛↹௝ಣℂᛛⴚ䈲䋬៤Ꮺ㔚⹤䋬ゞᖱႎ䉲䉴䊁䊛䋬⋙ⷞ䉦䊜䊤䈭䈬䈮ᔅⷐ䈫䈘䉏䈩䈇䉎䋮䈖䈱䉋䈉䈭䉝
䊒䊥䉬䊷䉲䊢䊮䈱䈢䉄䈱 SoC 䊂䊋䉟䉴䈫䈚䈩㓸Ⓧൻ䈘䉏䉎↹௝ಣℂ䊒䊨䉶䉾䉰䈮䈲䋬㐳ᤨ㑆䈱䊋䉾䊁䊥㚟േ䈱䈢䉄䈱㜞
䈇㔚ജല₸䈫㜞䈇᷷ᐲ䈪䈱േ૞䈏ⷐ᳞䈘䉏䉎䋮ᦝ䈮䋬↹௝ಣℂ䊒䊨䉶䉾䉰䈲ૐ䉮䉴䊃ൻ䈱䈢䉄䈮ታⵝ㕙Ⓧ䉕ዊ䈘䈒䈚䈭
䈔䉏䈳䈭䉌䈝䋬ಣℂᕈ⢻䈏䉴䉬䊷䊤䊑䊦䈪䈭䈔䉏䈳䈭䉌䈭䈇䋮䈖䉏䉁䈪䈮ᚒ䇱䈏㐿⊒䈚䈩䈇䉎䊙䊃䊥䉾䉪䉴䊒䊨䉶䉾䉰
(MTX) 䈲㜞㔚ജല₸䈫㜞㕙Ⓧല₸䈱ਔᣇ䉕ታ⃻䈜䉎䈖䈫䈏䈪䈐䈢䋮䈠䈖䈪㜞⸃௝ᐲ䋬ᦝ䈭䉎䊐䊧䊷䊛䊧䊷䊃䋬ਗ䈶䈮
䉝䊦䉯䊥䉵䊛䈱ⶄ㔀ᐲ䈱Ⴧട䈱ⷐ᳞䈎䉌䋬ᦝ䈭䉎ᕈ⢻ะ਄䈏䈪䈐䉎↹௝ಣℂ䊒䊨䉶䉾䉰䈫䈚䈩䋬ᰴ䈱਎ઍ䈱 MX-2 䉮䉝
䉕䊦䊈䉰䉴䊁䉪䊉䊨䉳䈫౒ห䈪㐿⊒䈚䈢䋮㐿⊒䈚䈢 MX-2 䉮䉝䈲 MTX 䈱䊒䊨䉶䉾䉲䊮䉫䉣䊧䊜䊮䊃(PE)䉕 2-bit ಣℂ䈎䉌
4-bit ಣℂ䈮᜛ᒛ䈚䋬േ૞๟ᵄᢙ䉕ะ਄䈘䈞䈢䋮䉁䈢䋬MX-2 䉮䉝䈲 310GOPS/W 䈱㜞㔚ജല₸䈫 36.1GOPS/mm2 䈱㜞
㕙Ⓧല₸䉕ታ⃻䈚䈢䋮ᦝ䈮䋬256 䈎䉌 2048 䈱䉴䉬䊷䊤䊑䊦䈭 PE 䉕↪䈇䈢ᣂ䈚䈇䊌䉟䊒䊤䉟䊮䉝䊷䉨䊁䉪䉼䊞䉕㐿⊒䈜䉎
䈖䈫䈪䋬㔚ജ䈫㕙Ⓧല₸䈱ะ਄䉕ታ⃻䈚䈢䋮Fig. 1 䈮 MX-2 䉮䉝䉝䊷䉨䊁䉪䉼䊞䉕␜䈜䋮MX-2 䉮䉝䈲 256×n (n=1,2,…8)
୘䈱 PE 䉕ታⵝ䈜䉎䈖䈫䈏น⢻䈪䈅䉍䋬SRAM 䉶䊦䈫Ⲣว䈚䈢䊂䊷䉺䊧䉳䉴䉺(DR)䋬䉮䊮䊃䊨䊷䊤䋬I/O 䉟䊮䉺䊷䊐䉢䊷䉴䈎
䉌᭴ᚑ䈘䉏䉎䋮ฦ PE 䈲 512-bit DR 䈱 2 䈧䈱䊋䊮䉪䈎䉌᭴ᚑ䈘䉏䋬H 䉼䊞䊈䊦䈮䉋䉍䋬છᗧ䈱 DR 䈱䊎䉾䊃䈮䉝䉪䉶䉴䈜䉎
䈖䈫䈏䈪䈐䉎䋮䉁䈢䋬PE 䈲 V 䉼䊞䊈䊦䉕↪䈇䈩䋬ઁ䈱 PE 䈱છᗧ䈱䊎䉾䊃䈮䉝䉪䉶䉴䈜䉎䈖䈫䈏น⢻䈪䈅䉎䋮Fig. 2 䈮 1.0V
䌾1.2V 䈱㔚Ḯ㔚࿶േ૞䈮ኻ䈜䉎 65nm CMOS 䊒䊨䉶䉴䈪 SoC 䈫䈚䈩⸳⸘䈚䈢㗼ᓸ㏜౮⌀䉕␜䈜䋮32 ୘䈱 PE 䈫 DR
䈲ᦨዊ㕙Ⓧ䈫ᦨዊᶖ⾌㔚ജ䉕ታ⃻䈜䉎䈢䉄䈮䋬ᚻ⸳⸘䈮䉋䉍ታⵝ䈚䈢䋮Fig. 3 䈮 MX-2 䉮䉝 0 䈱✜⸒䉕␜䈜䋮MX-2 䉮
䉝 0 䈲䈖䉏䉁䈪䈮ႎ๔䈘䉏䈩䈇䉎䊒䊨䉶䉾䉰䉋䉍 8.8 ୚䈱ዊ㕙Ⓧല₸䈪䋬㜞㔚ജല₸䉕ታ⃻䈜䉎䈖䈫䈏䈪䈐䈢䋮
Performance of MX-2 Core (2048PEs)
Instruction Memory
Operating Frequency 300MHz @ 1.2V (NFM)
560MHz @ 1.2V (DFM)
Peak Performance
8b Addition
154GOPS @300MHz
191GOPS @560MHz
8b MAC
112GOPS @300MHz
121GOPS @560MHz
Power Consumption* 330mW @200MHz, 1.0V
Power Efficiency*
310GOPS / W @200MHz
Area Efficiency*
36.1GOPS / mm2 @560MHz
* 8b Addition
Controller
PE
PE
H-Ch0
• Our Approach
PPU
Controller
Operating
PE
PE
Data Registers
Bank1
(SRAM)
PE
PE
MTX
MX-2 Core
PE
2b grain
4b grain
Number of PEs
2048 (fixed)
256 ~ 2048
Data Registers
64B / PE
128B / PE
Immediate Data Setting
1-way
8-way
Command Queue for PPU None
8-stage
Normal Frequency Mode
200MHz
300MHz
Not Available
560MHz
Frequency Double Frequency Mode
350
H-Ch1
Fig. 1. MX-2 core architecture.
Power Efficiency (GOPS / W)
Data Registers
Bank0
(SRAM)
V-Ch
I/O Interface
Parallel Processing Unit (PPU)
250
ISSCC08
200 ISSCC07
MX-1
150
MTX fabricated in 65nm CMOS
128Byte Data Register / PE
100
50
0
Fig. 2. Die micrograph and shmoo plot of SoC.
This Work
ISSCC09
300
ISSCC03
0
5
10
15
20
25
30
Area Efficiency (GOPS / mm2)
35
Fig. 3. Features of MX-2 core0.
40
V.
60GHz low-power CMOS wireless HDMI module
60GHz ૐᶖ⾌㔚ജ
ᶖ⾌㔚ജ CMOS ή✢
ή✢ HDMI 䊝䉳䊠䊷䊦
䊝䉳䊠䊷䊦
Prof. Minoru Fujishima
ᢎ᝼ ⮮ፉታ
Recently, millimeter-wave high-speed wireless communication using unlicensed 60GHz band has been taken
attention to. In our studies, we already realized millimeter-wave CMOS building blocks such as 60GHz pulse
transmitter[1] and pulse receiver[2]. In this year, we have realized a wireless transceiver system for uncompressed motion
pictures with high-definition multimedia interface (HDMI) by using CMOS circuits integrating three sets of 60GHz-band
pulse transmitters and receivers[3]. Since no phase information is required in pulse modulation, low power consumption
is realized in our wireless system thanks to free-funning voltage-controlled oscillators (VCOs) without using
power-hungry phase-locked loops (PLLs), generally used in a wireless system. In a receiver circuit, a low-noise amplifier
(LNA) amplifies received signal with 14GHz frequency band, which fully covers authorized 60GHz band[4]. Then, the
output signal is down-converted in intermediate frequency (IF) and filtered for channel selection. The fabricated chips
using 90nm CMOS process are implemented on a substrate using flip-chip bonding, and connected to arrayed patch
antennas. As a result of measurement, data rate of one Gbps per channel is realized in a wireless transceiver with power
consumption of 53mW and 173mW at a transmitter and a receiver, respectively. By using three channels simultaneously,
wireless transmission of HDMI signals satisfying 1080i specification is demonstrated.
60GHz Ꮺ䉕↪䈇䈢䊚䊥ᵄ㜞ㅦή✢ㅢା䈏ㄭᐕᵈ⋡䈘䉏䈩䈇䉎䇯ᚒ䇱䈲䈖䉏䉁䈪䈮䇮60GHz Ꮺ䊌䊦䉴ㅍା࿁〝[1]䉇
䊌䊦䉴ฃା࿁〝[2]䈭䈬䈱䊑䊨䉾䉪࿁〝䉕ታ⃻䈚䈩䈐䈩䈇䉎䇯੹࿁䈲䇮3 䈧䈱⇣䈭䉎๟ᵄᢙ䉨䊞䊥䉝䉕ᜬ䈧䊌䊦䉴ㅍାེ
䈍䉋䈶ฃାᯏ䉕㓸Ⓧ䈚䈢 CMOS ࿁〝䉕ታ⃻䈜䉎䈖䈫䈮䉋䉍䇮HDMI(High-Definition Multimedia Interface)䉕↪䈇䈢䊐䊦
䊊䉟䊎䉳䊢䊮䈱േ↹௝㕖࿶❗ή✢ㅍฃା䉲䉴䊁䊛䉕ታ⃻䈚䈢[3]䇯䊌䊦䉴ᄌ⺞䈪䈲䉨䊞䊥䉝ାภ䈱૏⋧ᖱႎ䉕ᔅⷐ䈫䈚
䈭䈇䈢䉄䇮ή✢ེ䈪ㅢᏱ↪䈇䉌䉏䉎૏⋧หᦼ࿁〝(PLL)䉕↪䈇䈝䇮㔚࿶೙ᓮ⊒ᝄ࿁〝(VCO)䉕䊐䊥䊷䊤䊮⁁ᘒ䈪⊒ᝄ
䈘䈞䉎䈖䈫䈮䉋䉍ㅍା࿁〝䈱ૐᶖ⾌㔚ജൻ䉕ታ⃻䈚䈩䈇䉎䇯ฃା࿁〝䈪䈲䇮60GHz Ꮺ․ቯዊ㔚ജ䈱Ꮺၞ䉕䊐䊦䉦䊋䊷
䈜䉎 14GHz 䈱๟ᵄᢙᏪၞ䉕䉅䈧ૐ㔀㖸Ⴧ᏷࿁〝(LNA)[4]䈪ฃାାภ䉕Ⴧ᏷䈚䈢䈱䈤䇮䊚䉨䉰䈪ਛ㑆๟ᵄᢙ(IF)䈻䈫
ᄌ឵䈚䇮䊐䉞䊦䉺䊷䉕ㅢ䈜䈖䈫䈮䉋䉍䉼䊞䊈䊦䉶䊧䉪䉲䊢䊮䉕ⴕ䈉䇯90nm CMOS 䊒䊨䉶䉴䉕↪䈇䈩⹜૞䈚䈢䉼䉾䊒䈲䇮䊐䊥
䉾䊒䉼䉾䊒䊗䊮䊂䉞䊮䉫䉕↪䈇䈩ኾ↪ၮ᧼䈮ታⵝ䈚䇮䉝䊧䉟䊌䉾䉼䉝䊮䊁䊅䈮ធ⛯䈚䈢䇯ታ㛎䈱⚿ᨐ䇮1 䉼䊞䊈䊦䈅䈢䉍
1Gbps 䈱ㅍฃା䈏น⢻䈪䈅䉎䈖䈫䈏䉒䈎䉍䇮3 䉼䊞䊈䊦䉕หᤨ䈮↪䈇䉎䈖䈫䈮䉋䉍 1080i ⷙᩰ䈱 HDMI ାภ䉕વㅍ䈪䈐䉎
䈖䈫䉕␜䈚䈢䇯
A. Oncu, S. Ohashi, M. Fujishima, Global Symposium on Millimeter Waves 2009 (GSMM 2009)
Ahmet Oncu, M. Fujishima, 2008 Symposia on VLSI Technology and Circuits, pp.158-159, 2008.
A. Oncu, S. Ohash, K. Takano, T. Takada, J. Shimizu and M. Fujishima, 2010 Symposium on VLSI Circuits, pp.93-94, 2010.
Y. Natsukari and M. Fujishima, 2009 Symposium on VLSI Circuits, 252-253, 2009.
MIX
CH2
MIX
LNA
VCO5
f3
CH3
f2
V C O2
CH2
C H3
C H2
R X C hip
VGA Demod
MIX
LNA
VCO6
V C O3
CH3
VGA Demod
TX
RX
Fig. 1. Block diagram of proposed low-power three-channel TX
and RX of repeater.
B it E r r o r R ate (B E R )
10
1Gbps
1ns
C H1
f3
AS K
mo d
FLL
5mm
10
10
10
10
C H2
C H3
(a)
C MOS chip
patc h an ten n a
trans mis s ion
line
s u bs tr ate
3 C h an n el 60G H z Mo d u le
(b)
Fig. 2. (a) Chip micrograph, (b) illustration of module
implementation, and (c) photograph of RX module.
0. 75G bps
1G bp
s
TV
Blu-ray
player
TX
module
-4
-6
-8
(c)
RX
Module
-10
10
Fig. 3. Eye diagram for
1Gbps (231-1) random bits.
C H1
0
-2
10
TX C hip
B ias , c o n tr o l
an d d i g i tal
d ata.
s u bs tr ate
7c m
f2
AS K
mo d
FLL
VGA Demod
CH3
V C O1
CH1
4c m
LNA
VCO4
CH1 CH2
CH1
f1
AS K
mo d
FLL
2. 7mm
f1
2. 3mm
ON /OF F
430mV
[1]
[2]
[3]
[4]
-12
-70
-60
-40
-50
In pu t po wer [d B m]
Fig. 4. Receiver sensitivities for 750Mbs
and 1Gbps (231-1) random bits per channel.
Fig. 5. Photograph of testing TX and RX
modules by uncompressed HD data transmission.
VI.
Short millimeter-wave CMOS circuits aiming at 100Gbps
wireless communication
Prof. Minoru Fujishima
ᢎ᝼ ⮮ፉታ
100Gbps ή✢ㅢା䉕⋡ᜰ䈜⍴䊚䊥ᵄ CMOS ࿁〝
Short-millimeter-wave band over 100GHz is currently attracting attentions since over 10Gbps wireless
communication becomes possible utilizing wider frequency band than that allocated in 60GHz band. We are studying
CMOS basic building blocks operating at the frequency over 100GHz in order to realize ultrahigh-speed and low-power
short-millimeter-wave wireless modules which enable wireless transmission of uncompressed full-spec
ultrahigh-definition motion pictures. Firstly, we have fabricated 115GHz CMOS voltage-controlled oscillator (VCO),
which is a basic building block in wireless circuits, with 65nm CMOS process [1]. In order to realize high oscillation
frequency, varactors generally used in VCOs are omitted and oscillation frequency is controlled by supply voltage. In the
measurement of oscillation frequency, tuning range of 4.4% is obtained. In the measurement of phase noise,
-30dB/dec-decay characteristics of the phase noise with increasing offset frequency 'Z are obtained. These obtained
characteristics are different from -20dB/dec-decay characteristics obtained by the VCO under 100GHz, and they indicate
that the main factor of the phase noise is flicker noise generated by cross-coupled MOSFETs with narrow gate width,
namely small gate area, used in the VCO to reduce parasitic capacitances. We have also fabricated a 110GHz
divide-by-three frequency divider, which is necessary for a short-millimeter-wave phase-locked loop (PLL) [2]. The
divider is realized by injection locking using a three-stage ring oscillator with resistor-load NMOS inverters. Input
short-millimeter-wave signal is injected in an electrically isolated P well where NMOSFETs exist in order to suppress
parasitic capacitances of inverters. It increases oscillating frequency up to 35GHz. As a result, the divider operates up to
110GHz with keeping appropriate divide-by-three function.
䊚䊥ᵄ䈱ਛ䈪䉅 100GHz એ਄䈱⍴䊚䊥ᵄ๟ᵄᢙᏪ䈲䇮60GHz Ꮺ䉋䉍䉅䈘䉌䈮ᐢ䈇๟ᵄᢙᏪၞ䉕ᵴ↪䈜䉎䈖䈫䈮䉋䉍
10Gbps એ਄䈱ή✢ㅢା䈏น⢻䈮䈭䉎䈖䈫䈪ᵈ⋡䈘䉏䈧䈧䈅䉎䇯ᚒ䇱䈲䊐䊦ⷙᩰ䈱䉴䊷䊌䊷䊊䉟䊎䉳䊢䊮䈱↹௝䉕㕖࿶
❗વㅍ䈜䉎䈖䈫䉅น⢻䈭⿥㜞ㅦ䊶ૐᶖ⾌㔚ജ⍴䊚䊥ᵄή✢䊝䉳䊠䊷䊦䉕ታ⃻䈜䉎䈢䉄䈮 100GHz એ਄䈱๟ᵄᢙ䈪േ૞
䈜䉎 CMOS ၮᧄ࿁〝䈱⎇ⓥ䉕ⴕ䈦䈢䇯䉁䈝䇮ή✢࿁〝䈪ၮᧄ䈫䈭䉎 115GHz 㔚࿶೙ᓮ⊒ᝄེ䋨VCO䋩䉕 65nm CMOS
䊒䊨䉶䉴䉕↪䈇䈩⹜૞䈚䈢[1]䇯㜞䈇⊒ᝄ๟ᵄᢙ䉕ታ⃻䈜䉎䈢䉄䈮䇮ㅢᏱ VCO 䈮↪䈇䉌䉏䉎䊋䊤䉪䉺䉕⋭⇛䈚䇮㔚Ḯ㔚
࿶䉕นᄌ䈜䉎䈖䈫䈮䉋䉍⊒ᝄ๟ᵄᢙ䉕ᄌൻ䈘䈞䈩䈇䉎䇯䈠䈱⚿ᨐ䇮4.4%䈱䉼䊠䊷䊆䊮䉫䊧䊮䉳䉕ᓧ䉎䈖䈫䈏䈪䈐䈢䇯䉁䈢䇮
૏⋧㔀㖸․ᕈ䉕᷹ቯ䈚䈢⚿ᨐ䇮䉨䊞䊥䉝๟ᵄᢙ䈎䉌䈱㔌⺞๟ᵄᢙ'Z䈮ኻ䈚䈩૏⋧㔀㖸䈏-30dB/dec 䈪ᷫ⴮䈜䉎․ᕈ
䉕ᓧ䈢䇯䈖䉏䈲 100GHz એਅ䈱 VCO 䈪䈱૏⋧㔀㖸․ᕈ䈪⷗䉌䉏䉎-20dB/dec 䈫⇣䈭䉎․ᕈ䈪䈅䉎䈏䇮૏⋧㔀㖸䈱ਥⷐ
࿃䈏䊐䊥䉾䉦㔀㖸䈪䈅䉎䈖䈫䉕␜䈚䈩䈇䉎䇯㜞䈇䉨䊞䊥䉝๟ᵄᢙ䉕ታ⃻䈜䉎䈢䉄䈮䉭䊷䊃᏷䈱ዊ䈘䈒䇮ᓥ䈦䈩䉭䊷䊃㕙Ⓧ䈱
ዊ䈘䈭 MOSFET 䉕 VCO 䈮↪䈇䈩䈇䉎䈢䉄䈫⠨䈋䉌䉏䉎䇯䉁䈢䇮૏⋧หᦼ࿁〝(PLL)䈮ᔅⷐ䈫䈭䉎 110GHz ๟ᵄᢙ 3 ಽ
๟ེ䉕⹜૞䈚䈢[2]䇯⹜૞䈚䈢ಽ๟ེ䈲ᛶ᛫⽶⩄ NMOS 䉟䊮䊋䊷䉺䉕 3 Ბ↪䈇䈢䊥䊮䉫⊒ᝄེ䈮ᵈ౉หᦼ䉕ⴕ䈉䈖䈫䈮
䉋䉍๟ᵄᢙಽ๟䉕ታ⃻䈚䈩䈇䉎䇯ⴕ䈉౉ജାภ䈲䇮䊃䊥䊒䊦䉡䉢䊦䉕↪䈇䉎䈖䈫䈮䉋䉍ಽ㔌䈘䉏䈢 P 䉡䉢䊦䉕ㅢ䈛䈩
NMOSFET 䈮౉ജ䉕ᵈ౉䈚䇮ነ↢ኈ㊂䈮䉋䉎⊒ᝄ๟ᵄᢙ䈱ૐਅ䉕ᛥ೙䈚䈩䈇䉎䇯䈠䈱⚿ᨐ䇮䊥䊮䉫⊒ᝄེ䈱⥄ബ⊒ᝄ
๟ᵄᢙ䉕 35GHz એ਄䈮䈜䉎䈖䈫䈏䈪䈐䇮ᦨᄢ 110GHz 䈱౉ജ䉁䈪๟ᵄᢙಽ๟䉕ⴕ䈋䉎䈖䈫䉕⏕⹺䈚䈢䇯
Phase Noise [dBc/Hz]
[1] W. Badalawa, S. Lim, M. Fujishima, “115GHz CMOS VCO with 4.4% Tuning Range,” European Microwave Integrated Circuits
Conference, pp. 128- 131, 2009.
[2] S. Lim, W. Badalawa, and M. Fujishima, “A 110GHz Inductor-Less CMOS Frequency Divider,” Asian Solid-State Circuit
Conference, pp. 61-64, 2009
20
0
-20
-85.3dBc/Hz
-40
-60
-80
-100
-120
1k
10k
100k
1M
Frequency Offset [Hz]
10M
Fig. 3. Measured phase noise of VCO.
Fig. 2. Measured output spectrum of VCO.
DC
Probe
G
G
RF
S
Probe
Waveguide
Probe
S
G
Core
(10.8Ý8.5Pm2)
G
Fig. 4. Microphotograph of 110GHz divider.
Minimum Input Power [dBm]
Fig. 1. Micrograph of 115GHz VCO.
6
0.4
(b)
Fig. 5. Spectrum of (a) free-running and (b) locked output.
-0.2
4
2
0
-2
-4
-6
100
(a)
Vsub(ctrl)
102 104 106 108 110
Operating Frequency [GHz]
112
Fig. 6. Input sensitivity of the proposed RILFD.
VII. A silicon retina containing a switched-resistor network
applied to a boundary-based coupled MRF model
䉟 䉾䉼䊃 ᛶ᛫࿁ 〝✂䉕 ᦭ 䈜䉎䉲 䊥 䉮 䊮✂ ⤑䈱Ⴚ ⇇䊔 䊷䉴 ⚿ว
䉴䉟䉾䉼䊃ᛶ᛫࿁〝✂䉕᦭䈜䉎䉲䊥䉮䊮✂⤑䈱Ⴚ⇇䊔䊷䉴⚿ว
MRF
MRF 䊝䊂䊦䈻䈱ᔕ↪
䊝䊂䊦䈻䈱ᔕ↪
Assoc. Prof.
Seiji. Kameda
․છಎᢎ᝼
੉↰ᚑม
A neuromorphic silicon retina carries out real-time image processing by massively-parallel circuit structure. We have
developed a silicon retina containing a switched-resistor network, which has functions of shunting control of every pixel
connections and image smoothing [1]. In the present study, the silicon retina was applied to a boundary-based coupled MRF
model-based image denoising [2]. The boundary-based coupled MRF model represented as an intensity process neural
network combined with line process neurons is a typical model to perform image segmentation and surface reconstruction
(Fig.1). The intensity process neural network has connection between neighboring pixels and carries out smoothing on input
image. The line process neuron is located between neighboring pixels and kills the connection corresponding to edge
position. The network segments an input image with edge line and carries out denoising by smoothing in each segmentation
area. We developed the image denoising system consisted of the silicon retina and FPGA. A pixel of the silicon retina
consisted of a S/H circuit for memorizing image data, a switched-resistor network, which has connection between
neighboring pixels and smoothes image, and registers for memorizing shunting information of the network (Fig.2). The chip
was implemented with a 0.35µm CMOS technology. The die size is 4.9 x 4.9mm2. The chip has 36 x 40 pixels. The FPGA
detects edge positions and sends the shunting information to the silicon retina (Fig.3). Fig.4 shows experimental result of the
system. Processed images have an extremely low noise as compared with an input image. Fig.5 shows the denoising effect
increased with repeat count of the processing.
↢૕ⷞⷡ♽䉕ᮨ୮䈚䈢䉲䊥䉮䊮✂⤑䈲⿥ਗ೉࿁〝᭴ㅧ䈮䉋䉎㜞ㅦ䈭↹௝ಣℂ䈏น⢻䈪䈅䉎䋮ᚒ䇱䈲ᐔṖൻಣℂ䈫છ
ᗧ䈱↹⚛㑆ធ⛯䈱೙ᓮ䈏น⢻䈭䉴䉟䉾䉼䊃ᛶ᛫࿁〝✂䉕᦭䈜䉎䉲䊥䉮䊮✂⤑䉕㐿⊒䈚䈩䈇䉎[1]䋮ᧄ⎇ⓥ䈪䈲ᧄ䉲䊥䉮䊮✂
⤑䉕Ⴚ⇇䊔䊷䉴⚿ว䊙䊦䉮䊐⏕₸႐(Markov Random Field: MRF)䊝䊂䊦䈮ၮ䈨䈒䊉䉟䉵䈱㒰෰䈮ᔕ↪䈚䈢[2]䋮Ⴚ⇇䊔
䊷䉴⚿ว MRF 䊝䊂䊦䈲↹௝䈱㗔ၞಽഀ䉇⴫㕙ౣ᭴ᚑ䈮↪䈇䉌䉏䉎ઍ⴫⊛䈭䊝䊂䊦䈱৻䈧䈪䋬䊤䉟䊮ㆊ⒟䊆䊠䊷䊨䊮䉕
⚵䉂ว䉒䈞䈢ᒝᐲㆊ⒟䊆䊠䊷䊤䊦䊈䉾䊃䊪䊷䉪䈪⴫䈘䉏䉎(࿑ 1)䋮ᒝᐲㆊ⒟䊆䊠䊷䊤䊦䊈䉾䊃䊪䊷䉪䈲↹⚛㑆䈱ធ⛯䉕ᜬ䈤䋬
౉ജ↹௝䈱ᐔṖൻ䉕ⴕ䈉䋮↹⚛㑆䈮㈩⟎䈘䉏䈢䊤䉟䊮ㆊ⒟䊆䊠䊷䊨䊮䈲ベㇳ૏⟎䈮ኻᔕ䈜䉎ធ⛯䉕ಾᢿ䈜䉎䋮䈖䈱䊈䉾䊃
䊪䊷䉪䈮䉋䉍ベㇳ✢䈪㗔ၞ䈏ಽ䈔䉌䉏䋬㗔ၞᲤ䈮ᐔṖൻಣℂ䈪䊉䉟䉵䈏㒰෰䈘䉏䉎䋮䈖䈱䊉䉟䉵㒰෰䉲䉴䊁䊛䉕䉲䊥䉮䊮✂
⤑䈫 FPGA 䉕⚵䉂ว䉒䈞䈩㐿⊒䈚䈢䋮ᧄ䉲䊥䉮䊮✂⤑䈱↹⚛࿁〝䈲↹௝ᖱႎ䉕଻ᜬ䈜䉎 S/H ࿁〝䇮㓞ធ↹⚛㑆䉕⚿䈶ᐔ
Ṗൻಣℂ䉕ⴕ䈉䉴䉟䉾䉼䊃ᛶ᛫࿁〝✂䋬䈠䈱ធ⛯ᖱႎ䉕଻ᜬ䈜䉎䊧䉳䉴䉺䈪᭴ᚑ䈘䉏䉎(࿑ 2)䋮䈖䈱↹⚛࿁〝䉕 4.9mm ⷺ䈱
0.35µm 䉼䉾䊒਄䈮 36×40 ↹⚛䋬㓸Ⓧ䈚䈢䋮䉼䉾䊒ᄖ䈱 FPGA 䈪ベㇳ૏⟎䉕್ቯ䈚䋬ធ⛯ᖱႎ䉕䉼䉾䊒䈮ᦠ䈐ㄟ䉃 (࿑ 3)䋮
㐿⊒䈚䈢䉲䉴䊁䊛䈱ታ㛎⚿ᨐ䉕࿑ 4 䈮␜䈜䋮䊉䉟䉵䉕฽䉃⊕㤥䈱ベㇳ↹௝䈎䉌䊉䉟䉵䈱䉂䈏㒰෰䈘䉏䈩䈇䉎䈖䈫䈏ಽ䈎䉎䋮
䉁䈢䋬ಣℂ䉕➅䉍㄰䈜䈖䈫䈪䊉䉟䉵㒰෰䈱ലᨐ䈏਄䈏䈦䈩䈇䉎䈖䈫䉅ಽ䈎䉎(࿑ 5)䋮
[1] ᫪ᧄ䋬੉↰䋬ጤ↰䋬``䉴䉟䉾䉼䊃䊧䉳䉴䉺࿁〝䉕ౝ⬿䈚䈢䊎䉳䊢䊮䉼䉾䊒䈱㐿⊒’’, ାቇᛛႎ, NC 107(328), pp.1-6, ૒⾐, 2007.11.
[2] ᦺ㐳䋬᫪ᧄ䋬੉↰䋬ጤ↰䋬``䉴䉟䉾䉼䊃䊧䉳䉴䉺࿁〝✂䉕᦭䈜䉎䉲䊥䉮䊮✂⤑䈱Ⴚ⇇䊔䊷䉴⚿ว MRF 䊝䊂䊦䈻䈱ᔕ↪’’, ାቇᛛႎ,
NC 109(252), pp.69-74, ૒⾐, 2009.10.
Fig. 3 Circuit diagram of the denoising
Fig. 1 Boundary-based
coupled MRF model.
Fig. 2 Circuit design of the single
Fig. 4 Response obtained by the denoising system. (A)input
image, (B)1st processed image, (C)2nd processed image.
Fig. 5 Noise rate vs. error counts of the
system.
4.3
Molecular Bioinformation Research Division
ಽሶ↢๮ᖱႎ⑼ቇ⎇ⓥㇱ㐷㩷 㩷 㩷 㩷
Molecular Bioinformation Research Division is specialized in the research for MEMS (Micro Electro
Mechanical Systems), immobilization of bio molecule, bio-sensing technology, and environmental monitoring.
ಽሶ↢๮ᖱႎ⑼ቇ⎇ⓥㇱ㐷䈲䇮䌍䌅䌍䌓䇮䊋䉟䉥ಽሶ࿕ቯ䇮䊋䉟䉥䉶䊮䉲䊮䉫䇮ⅣႺᖱႎ䉶䊮䉲䊮䉫䈮㑐䈜䉎
⎇ⓥ䉕ⴕ䈦䈩䈇䉎䇯
4.3.1
Research projects in molecular bioinformation research division
㩷㩷㩷㩷㩷㩷㩷 㩷
ಽሶ↢๮ᖱႎ⑼ቇ⎇ⓥㇱ㐷䈮䈍䈔䉎⎇ⓥ䊒䊨䉳䉢䉪䊃
The outlines of research projects at the Molecular Bio-information Research Division are as follows.
ಽሶ↢๮ᖱႎ⑼ቇ⎇ⓥㇱ㐷䈮䈍䈔䉎⎇ⓥ䊒䊨䉳䉢䉪䊃䈱ਥ䈭䉅䈱䈱᭎ⷐ䉕⚫੺䈜䉎䇯
1.
Micro/nano-fluid handling devices and systems (Prof. R. Miyake)
㩷 䊙䉟䉪䊨䊶䊅䊉ᵹ૕ᵹേ䊶೙ᓮᛛⴚ䈱⎇ⓥ
As there has been a growing interest in security and safety, it is desired to monitor our health condition closely,
environmental pollution widely, and food contamination precisely. In order to develop compact and multichannel
analyzer satisfied these requirements, we are studying devices and systems to control small amount of liquid such
as micro-channels, micro-pumps, micro-valves, pretreatment devices and integrated analysis systems.
቟ᔃ䊶቟ో䈮ኻ䈜䉎ᗧ⼂䈱㜞䉁䉍䈫䈫䉅䈮䇮ᚒ䇱䈱૕ౝ䉇䇮ᚒ䇱䉕ข䉍Ꮞ䈒ⅣႺ䇮䈘䉌䈮䈲㘩ຠ䈭䈬䈮฽䉁䉏
䉎ᚑಽ䊶ᳪᨴᖱႎ╬䉕䇮✂⟜⊛䈎䈧䈐䉄⚦䈎䈒䇮↢ᵴ䈮ኒ⌕䈚䈩䉶䊮䉲䊮䉫䈜䉎ᛛⴚ䊶ⵝ⟎䈏ᦸ䉁䉏䈩䈇䉎䇯䈠䈉
䈚䈢䉶䊮䉲䊮䉫㗄⋡䈱ᄙ᭽ൻ䊶㜞ᗵᐲൻ䇮ⵝ⟎䈱៤ⴕൻ䉕น⢻䈫䈜䉎䈢䉄䈮䇮㜬䈱Ძ䉋䉍⚦䈇䊙䉟䉪䊨ᵹ〝䉇☨
☸䉋䉍ዊ䈘䈭䊘䊮䊒䊶䊋䊦䊑䈭䈬䊅䊉䊥䉾䊃䊦䈱ᵹ૕䉕ᵹേ䊶೙ᓮ䈜䉎ᛛⴚ䈱⎇ⓥ䈫䇮䉰䊮䊒䊦ਛ䈱⋡⊛ᚑಽ䉕㜞
♖ᐲ䈮᛽಴䊶ಽ㔌䉕ⴕ䈉೨ಣℂ↪䊙䉟䉪䊨䊂䊋䉟䉴䈱⎇ⓥ䇮䈠䉏䉌䈫䉶䊮䉰䉕৻૕ൻ䈚䈢䊙䉟䉪䊨ಽᨆ䉲䉴䊁䊛䈱
⎇ⓥ䉕ⴕ䈦䈩䈇䉎䇯
2.
Model-based simulation tool for micro-fluid network systems (Prof. R. Miyake)
㩷 䊙䉟䉪䊨ᵹ૕䊈䉾䊃䊪䊷䉪䈱䊝䊂䊦䊔䊷䉴⸃ᨆᛛⴚ䈱⎇ⓥ㩷
In micro-total analysis system (micro-TAS) integrated with micro-fluidic devices, their internal flow tend to be
unstable due to the unbalance of pressure resistance among the devices, fluctuation caused by micro-bubbles.
Model-based simulation technique is studied in order to predict that unstable flow and to optimize system design.
It enables us to form network model on PC easily by connecting block correspond to fluid elements such as
micro-channel, bifurcation and merging connectors is studied to predict these phenomena.
ᄙᢙߩᵹ૕࠺ࡃࠗࠬࠍ⋥೉‫ޔ‬ਗ೉ߦㅪ⚿ߒߚࡑࠗࠢࡠಽᨆࠪࠬ࠹ࡓߢߪ‫ࠬࠗࡃ࠺ޔ‬㑆ߩᓸዊߥ࿶ജ
ᛶ᛫ߩᏅ߿‫ޔ‬᳇ᵃߩሽ࿷ߥߤߦࠃࠅ‫⋧ࠬࠗࡃ࠺ޔ‬੕ߩᵹേߦ஍Ꮕ߿㕖ቯᏱߥᄌേ߇↢ߓ෻ᔕ᧦ઙ߇߫ࠄ
ߟ߈ಽᨆ⚿ᨐߦᓇ㗀ࠍ෸߷ߔ‫⎇ᧄߢߎߘޕ‬ⓥߢߪ‫ࡠࠢࠗࡑޔ‬ᵹ〝߿ಽጘㇱߥߤߦߟ޿ߡ⸃ᨆⷐ⚛ࡕ࠺࡞
ࠍ૞ᚑߒ‫ࠍࠄࠇߎޔ‬2%਄ߢㅪ⚿ߔࠆߎߣߢࡑࠗࠢࡠᵹ૕ࡀ࠶࠻ࡢ࡯ࠢࠍౣ⃻ߒ‫ޔ‬ฦ⒳ⷐ࿃ߦࠃࠆᵹേߩ
߫ࠄߟ߈ࠍ੍᷹ߔࠆߚ߼ߩࡕ࠺࡞ࡌ࡯ࠬဳ⸃ᨆᚻᴺߩ⎇ⓥࠍⴕߞߡ޿ࠆ‫ޕ‬
3.
Increase in bioluminescence intensity of firefly luciferase using genetic
modification and applied to the sensitive detection systems
(Assoc. Prof. K. Noda, Prof. T. Yamada, and Prof. A. Kuroda)
ㆮવሶ⚵឵䈋䈮䉋䉎䊖䉺䊦䊦䉲䊐䉢䊤䊷䉷䈱⊒శᒝᐲ䈱ะ਄䈫㜞ᗵᐲಽᨆ䈻䈱ᔕ↪㩷
Firefly luciferases have been extensively studied for more than 50 years and are some of the best characterized
bioluminescence systems. However the luciferase activities that spend many amount of ATP, are limited in vivo.
Therefore, we selected novel mutations in Photinus pyralis luciferase with higher luminescence intensity from a
random mutant library and obtained luciferases that generate more than 10-fold higher luminescence intensity
than the wild-type enzyme. The luminescence intensity of this mutant luciferase is further improved by genetic
engineering, and applied to sensitive detection of microorganism, endotoxin or the bio-imaging markers.
䊖䉺䊦䊦䉲䊐䉢䊤䊷䉷䈲䇮50ᐕએ਄೨䈎䉌ᐢ▸䈭⎇ⓥ䈏䈭䈘䉏䈩䈍䉍䇮↢‛⊒శ䉲䉴䊁䊛䈫䈚䈩ఝ䉏䈢․ᓽ䉕
᦭䈜䉎䈖䈫䈏⍮䉌䉏䈩䈇䉎䇯 䈚䈎䈚䈭䈏䉌䈖䈱㉂⚛䈲ᄢ㊂䈱ATP䉕ᶖ⾌䈜䉎䈖䈫䈎䉌䇮↢૕ౝ䈪䈲䈠䈱⢻ജ䈮䊥䊚
䉾䉺䊷䈏ដ䈔䉌䉏䈢⁁ᘒ䈮䈅䉎䈫⠨䈋䉌䉏䈢䇯䈠䈖䈪ᚒ䇱䈲ㆮવሶᠲ૞䈮䉋䉍⊒శᒝᐲ䈏㊁↢ဳ䈱10୚એ਄ะ
਄䈚䈢ᄌ⇣૕䈱ขᓧ䈮ᚑഞ䈚䈢䇯䈖䈱ᄌ⇣ဳ䊦䉲䊐䉢䊤䊷䉷䈱⊒శᒝᐲ䉕ᦝ䈮Ⴧᄢ䈘䈞䉎䈫䈫䉅䈮䇮⚦⩶䉇䉣䊮
䊄䊃䉨䉲䊮ᬌᩏ䇮䊋䉟䉥䉟䊜䊷䉳䊮䉫䈻䈱ᔕ↪䈮䈧䈇䈩䉅⎇ⓥ䈜䉎䇯
4.
Oriented immobilization of functional proteins on a Si wafer using the
Si-binding protein (Si-tag): application to biosensing devices
(Assist. Prof. T. Ikeda and Prof. A. Kuroda)
㪪㫀 ⚿ว䉺䊮䊌䉪⾰䇸㪪㫀㪄㫋㪸㪾䇹䉕೑↪䈚䈢ၮ᧼਄䈻䈱䉺䊮䊌䉪⾰࿕ቯൻᴺ䈱㐿⊒䈫䊋䉟䉥䉶䊮
䉲䊮䉫ᛛⴚ䈻䈱ᔕ↪
We found a Si-binding protein, designated Si-tag, which can be used as an adhesive molecule to immobilize
functional proteins on Si devices. The binding of Si-tagged fusion proteins to a Si dioxide surface does not require
chemical modification, pretreatment, or any specific conditions, enabling rapid immobilization of biomolecules.
We are currently developing Si-based ultra-sensitive biosensors that make use of the immobilized biomolecules as
highly specific recognition elements (in collaboration with Nanointegration Research Division).
Si䊂䊋䉟䉴䈫䊋䉟䉥ಽሶ䉕⛔ว䈚䈢Ⲣว䊂䊋䉟䉴㐿⊒䈱䈢䉄䈮䈲䇮⇣⒳䈱᧚ᢱ㑆䉕ធว䈜䉎ᛛⴚ䈏ᔅⷐ䈫
䈭䉎䇯ᚒ䇱䈏⥄ὼ⇇䉋䉍⊒⷗䈚䈢Si⚿ว䉺䊮䊌䉪⾰䇸Si-tag䇹䉕⋡⊛䉺䊮䊌䉪⾰䈫ㆮવሶᎿቇ⊛䈮Ⲣว䈘䈞䉎䈖䈫䈪䇮
છᗧ䈱䉺䊮䊌䉪⾰䉕Si䊂䊋䉟䉴਄䈮࿕ቯൻ䈜䉎䈖䈫䈏䈪䈐䉎(䉲䊥䉮䊮䊋䉟䉥ᴺ)䇯ᧄᚻᴺ䈲䇮Siၮ᧼⴫㕙䈱ൻቇ⊛
೨ಣℂ䈭䈬䉕ᔅⷐ䈫䈞䈝䇮ㄦㅦ䈎䈧◲ଢ䈭䊋䉟䉥ಽሶ࿕ቯൻᴺ䈫䈚䈩᦭ല䈪䈅䉍䇮䊅䊉㓸Ⓧ⑼ቇ⎇ⓥㇱ㐷䈫౒
ห䈪䊋䉟䉥䉶䊮䉲䊮䉫䊂䊋䉟䉴䈻䈱ᔕ↪䉕ㅴ䉄䈩䈇䉎䇯
5. Single-step affinity purification method for silica-binding Si-tagged fusion
proteins (Assist. Prof. T. Ikeda and Prof. A. Kuroda)
Si-tagⲢว䉺䊮䊌䉪⾰䈱◲ଢ䈭䉝䊐䉞䊆䊁䉞䊷♖⵾ᴺ䈱㐿⊒
By taking advantage of the strong affinity of Si-tag (see above) for Si dioxide (silica), we developed a
single-step purification method for Si-tagged fusion proteins using unmodified bare silica particles as a specific
adsorbent. Si-tagged fusion proteins can be purified with a purity of >80% and yield of >80% from a crude extract
of recombinant Escherichia coli. The simplicity of our method enables rapid, cost-effective purification of
Si-tagged fusion proteins. The purified proteins can be used for functionalization of Si devices as described above.
೨ㅀ䈱Si⚿ว䉺䊮䊌䉪⾰䉕೑↪䈚䈢Ⲣว䊂䊋䉟䉴㐿⊒䈱䈢䉄䈮䈲䇮⋡⊛䉺䊮䊌䉪⾰䈮Si-tag䉕Ⲣว䈚䈢⚵឵
䈋䉺䊮䊌䉪⾰䉕⺞⵾䈜䉎ᔅⷐ䈏䈅䉎䇯⚵឵䈋䉺䊮䊌䉪⾰⊒⃻䈱㓙䈮䈲ኋਥ↱᧪䈱䉺䊮䊌䉪⾰䈏౒ሽ䈜䉎䈢䉄䇮
⋡⊛䉺䊮䊌䉪⾰䈱䉂䉕♖⵾䈜䉎ᔅⷐ䈏䈅䉎䈏䇮ᣢሽ䈱ᚻᴺ䈪䈲ᚻ㑆䈫ᤨ㑆䈏䈎䈎䉎䇯䈠䈖䈪䇮Si-tag䈫SiO2 (䉲䊥
䉦)䈫䈱ⷫ๺ᕈ(䉝䊐䉞䊆䊁䉞䊷)䉕೑↪䈚䈢◲න䈭䉺䊮䊌䉪⾰♖⵾ᴺ䉕㐿⊒䈚䈢䇯ᧄᚻᴺ䈲⚐ᐲ䊶෼₸䈫䉅䈮80%
એ਄䈱ല₸䈪ㄦㅦ䈮Si-tagⲢว䉺䊮䊌䉪⾰䉕♖⵾䈜䉎䈖䈫䈏䈪䈐䉎䇯቟ଔ䈭䉲䊥䉦☸ሶ䉕♖⵾↪䈱ᜂ૕䈫䈚䈩೑
↪䈜䉎䈢䉄䇮ᣢሽ䈱䉝䊐䉞䊆䊁䉞䊷♖⵾ᴺ䉋䉍䉅ૐ䉮䉴䊃䈪♖⵾䈪䈐䉎䇯
6.
Rapid prototyping of microfluidic chips by Maskless lithography system
(Assoc. Prof. Y. Murakami)
䊙䉴䉪䊧䉴㔺శᯏ䉕↪䈇䈢䊙䉟䉪䊨ᵹ〝䉼䉾䊒䈱ㄦㅦ⹜૞ᴺ㐿⊒䈫䈠䈱ᔕ↪㩷
There are strong demands to rapid prototyping of microfluidic chips, and developing process of photomask is
often time consuming and expensive. We found that a maskless lithography system can draw patterns on
thick-film photoresist directly. We also found adequate lithography condition that is difficult to find by normal
optimization protocol. Finally we can develop a microfluidic chip within two days from rough sketch. Now the
method is often used in our own research and also in the research and development of other groups via the
Nanotechnology Network Project.
䊙䉟䉪䊨ᵹ〝䈲ᄢ㊂ᶖ⾌ᔒะ䉼䉾䊒䈫⎇ⓥᡰេဳ䉼䉾䊒䈱䈇䈝䉏䉅㐿⊒ㅜ਄䈮䈅䉍䇮ᣂⷙ⸳⸘䈱ᒻ⁁䉕ᢙ
ᣣౝ䈮ታ૕ൻ䈪䈐䉎䈖䈫䈏᳞䉄䉌䉏䈩䈇䉎䈏䇮ᄙ䈒䈱႐ว䊐䉤䊃䊙䉴䉪⊒ᵈᎿ⒟䈏ᤨ㑆䇮䉮䉴䊃䈫䉅䈮㓚ኂ䈫䈭䈦䈩
䈇䉎䇯RNBS 䈮ዉ౉䈘䉏䈢䊙䉴䉪䊧䉴㔺శᯏ䈏ෘ⤑䊐䉤䊃䊧䉳䉴䊃䉕⋥ធឬ↹䈪䈐䉎䈖䈫䉕⷗䈇䈣䈚䈢䇯䈘䉌䈮䈠䈱
ㆡᱜㆇ↪䈱䈢䉄䈱᧦ઙ䉕ᬌ⸛䈚䇮ㅢᏱត⚝ᚻ㗅䈪䈲䈢䈬䉍⌕䈔䈭䈇᧦ઙ䈏ᦨㆡ䈪䈅䉎䈖䈫䉕⷗䈇䈣䈚䈢䇯䈖䉏
䈮䉋䉍䊤䊐䉴䉬䉾䉼䈎䉌ታ㓙䈱ᵹ〝䉼䉾䊒⵾૞ቢੌ䉁䈪ታᘒ䊧䊔䊦䈪 2 ᣣ⒟ᐲ䉁䈪ᄢ᏷䈭⍴❗䉕࿑䉎䈖䈫䈏䈪䈐䇮
ᯏേ⊛䈭ᵹ〝ᒻ⁁ᬌ⸛䈏䈪䈐䉎䉋䈉䈮䈭䈦䈢䇯⥄り䈱⎇ⓥ䈱ઁ䇮䊅䊉䊁䉪ᡰេ೙ᐲ䈭䈬䉕⛊䉄䇮ઁ⎇ⓥᯏ㑐䈫౒
ห䈚䈩⒳䇱䈱䉼䉾䊒⵾૞䊶㐿⊒䉕ⴕ䈇䈭䈏䉌䇮ᧄᴺ䈱᦭↪ᕈ䉕⏕⹺䈚䇮䉴䉨䊦ะ਄䉕࿑䈦䈩䈇䉎䇯
7.
Development of a single-chip thermometer LSI for biochemical research
(Assoc. Prof. Y. Murakami)
↢ൻቇ⹜㛎↪ᛩ䈕ㄟ䉂ဳ䋱䉼䉾䊒᷷ᐲ⸘㐿⊒㩷
Biochemical tests are performed in a volume of less than 1 mL and in a closed disposable tube not to
contaminate nor evaporate. Though biochemical reaction require precise temperature control, it is difficult to set a
normal thermometer in a tube. A conventional thermo couple has large heat capacity compared to reaction volume.
In this research we develop a single chip thermometer LSI that can act in a conventional 1.5 mL eppendorf type
sample tube.
↢ൻቇ⹜㛎䈲ㅢᏱ1 mL䈮ḩ䈢䈭䈇ኈ㊂䈪ታᣉ䈘䉏䇮䉮䊮䉺䊚䉇⫳⊒㒐ᱛ䈱䈢䉄૶䈇ᝥ䈩ኈེ䈪䊐䉺䈏䈧䈇
䈩䈇䉎䇯↢ൻቇ෻ᔕ䈲ᱜ⏕䈭᷷ᐲ೙ᓮ䈏᳞䉄䉌䉏䉎䈏䇮ㅢᏱ䈱᷷ᐲ⸘䉕ᜬ䈤ㄟ䉃䈖䈫䈏䈪䈐䈭䈇䈚䇮Ꮢ⽼䈱ᾲ
㔚ኻ䈭䈬䈲䈠䉏⥄り䈱Ყᾲ䈏ᄢ䈐䈇䇯䈠䈖䈪䇮1.5mL䉣䉾䊕䊮䊄䊦䊐ဳ䉰䊮䊒䊦䉼䊠䊷䊑ౝ䈪೑↪䈪䈐䉎䉋䈉䈮
᷷ᐲ⸘ᯏ⢻䈱䊪䉟䊟䊧䉴ൻ䈮㒢ቯ䈚䈢◲⚛䈭ዊဳLSI䉼䉾䊒⵾૞䉕⹜䉂䈩䈇䉎䇯
8.
Magnetic beads actuation for on-chip immunoassay
(Assoc. Prof. T. Ishikawa)
⏛᳇ᓸ☸ሶ䉕↪䈇䈢䊪䊮䉼䉾䊒఺∉䉶䊮䉰
Immunoassay with magnetic beads can provide mobile platform and simplified protocol. Hence it may alter
current main stream, Enzyme Linked Immuno-Solvent Assay (ELISA) which requires clinical equipment and
skilled operation. The aim of this project is to actuate beads on the chip, to complete all the assay steps with no
extra-chip components.
⏛᳇ᓸ☸ሶ䉕↪䈇䈢఺∉෻ᔕᬌ಴䈲䇮ᠲ૞䈏◲න䈪น៝ဳ䈱ⵝ⟎䈫䈜䉎䈖䈫䈏䈪䈐䉎䇯䈖䈱䈢䉄䇮㜞ᐲ䈭ⵝ
⟎䈫ᚻᛛ䉕ⷐ᳞䈜䉎⃻ⴕ䈱ᚻᴺ䇮Enzyme Linked Immuno-Solvent Assay (ELISAᴺ)䉕⟎䈐឵䈋䉎น⢻ᕈ䉕ᜬ䈦
䈩䈇䉎䇯ᧄ⎇ⓥ䈪䈲䇮䉼䉾䊒਄䈪⏛᳇ᓸ☸ሶ䈱ᠲ૞䉕ⴕ䈇䇮ᄖㇱ䈱ㇱຠ䈭䈚䈮఺∉ᬌ಴䈱ᚻ⛯䈐䉕ቢੌ䈘䈞䉎
䈖䈫䉕⋡ᜰ䈚䈩䈇䉎䇯
4.3.2
I.
㩷
㩷
Research highlights in molecular bioinformation research division
㩷㩷
⎇ⓥ䊊䉟䊤䉟䊃
Paper analysis chip for inkjet printer-like clinical
auto-analyzer
䉟䊮䉪䉳䉢䉾䊃䊒䊥䊮䉺ᑼⴊᶧ⥄േಽᨆⵝ⟎䈱䈢䉄䈱㩷
䊕䊷䊌䊷ಽᨆ䉼䉾䊒䈱㐿⊒㩷
Prof. Ryo Miyake
ᢎ᝼ ਃቛ ੫
Clinical auto analyzers for blood examination are essential equipment for medical diagnostics through their accuracy
and fully automatic operation. P-TAS will be an alternative to conventional clinical auto analyzer because they have
precise structure for accurate operation and small enough. As the base materials for P-TAS, Silicon, plastics and PDMS
have been mainly used because of the accuracy of form, but still have cost problem for disposable usage. New concept of
paper-based devices (we call "paper analysis chip") and ink-jet printer-like analyzer for clinical diagnostics was presented.
Even if paper material is lacking for accuracy of form and durability especially against liquid, it has superior advantages,
that is, extremely low cost appropriate for disposable use and suitability for burning sterilization. In order to apply this
material to practical clinical use, fully automated function has to be provided on a paper. Figure 1 shows the image of
sheet-like paper analysis chips, an ink-jet printer-like clinical auto-analyzer and the proto-type of paper chip. As shown in
this figure, after putting a droplet of sample into each sample port, the sheet is inserted into ink-jet printer-like clinical
auto analyzer. The analyzer moves the sheet forward and backward with the reagent and driving liquid dispensing
sequentially. This driving liquid triggers capillary-pumps on the chip to start to suck sample and reagent into micro
channels.
ⴊᶧ⥄േಽᨆⵝ⟎ߪ‫ߩߘޔ‬㜞޿ಽᨆ♖ᐲ߿‫⥄ޔ‬േൻᕈ⢻ߩ߅߆ߍߢ‫ޔ‬ක↪⸻ᢿߦߪᰳ߆ߖߥ޿ⵝ⟎ߣߥߞߡ
޿ࠆ‫৻ޕ‬ᣇ‫ޔ‬ㄭᐕ‫ޔ‬MEMS ടᎿᛛⴚࠍ೑↪ߒߚࡑࠗࠢࡠಽᨆ࠴࠶ࡊ߇‫ޔ‬㜞♖ᐲߥᵹ〝᭴ㅧ߿‫ోޔ‬૕ࠍዊဳൻ
ߢ߈ࠆߎߣ߆ࠄ‫ޔ‬਄⸥⥄േಽᨆߦઍࠊࠆ‫⵬ߪ޿ࠆ޽ޔ‬ቢߔࠆⵝ⟎ᛛⴚߣߒߡᵈ⋡ߐࠇߡ޿ࠆ‫ࠗࡑߩߎޔ⁁⃻ޕ‬
ࠢࡠಽᨆ࠴࠶ࡊߩၮ᧚ߣߒߡߪ‫ޔ‬ඨዉ૕⚛᧚ߢ޽ࠆ Si ߿‫ࠊ૶߇ߤߥ࡯ࡑ࠻ࠬ࡜ࠛࡦࠦ࡝ࠪޔࠢ࠶࠴ࠬ࡜ࡊޔ‬
ࠇߡ޿ࠆ߇‫޿૶ޔ‬ᝥߡߦߔࠆ႐ว‫᧚ޔ‬ᢱࠦࠬ࠻߇ᔅߕߒ߽ක≮ႎ㈽ߦ⷗วߞߡ޿ߥ޿ߣ޿߁໧㗴߇޽ࠆ‫ߎߘޕ‬
ߢᚒ‫ޔߪޘ‬ᭂ߼ߡ቟ଔ‫ޔ‬὾ළᕈߦఝࠇߡ޿ࠆ‫ࠍޠ⚕ޟ‬ၮ᧚ߣߒߚ࠴࠶ࡊ㧔એᓟࡍ࡯ࡄ࡯ಽᨆ࠴࠶ࡊߣ๭߱㧕ߣ‫ޔ‬
ࠗࡦࠢࠫࠚ࠶࠻ࡊ࡝ࡦ࠲ᑼߩක↪ಽᨆⵝ⟎ࠍឭ᩺ߒߡ޿ࠆ‫⺖ߒߛߚޕ‬㗴ߣߒߡ‫⚕ޔ‬ၮ᧚਄ߦ♖ᐲߩࠃ޿ᵹ〝ࠍ
ᒻᚑߔࠆടᎿᛛⴚ߿‫ޔ‬⠴ᶧ࡮⠴࿶ᕈઃਈᛛⴚ‫ߦࠄߐޔ‬ᶧ૕ߩᵹࠇࠍ೙ᓮߔࠆᛛⴚࠍᣂߚߦ㐿⊒ߔࠆᔅⷐ߇޽ࠆ‫ޕ‬
࿑㧝ߪⶄᢙߩࡍ࡯ࡄ࡯ಽᨆ࠴࠶ࡊࠍࠪ࡯࠻⁁ߦߒߚ߽ߩߣ‫࠲ࡦ࡝ࡊ࠻࠶ࠚࠫࠢࡦࠗޔ‬ᑼߩක↪ಽᨆⵝ⟎ߩ᭎ᔨ
࿑‫ޔ‬෸߮⹜૞ߒߚ࠴࠶ࡊߩ౮⌀ࠍ␜ߔ‫⹜ޕ‬ᢱࠍಽᨆ࠴࠶ࡊߩ⹜ᢱࡐ࡯࠻ߦုࠄߒߚߩߜ‫ߦ⟎ⵝࠍ࠻࡯ࠪޔ‬ᝌ౉
ߔࠆ‫ߪ࠻࡯ࠪޕ‬ಽᨆⵝ⟎ౝߢ೨ᓟߦേ߈ߥ߇ࠄ‫ޔ‬㓐ᤨ⹜⮎߿૞േᶧ߇ࠗࡦࠢࠫࠚ࠶࠻ߦࠃࠅṢਅߐࠇࠆ‫ߩߎޕ‬
૞േᶧߩṢਅࠍ߈ߞ߆ߌߦࡐ࡯࠻ߦṢਅߐࠇߚ⹜ᢱ߿⹜⮎ߩๆᒁേ૞ࠍ㐿ᆎߔࠆ઀⚵ߺߣߥߞߡ޿ࠆ‫ޕ‬
(a) Inkjet printer-like clinical auto-analyzer
(b) fabricated chip and dye in the micro-channel
Fig. 1 Concept of inkjet printer-like clinical auto-analyzer and proto-type of paper analysis chip
II.
Hybrid electro-optic polymer/sol-gel waveguide
directional coupler switches
䊊䉟䊑䊥䉾䊄ဳ㔚᳇శቇ䊘䊥䊙䊶䉹䊦䉭䊦శዉᵄ〝ᣇะᕈ
⚿วེ䉴䉟䉾䉼
Prof. Yasufumi Enami
․છᢎ᝼ ᭑ᵄᐽᢥ
Electro-optic (EO) polymer modulators have shown high performance with a large 3 dB bandwidth of 110 GHz
because of low dielectric (refractive index) dispersion of the EO polymers. We demonstrated the lowest half-wave
voltage (VS) of 0.65 V[1]-1.0 V[2] , due to high in-device EO coefficient (142–170 pm/V at 1550 nm wavelength) based
on the hybrid waveguide structure to enable high poling efficiency of the EO polymers. We previously demonstrated
novel Mach-Zehnder intensity modulator with coplanar transition between the sol-gel silica core and the EO polymer
cores [3]. The structure enabled the reduction of the undercladding thickness down to 3.7Pm since the mode tail at
transition region did not reach electrode layers. The coplanar transition structure was applied for novel directional
coupler. We demonstrate electro-optic (EO) switches using transversely tapered hybrid EO polymer/sol-gel waveguide
directional couplers as shown in Fig. 1. The length of the active region critically reduces to 1.5 mm with transverse
tapers, which is shorter by a factor of 5 than the active region with vertical tapers (see Fig. 2). The transition is performed
from a sol-gel core to two EO polymer cores with gradual index changes using gray-scaled photobleaching. The device
shows the lowest switching voltage (Vs) and an active length product (VsLa) of 2.9 Vcm as shown in Fig. 3, with a
guest–host EO polymer AJLS102.
㔚᳇శቇ(EO)䊘䊥䊙ᄌ⺞ེ䈲ૐ䈇⺃㔚ಽᢔ(ዮ᛬₸ಽᢔ)䈮⿠࿃䈚䇮110 GHz(3 dB ᷫ⴮୯)Ꮺၞ᏷䈫䈫䉅䈮㜞䈇ᕈ
⢻䉕␜䈚䈩䈐䈢䇯ᚒ䇱䈲 EO 䊘䊥䊙䈱㜞䈇䊘䊷䊥䊮䉫ല₸䉕น⢻䈫䈜䉎䈢䉄䊊䉟䊑䊥䉾䊄ဳశዉᵄ〝᭴ㅧ䈮ၮ䈨䈒㜞䈇䊂
䊋䉟䉴ౝ EO ଥᢙ (142-170 pm/V ᵄ㐳 1550 nm)䈮䉋䉍ᦨ䉅ૐ䈇 0.65 V[1]-1.0 V[2]䈱ඨᵄ㐳㔚࿶(VS)䉕␜䈚䈩䈐䈢䇯
ᚒ䇱䈲䉹䊦䉭䊦䉲䊥䉦䉮䉝䈫 EO 䊘䊥䊙䉮䉝㑆䈱౒ᐔ㕙శㆫ⒖䉕᦭䈜䉎ᣂ䈚䈇䊙䉾䊊䉿䉢䊮䉻ဳᒝᐲᄌ⺞ེ䉕␜䈚䈢䇯䈖
䈱౒ᐔ㕙ㆫ⒖᭴ㅧ䈲ᣇะᕈ⚿วེ䈮ᔕ↪䈘䉏䈢䇯ᚒ䇱䈲࿑ 1 䈮␜䈜ᮮᣇะ䊁䊷䊌᭴ㅧ䉕᦭䈜䉎䊊䉟䊑䊥䉾䊃ဳ EO 䊘
䊥䊙䊶䉹䊦䉭䊦ዉᵄ〝ᣇะᕈ⚿วེ䉕␜䈜䇯䉝䉪䊁䉞䊑㗔ၞ㐳䈲ᮮ䊁䊷䊌䈫䈫䉅䈮 1.5mm 䉁䈪ᭂ㒢⊛䈮ᷫዋ䈘䉏䉎䋨࿑
2 ෳᾖ䋩䇯䉫䊧䊷䉴䉬䊷䊦శṫ⊕䉕↪䈇䈢ᓢ䇱䈭䉎ዮ᛬₸ᄌൻ䉕↪䈇䈩䉹䊦䉭䊦䉮䉝䈎䉌ੑ䈧䈱 EO 䊘䊥䊙䉮䉝䈻䈱శ
ㆫ⒖䈏㆐ᚑ䈘䉏䉎䇯䊂䊋䉟䉴䈲䇮䉭䉴䊃䊖䉴䊃 EO 䊘䊥䊙 AJLS102 䉕↪䈇࿑ 3 䈮␜䈜䉋䈉䈮ᦨ䉅ૐ䈇䉴䉟䉾䉼䊮䉫㔚࿶(Vs)
䈫 Vs䊶䉝䉪䊁䉞䊑㗔ၞ㐳Ⓧ(VsLa)2.9Vcm 䉕␜䈜䇯
Port 1
Total Power
Top Electrode
Port 2
Passive Active Passive
EO Polymer Cores
Port 2
Port 1
[1] Y. Enami, D. Mathine, C.T. DeRose, R.T. Norwood, J. Luo, A. K-Y. Jen, and N. Peyghambarian, Applied Physics Letters. 91,
093505 (2007).
[2] Y. Enami, C.T. DeRose, D. Mathine, C. Loychik, C. Greenlee, R. A. Norwood, T.D. Kim, J. Luo, Y. Tian, A. K-Y. Jen, and N.
Peyghambarian, Nature Photonics, 1, 180 (2007).
[3] Y. Enami, D. Mathine, C.T. DeRose, and R.A. Norwood, J. Luo, and A. K-Y. Jen, and N. Peyghambarian, Applied Physics Letters,
92,193508, 2008.
[4] Y. Enami, D. Mathine, C.T. DeRose, and R.A. Norwood, J. Luo, and A. K-Y. Jen, and N. Peyghambarian, Applied Physics Letters,
94,213513, 2009.
Transition
Interaction
SolGelCore
SolGelCladding Area
a
Top View
Port 2
Interaction
Area
Top Electrode
Top Buffer
Port 1
a
a b SiO2
Bottom Electrodes
EO Polymer Cores
b
Cross Section
ActiveRegion Fig. 1. Schematic of the hybrid EO
polymer/sol-gel waveguide directional
coupler switches with transverse
transitions. (a) Top view. (b) Cross-
sectional view in the active region.
b
Fig. 2. Top view of optical power
distribution calculated using 3D-BPM. (a)
Hybrid directional coupler with transverse
tapers. (b) Standard hybrid directional
coupler
Fig. 3. Measured low-frequency
transfer function at 1550 nm. (a) Vs La=
2.9 Vcm (d = 8.5 Pm, La = 1.5 mm) for
switch with transverse tapers and
AJLS102. (b) Vs La= 5.7 Vcm (d = 16
Pm, La = 7.2 mm) for standard switch.
III. Fast detection of organophosphorus compound based on
sol–gel silica planar waveguide doped with green
fluorescent protein and organophosphorus compound
✛ ⦡Ⱟశ䉺䊮䊌䉪⾰෸䈶᦭ᯏ䊥䊮ട᳓ಽ⸃㉂⚛䉕䊄䊷䊒䈚䈢䉹䊦
䉭䊦䉲䊥䉦ᐔ㕙ዉᵄ〝䈮䉋䉎᦭ᯏ䊥䊮ൻว‛䈱㜞ㅦᬌ಴
Prof. Yasufumi Enami
․છᢎ᝼ ᭑ᵄᐽᢥ
Figure 1 illustrates the experimental setup of detection of organophosphorus (OP) compound using sol–gel silica
waveguide core doped with a green fluorescence protein (GFP) and organophosphorus hydrolase (OPH). The
single-mode, sol–gel silica optical waveguide biosensor device consists of a sol-gel core doped with GFP and OPH, and a
sol-gel cladding. The inversed ridge core with biomaterials was filled between etched trench for a cladding coated on a
SiO2/Si substrate [1], which is 4 Pm wide, 4 Pm high, and 5 mm long. Laser light of the wavelength of 488nm pumped
the waveguide core doped with the biomaterials through a single mode fiber as shown in Fig. 1. The fluorescence light
of the center wavelength at 508nm was collected through the microscope objective lens on an optical spectrum analyzer
at the far field. Fluorescence change was observed within 15min under the florescence microscope as shown in Fig. 2
after the 10mM OP compound was dropped on the biomaterial-doped sol-gel silica thin film. The fluorescence change at
wavelength of 550, 600, 650, 700nm from the waveguide was monitored at the far field. The fluorescence power at
550nm was reduced to 1/5 of the initial power within 15min for OP compound, the detail experimental results will be
appear in journal paper [2]. The in-line waveguide-fiber sensor was packaged as shown in Fig.3, and which will be
applied for fiber sensor network [3-5].
࿑ 1 䈲✛⦡Ⱟశ䉺䊮䊌䉪⾰(GFP)䈫᦭ᯏ䊥䊮ട᳓ಽ⸃㉂⚛(OPH)䉕㉂Უ䈮⴫಴䈘䈞䈢↢૕䉕䊄䊷䊒䈚䈢䉹䊦䉭䊦䉲
䊥䉦శዉᵄ〝䉮䉝䉕↪䈇䈢᦭ᯏ䊥䊮ᬌ಴䈱䈢䉄䈱ታ㛎♽⛔࿑䉕␜䈜䇯න৻䊝䊷䊄䇮䉹䊦䉭䊦䉲䊥䉦ዉᵄ〝䊋䉟䉥䉶䊮䉰
䊂䊋䉟䉴䈲 GFP 䈫 OPH 䉕䊄䊷䊒䈚䈢䉹䊦䉭䊦䉲䊥䉦䉮䉝䈫䉹䊦䉭䊦䉪䊤䉾䊄䈎䉌᭴ᚑ䈘䉏䉎䇯䊋䉟䉥᧚ᢱ䉕฽䉖䈣䉮䉝䈲
SiO2/Si ၮ᧼਄䉪䊤䉾䊄䈱䉣䉾䉼䊮䉫ㇱಽ䈮䉮䊷䊃䈘䉏䇮䉮䉝䈲 4 Pm ᏷, 4 Pm 㜞, 5 mm 㐳䈱ኸᴺ䉕᦭䈜䉎䇯࿑ 1 䈮␜
䈜䉋䈉䈮ᵄ㐳 488nm 䈱䊧䊷䉱శ䈲න৻䊝䊷䊄శ䊐䉜䉟䊋䉕੺䈚䊋䉟䉥᧚ᢱ䉕䊄䊷䊒䈚䈢శዉᵄ〝䉮䉝䉕ബ⿠䈜䉎䇯ਛᔃ
ᵄ㐳 508nm 䈱ബ⿠శ䈲㗼ᓸ㏜ኻ‛䊧䊮䉵䉕੺䈚䈩㓸శ䈚,㆙ⷞ㊁䈮䈍䈇䈩శ䉴䊕䉪䊃䊤䊛䉝䊅䊤䉟䉱䈪⸘᷹䈜䉎䇯
10mM 䈱 OP ൻว‛䉕䉹䊦䉭䊦䉲䊥䉦⭯⤑਄䈮Ṣਅ䈚䈢ᓟ䈱Ⱟశᄌൻ䉕Ⱟశ㗼ᓸ㏜䈮䈍䈇䈩࿑ 2 䈮␜䈜䉋䈉䈮᷹ⷰ䈚䇮
15 ಽએౝ䈪䈱Ⱟశᷫዋ䉕⏕⹺䈚䈢䇯↢૕䊄䊷䊒శዉᵄ〝䈎䉌䈱Ⱟశᵄ㐳 550䋬600䋬650䋬700nm 䈱᦭ᯏ䊥䊮ൻว‛Ṣ
ਅᓟᄌൻ䉕㆙ⷞ㊁᷹ⷰ䈚䇮15 ಽએౝ䈮Ⱟశ䊌䊪䊷䈏 1/5 䈱୯䈻ᷫ⴮䈜䉎䈖䈫䉕᷹ⷰ䈚䈢䇯⹦⚦䈲ㄭᣣ⺰ᢥឝタ੍ቯ䇯
⋥೉ធ⛯ဳశዉᵄ〝-䊐䉜䉟䊋䉶䊮䉰䉕࿑ 3 䈮␜䈜䉋䈉䈮䊌䉾䉬䊷䉳䊮䉫䈚䈢䇯䈖䉏䉌䈱ታ㛎⚿ᨐ䈎䉌࿑ 4 䈮␜䈜䊐䉜䉟
䊋䉶䊮䉰䊈䉾䊃䊪䊷䉪[3-5]䉕᭴▽੍ቯ䇯
[1] Y. Enami, T. Fukuda, and S. Suye, Applied Physics Letters, 91, 203507, 2007.
[2] Y. Enami, K. Tsuchiya, and S. Sue, submitted to journal paper in 2010.
[3] ᧃା৻㇢‫ޔ‬᭑ᵄᐽᢥ‫Ⱟޟ‬శ࠲ࡦࡄࠢ⾰࠼࡯ࡊ࡮࠱࡞ࠥ࡞ࠪ࡝ࠞశዉᵄ〝ဳࡃࠗࠝ࠮ࡦࠨ‫․ޠ‬㗿 2008- 504145320, NP8018-NT ⑔੗ᄢቇ
․⸵㗿 2008 ᐕ 2 ᦬
[4] ᭑ᵄᐽᢥ, ᧃା৻㇢‫ޟ‬శዉᵄ〝ဳࡃࠗࠝ࠮ࡦࠨⵝ⟎‫․ޠ‬㗿 2009-164201,NP09010-AT, ᐢፉᄢቇ‫⑔ޔ‬੗ᄢቇ౒ห․⸵㗿 2009 ᐕ 7 ᦬ 10 ᣣ
[5] ᭑ᵄᐽᢥ‫ᧃޔ‬ା৻㇢‫ޟ‬శዉᵄ〝ဳࡃࠗࠝ࠮ࡦࠨ࡯߅ࠃ߮ߘࠇࠍ஻߃ߚࡃࠗࠝ࠮ࡦࠨ࡯ࠪࠬ࠹ࡓ‫․ޠ‬㗿 2009-264861, ᐢፉᄢቇ‫⑔ޔ‬੗ᄢ
ቇ౒ห․⸵㗿 2009 ᐕ 11 ᦬ 20 ᣣ
Organophosphorus
Green
Hydrolase(OPH)
Fluorescent
Protein
GFP
Yeast
GFP&OPH-doped
Sol-Gel Core
OP
Compound
Lens
Laser Diode
atO488nm
Optical
Dichroic Filter
Spectrum
LT
442
488
nm
"
Analyzer
Pumping Light in
Fluorescence
Light
Single Mode Fiber
at Far Field
Fig. 3. Example of packaged sol-gel waveguide for in-line
waveguide-fiber sensor network.
Fig. 1. Experimental setup for OP compound detection
using GFP and OPH doped sol-gel core waveguide.
Fig. 2. Fluorescence change from GFP and OPH doped
sol-gel silica thin film under fluorescence
microscope.
Fig. 4. Schematic of biomaterial doped
waveguide-fiber sensor network.
sol-gel
silica
IV.
Endotoxin assay by bioluminescence using mutant firefly
luciferase
ᄌ⇣ဳ䊖䉺䊦䊦䉲䊐䉢䊤䊷䉷䉕೑↪䈚䈢䉣䊮䊄䊃䉨䉲䊮䈱↢‛
⊒శᬌ಴
Assoc. prof.
Kenichi Noda
․છಎᢎ᝼
㊁↰ ஜ৻
Endotoxin (lipopolysaccharide) is a component of Gram-negative bacterial cell
membrane and can be fatal to the human when it enters the bloodstream. Limulus Prof.
amebocyte lysate (LAL) is a coagulation system that is induced by endotoxin . There Takashi Yamada
are several endotoxin detection methods employing the so-called Limulus reaction using ᢎ᝼
LAL.
Methods using turbidimetric and chromogenic end-points, as well as ጊ↰ 㓉
turbidimetric and chromogenic kinetic methods are commonly used for endotoxin
detection . However, the two end-point methods have problems with their sensitivities
(detection limit is about 0.01–0.1 EU/ml) and the kinetic methods have a problem with Prof.
the measurement time (over 60 min).
Akio Kuroda
Bioluminescence detection has a high signal-to-noise ratio compared with other ᢎ᝼
optical detection methods, such as fluorescence and chromogenic detection methods. 㤥↰ ┨ᄦ
We previously constructed a genetically modified North American firefly (Photinus
pyralis) luciferase that generates a luminescence intensity at least 10-fold greater than that the wild-type luciferase [1].
Using this mutant luciferase, it was possible to detect a single Escherichia coli cell [2]. In this study, we report that a
bioluminescence test using mutant firefly luciferase combined with the Limulus reaction provides rapid and high
sensitivity for endotoxin detection (Fig. 1).
The Limulus reaction is an application of the defense mechanism of horseshoe crab for endotoxin detection.
Endotoxin is a component of Gram-negative bacterial cell membrane, and causes fever or shock when it enters the
human blood stream. For endotoxin detection, gel formation or turbidity of the coagulation factor chromogen, or
fluorescence modified-peptide are used. However, these conventional methods have problems with regard to their
measurement time or sensitivity. We recently obtained a mutant firefly luciferase that has a luminescence intensity over
10-fold higher than that of the wild type. Therefore, we developed a new endotoxin detection method that combines the
Limulus reaction and bioluminescence using mutant luciferase. The new method detects 0.0005 EU/ml of endotoxin
within 15 min (Fig.2) [3].
䉣䊮䊄䊃䉨䉲䊮(䊥䊘ᄙ♧㘃)䈲䇮䉫䊤䊛㒶ᕈ䈱⚦⩶ᕈ⚦⢩⤑䈱ᚑಽ⊛䈪䈅䉍䇮ⴊᶧਛ䈮ᷙ౉䈜䉎䈫䇮ੱ૕䈮䈚䈳䈚䈳
⥌๮⊛䈭∝⁁䉕༐⿠䈜䉎䇯 䉦䊑䊃䉧䊆ⴊ⃿᛽಴‛(LAL)䈲䇮䉣䊮䊄䊃䉨䉲䊮․⇣⊛䈮ಝ࿕෻ᔕ䉕⺃⊒䈚䇮䈖䈱 LAL 䉕
૶↪䈚䈢䉣䊮䊄䊃䉨䉲䊮ᬌ಴ᣇᴺ䈏䈜䈪䈮ታ↪ൻ䈘䉏䈩䈇䉎䇯ᣇᴺ䈫䈚䈩䈲ᄢ೎䈚䈩䇮LAL 䈱䉣䊮䊄䊃䉨䉲䊮․⇣⊛䈮
⺃⊒䈘䉏䉎䊒䊨䊁䉝䊷䉷෻ᔕ䉕೑↪䈚䈢⊒⦡ᴺ䇮䈍䉋䈶ಝ࿕෻ᔕ⺖⒟䉕Ộᐲ䈱਄᣹䈫䈚䈩ಽᨆ䈜䉎ᲧỘᤨ㑆ಽᨆᴺ
䈏ਥ䈮೑↪䈘䉏䈩䈇䉎䇯䈚䈎䈚䈭䈏䉌䇮⊒⦡ᴺ䈲ᗵᐲ䈮໧㗴䈏䈅䉍(ᬌ಴㒢⇇䋺⚂ 0.01--0.1EU/ml)䇮ᲧỘᤨ㑆ಽᨆᴺ䈪
䈲䇮቟ోᕈ⹏ଔ್ቯ୯䈪䈅䉎 0.00075EU 䈱᷹ቯ䈮䈲 60 ಽએ਄䉕ⷐ䈜䉎䈫䈇䈉໧㗴䉕ᛴ䈋䈩䈇䉎䇯
↢‛⊒శᬌ಴ᴺ䈲ᄖㇱశḮ䉕ᔅⷐ䈫䈜䉎⊒⦡䊶Ⱟశ䊶Ộᐲ᷹ቯ䈮ኻ䈚䈩䇮⦟ᅢ䈭 Signal/Noise Ყ䉕᦭䈚䈩䈇䉎䇯
ᚒ䇱䈲એ೨䈮䇮㊁↢ဳ䈱 10 ୚એ਄䈱⊒శᒝᐲ䉕᦭䈜䉎㜞ノᐲᄌ⇣ဳ䊦䉲䊐䉢䊤䊷䉷䉕㐿⊒䈚䇮⚦⩶䋱⚦⢩䈎䉌䈱ᬌ
಴䉕น⢻䈫䈚䈩䈇䉎䇯
䈠䈖䈪䇮੹࿁䇮ᚒ䇱䈲䊦䉲䊐䉢䊥䊮ୃ㘼䊕䊒䉼䊄䈫㜞ノᐲᄌ⇣ဳ䊦䉲䊐䉢䊤䊷䉷䉕 LAL ෻ᔕ♽䈮ㆡ↪䈜䉎䈖䈫䈪䇮㜞
ᗵᐲ䈎䈧ㄦㅦ䈭䉣䊮䊄䊃䉨䉲䊮᷹ቯ♽䈱᭴▽䉕ታᣉ䈚䈢䇯䈠䈱⚿ᨐ䇮LAL ෻ᔕ䈫↢‛⊒శ䉕⚵䉂ว䉒䈞䉎䈖䈫䈪䇮15
ಽએౝ䈮 0.0005EU/ml 䈱䉣䊮䊄䊃䉨䉲䊮䉕ᬌ಴น⢻䈭♽䉕⏕┙䈚䈢䇯
[1] Fujii H, Noda K, Asami Y, Kuroda A, Sakata M, Tokida A, Anal Biochem 366:131-136. 2007
[2] Noda K, Matsuno T, Fujii H, Kuroda A et al, Biotechnol. Lett. 30: 1051-1054. 2008
[3] Noda K, Goto H, Murakami Y, Kuroda A, Analytical Biochemistry 397: 152–155. 2010
Fig 1. The principle of detection of endotoxin by
bioluminescence.
Fig. 5 Comparison of endotoxin detection by chromogenic and
bioluminescence methods. The reaction solution contains
about 0.24 mg LAL. ٤, bioluminescence method; ¨, end-point
chromogenic method.
V.
Oriented immobilization of functional proteins on a Si
wafer using the Si-binding protein (Si-tag):
application to biosensing devices
Si ⚿ว䉺䊮䊌䉪⾰䇸
⚿ว䉺䊮䊌䉪⾰䇸Si-tag䇹䉕೑↪䈚䈢ၮ᧼਄䈻䈱䉺䊮䊌䉪⾰
䇹䉕೑↪䈚䈢ၮ᧼਄䈻䈱䉺䊮䊌䉪⾰
࿕ቯൻᴺ䈱㐿⊒䈫䊋䉟䉥䉶䊮䉲䊮䉫ᛛⴚ䈻䈱ᔕ↪
Assist. Prof.
Takeshi Ikeda
․છഥᢎ
ᳰ↰ ਂ
Immobilizing functional proteins to specific sites on a Si device is essential for the
development of new Si-based biodevices. We found that bacterial ribosomal protein L2 Prof.
binds strongly to a Si dioxide (SiO2) surface. We designated this Si-binding protein as Akio Kuroda
Si-tag, which can be used as an adhesive molecule to immobilize functional proteins on ᢎ᝼
Si devices (Fig. 1) [1]. We constructed the fusion protein of Si-tag and 㤥↰┨ᄦ
green-fluorescence protein (GFP) or bioluminescent enzyme luciferase by gene
engineering techniques, and demonstrated that resultant fusion proteins were functionally immobilized on Si materials
(Fig. 2). The binding of Si-tagged fusion proteins to a SiO2 surface does not require chemical modification, pretreatment,
or any specific conditions, allowing rapid immobilization of biomolecules. Time-of-fright secondary ion mass
spectrometry analysis demonstrated well-ordered molecular orientation of the immobilized protein molecules. We also
constructed a fusion protein of Si-tag and antibody-binding staphylococcal protein A for oriented immobilization of
antibodies on a Si wafer (Fig. 3) [2]. The antigen-binding activity of the antibody immobilized via Si-tagged protein A
was about 4- to 5-fold higher than that of the physically adsorbed antibody (Fig. 4), because well-ordered molecular
orientation of the former enhances the interaction between the immobilized antibodies and soluble target antigens. We are
currently developing Si-based ultra-sensitive biosensors that make use of the immobilized biomolecules as highly
specific recognition elements (in collaboration with Nanointegration Research Division).
Si 䊂䊋䉟䉴䈫䊋䉟䉥ಽሶ䉕⛔ว䈚䈢Ⲣว䊂䊋䉟䉴㐿⊒䈱䈢䉄䈮䈲䇮⇣⒳䈱᧚ᢱ㑆䉕ធว䈜䉎ᛛⴚ䈏ᔅⷐ䈫䈭䉎䇯
ᚒ䇱䈲ᄢ⣺⩶䉋䉍 Si ㉄ൻ⤑਄䈮ᒝ䈒⚿ว䈜䉎䉺䊮䊌䉪⾰䇸Si-tag䇹䉕⊒⷗䈚䈢[1]䇯ᧄ䉺䊮䊌䉪⾰䉕⋡⊛䉺䊮䊌䉪⾰䈫ㆮવ
ሶᎿቇ⊛䈮Ⲣว䈘䈞䉎䈖䈫䈪䇮છᗧ䈱䉺䊮䊌䉪⾰䉕 Si 䊂䊋䉟䉴਄䈮࿕ቯൻ䈜䉎䈖䈫䈏䈪䈐䉎(䉲䊥䉮䊮䊋䉟䉥ᴺ; ࿑ 1)䇯ᧄ
ᚻᴺ䈲䇮Si ၮ᧼⴫㕙䈱ൻቇ⊛೨ಣℂ䈭䈬䉕ᔅⷐ䈫䈞䈝䇮ㄦㅦ䈎䈧◲ଢ䈭䊋䉟䉥ಽሶ࿕ቯൻᴺ䈫䈚䈩᦭ല䈪䈅䉎䇯䈖䉏
䉁䈪䈮✛⦡Ⱟశ䉺䊮䊌䉪⾰ GFP 䉇⊒శ㉂⚛䊦䉲䊐䉢䊤䊷䉷䈱࿕ቯൻ䉕ⴕ䈇䇮䈠䈱᦭↪ᕈ䉕ታ⸽䈚䈩䈐䈢(࿑ 2)䇯䉲䊥䉮
䊮䊋䉟䉥ᴺ䈮䉋䉎䉺䊮䊌䉪⾰࿕ቯൻ䈪䈲䇮࿕ቯൻ䈘䉏䈢䉺䊮䊌䉪⾰䈱㈩ะᕈ䈏ឥ䈉䈖䈫䉕ੑᰴ䉟䉥䊮⾰㊂ಽᨆᴺ䈮䉋䉍
⏕⹺䈚䈢䇯䉁䈢䇮᛫૕⚿ว䉺䊮䊌䉪⾰䈪䈅䉎 Protein A 䈫 Si-tag 䈱Ⲣว䉺䊮䊌䉪⾰䉕੺䈚䈩䇮᭽䇱䈭᛫૕ಽሶ䉕ၮ᧼⴫㕙
਄䈮࿕ቯൻ䈜䉎ᣇᴺ䉕㐿⊒䈚䈢(࿑ 3)[2]䇯࿕ቯൻ䈘䉏䈢᛫૕ಽሶ䈱㈩ะᕈ䈱ะ਄䈮䉋䉍䇮ᣢሽ䈱ᚻᴺ䈮Ყ䈼න૏㊂
䈅䈢䉍 5 ୚⒟ᐲ䈱᛫ේ⚿ว㊂䈱Ⴧട䈏ⷰኤ䈘䉏䈢(࿑ 4)䇯⃻࿷䇮䊅䊉㓸Ⓧ⑼ቇ⎇ⓥㇱ㐷䈫౒ห䈪䊋䉟䉥䉶䊮䉲䊮䉫䊂䊋
䉟䉴䈻䈱ᔕ↪䉕ㅴ䉄䈩䈇䉎[3,4]䇯䉁䈢䇮Si-tag Ⲣว䉺䊮䊌䉪⾰䈱◲ଢ䈭⺞⵾䈱䈢䉄ᣂⷙ䉝䊐䉞䊆䊁䉞䊷♖⵾ᴺ䉕㐿⊒䈚
䈢[5]䇯
[1] K. Taniguchi, K. Nomura, Y. Hata, T. Nishimura, Y. Asami, and A. Kuroda, Biotechnol. Bioeng., 96, 1023-1029, 2007
[2] T. Ikeda, Y. Hata, K. Ninomiya, Y. Ikura, K. Takeguchi, S. Aoyagi, R. Hirota, and A. Kuroda, Anal. Biochem., 385, 132-137, 2009
[3] S. Yamatogi, Y. Amemiya, T. Ikeda, A. Kuroda, and S. Yokoyama, Jpn. J. Appl. Phys., 48, 04C188, 2009.
[4] M. Fukuyama, S. Yamatogi, H. Ding, M. Nishida, C. Kawamoto, Y. Amemiya, T. Ikeda, T. Noda, S. Kawamoto, K. Ono, A. Kuroda, and S.
Yokoyama, Jpn. J. Appl. Phys., 49, 04DL09, 2010
[5] T. Ikeda, K. Ninomiya, R. Hirota, and A. Kuroda Protein Expr. Purif.,71, 91-95, 2010
Fig. 2. Immobilization of the fusion protein of Si-tag and
green-fluorescence protein (Si-tagged GFP) on a glass slide. Si-tagged
GFP was retained on the glass slide after washing with a buffer containing
1 M NaCl for 24 h, whereas GFP was washed off.
Fig. 1. Schematic representation of the randomly oriented conventional
immobilization (Left) and the oriented immobilization of a functional
protein on a Si wafer using Si-tag as an adhesive molecule (Right).
Fig. 3. Schematic representation of the oriented immobilization of
antibody molecules via Si-tagged protein A.
Fig. 4. Antigen-binding activity of an antibody immobilized on the Si
wafer via Si-tagged protein A (circles) or conventional adsorption
(squares).
VI.
Selective detection of anti-allergen antibody in serum
using Si ring optical resonators and Si-binding allerge Assist. Prof.
Takeshi Ikeda
molecules
Si 䊥䊮䉫శ౒ᝄེ䈫 Si ⚿ว䉝䊧䊦䉭䊮ಽሶ䉕↪䈇䈢ⴊᷡਛ䈱
᛫䉝䊧䊦䉭䊮᛫૕䈱ᬌ಴
․છഥᢎ
ᳰ↰ ਂ
Assoc. Prof.
In collaboration with Nanointegration Research Division, we have been developing a
Seiji Kawamoto
new Si-based biosensing method using Si ring optical resonators in combination with the
Si-binding protein (Si-tag). Si ring optical resonators act as a biosensor when ಎᢎ᝼
functionalized by proteins using Si-tag (Fig. 1). In this proof-of-principle study, we used ᴡᧄᱜᰴ
a major mite allergen (Der f 2) as a model antigen to detect anti-allergen antibody in
serum of an allergenic rabbit. We constructed the fusion protein of Si-tag and Der f 2 by Prof.
gene engineering techniques. When we injected the solution containing the Si-tagged Kazuhisa Ono
allergen into a PDMS microfluidic channel on the resonators, resonance peaks of the
ᢎ᝼
resonator shifted toward longer wavelength (Fig. 2, arrow 1). This observation indicated
that the Si-tagged allergen bound onto the ring surface as expected. Next, the resonator ዊၛ๺ਭ
was immersed with the serum of the allergenic rabbit. The resonance peaks were further
shifted (Fig. 2, arrow 2), indicating some substance in the serum, most likely Prof.
allergen-specific antibody (anti-Der f 2 IgG), bound to the allergen immobilized on the Akio Kuroda
ring. In order to specify what was adsorbed, the resonator was immersed with a solution ᢎ᝼
containing a secondary antibody (anti-rabbit IgG antibody). The third shift of the
resonance peak (arrow 3) clearly indicated the substance adsorbed from the serum was 㤥↰┨ᄦ
indeed anti-Der f 2 IgG. This study demonstrate that the combination of Si-tag and Si ring optical resonators act as a
functional label-free biosensor and can detect specific antigen-antibody binding. We are currently improving our
biosensing systems for high-throughput detection of biomarkers.
ඨዉ૕䊋䉟䉥Ⲣว䈮䉋䉎䉝䊧䊦䉩䊷䈱ಽሶ⸻ᢿᴺ䈱⏕┙䈮ะ䈔䈩䇮䊅䊉㓸Ⓧ⑼ቇ⎇ⓥㇱ㐷䈫౒ห䈪 Si శ౒ᝄེ䉕
↪䈇䈢䊋䉟䉥䉶䊮䉲䊮䉫ᴺ䈱㐿⊒䉕ⴕ䈦䈢[1,2]䇯Si ⚿ว䉺䊮䊌䉪⾰(Si-tag)䉕੺䈚䈩䇮․⇣⊛‛⾰⹺⼂⢻䉕᦭䈜䉎䉺䊮
䊌䉪⾰䉕䊥䊮䉫శ౒ᝄེ਄䈮࿕ቯൻ䈜䉎䈖䈫䈪䇮䊤䊔䊦䊐䊥䊷䈱䊋䉟䉥䉶䊮䉰䈫䈚䈩ᯏ⢻䈜䉎(࿑ 1)䇯ㆮવሶᎿቇ⊛ᚻᴺ
䈮䉋䉍᭴▽䈚䈢 Si-tag Ⲣว䉻䊆䉝䊧䊦䉭䊮(Der f 2)ṁᶧ䉕౒ᝄེ਄䈮ㅍᶧ䈚䈢䈫䈖䉐䇮ㅦ䉇䈎䈮౒ᝄᵄ㐳䈱䉲䊐䊃(࿑ 2,
⍫ශ 1)䈏ⷰኤ䈘䉏䈢䈖䈫䈎䉌䇮Si-tag Ⲣว䉝䊧䊦䉭䊮䈏䊥䊮䉫਄䈮⚿ว䈚䈢䈖䈫䈏⏕⹺䈘䉏䈢䇯ᰴ䈮䇮䉝䊧䊦䉭䊮ᗵ૞䈚
䈢䉡䉰䉩䈱ⴊᷡ䉕ㅍᶧ䈚䈢䈫䈖䉐䇮䈘䉌䈭䉎౒ᝄᵄ㐳䈱䉲䊐䊃(⍫ශ 2)䈏ⷰኤ䈘䉏䈢䇯䈖䈱䉲䊐䊃䈏ⴊᷡਛ䈱᛫䉝䊧䊦䉭䊮
᛫૕䈱⚿ว䈮䉋䉎䉅䈱䈪䈅䉎䈖䈫䉕⏕⹺䈜䉎䈢䉄䇮䉡䉰䉩᛫૕䈮⚿ว䈜䉎ੑᰴ᛫૕䉕ㅍᶧ䈚䈢䈫䈖䉐䇮ਃᐲ⋡䈱䉲䊐䊃
(⍫ශ 3)䈏ⷰኤ䈘䉏䈢䇯৻ᣇ䇮䉝䊧䊦䉭䊮ᗵ૞䈚䈩䈇䈭䈇ᱜᏱ䉡䉰䉩ⴊᷡ䉕↪䈇䈢႐ว䈲䇮ਃᐲ⋡䈱䉲䊐䊃䈲ⷰኤ䈘䉏
䈭䈎䈦䈢䇯䈖䉏䉌䈱⚿ᨐ䈎䉌䇮䉝䊧䊦䉭䊮ᗵ૞䉡䉰䉩䈱ⴊᷡਛ䈮ሽ࿷䈜䉎᛫䉻䊆䉝䊧䊦䉭䊮᛫૕䈏䇮䊥䊮䉫਄䈮࿕ቯൻ
䈘䉏䈢 Si-tag Ⲣว䉝䊧䊦䉭䊮䈮⚿ว䈚䈢䈢䉄䇮౒ᝄᵄ㐳䈱䉲䊐䊃䈏↢䈛䈢䈖䈫䈏⏕⹺䈘䉏䈢䇯ᧄ⎇ⓥ䈮䉋䉍䇮䉲䊥䉮䊮䊋䉟
䉥ᴺ䈫䊥䊮䉫శ౒ᝄེ䈱⚵䉂ว䉒䈞䈏䊋䉟䉥䉶䊮䉰䈫䈚䈩᦭ല䈪䈅䉎䈖䈫䈏␜䈘䉏䈢䇯⃻࿷䇮㜞ᗵᐲൻ䉇ᄙ㗄⋡หᤨ᷹
ቯ䉕⋡ᜰ䈚䇮䈘䉌䈭䉎ᡷ⦟䉕ㅴ䉄䈩䈇䉎䇯
[1] S. Yamatogi, Y. Amemiya, T. Ikeda, A. Kuroda, and S. Yokoyama, Jpn. J. Appl. Phys., 48, 04C188, 2009.
[2] M. Fukuyama, S. Yamatogi, H. Ding, M. Nishida, C. Kawamoto, Y. Amemiya, T. Ikeda, T. Noda, S. Kawamoto, K. Ono, A. Kuroda, and S.
Yokoyama, Jpn. J. Appl. Phys., 49, 04DL09, 2010
Fig. 1. Schematic representation of label-free biosensing using Si ring optical resonators Fig. 2. Shifts of the resonance peak of the resonator by the
and Si-binding protein Si-tag. When some substrate binds to the protein immobilized on binding of Si-tagged allergen onto the ring (arrow 1),
the ring via Si-tag, resonance peaks of the resonator shifts toward longer wavelength.
binding of anti-allergen antibody to the allergen (arrow 2),
and binding of anti-rabbit IgG antibody to the anti-allergen
antibody (arrow 3)
VII. Magnetic beads actuation for on-chip immunoassay
䊪䊮䉼䉾䊒఺∉䉶䊮䉰䉕䉄䈙䈚䈢⏛᳇ᓸ☸ሶ㚟േ㩷
䊪䊮䉼䉾䊒఺∉䉶䊮䉰䉕䉄䈙䈚䈢⏛᳇ᓸ☸ሶ㚟േ
Assoc. Prof.
Tomohiro Ishikawa
․છಎᢎ᝼
⍹Ꮉᥓᒄ
Immunoassay with magnetic beads utilizes the same antigen-antibody reaction to the current main stream, Enzyme
linked immune-sorbent assay (ELISA). While it secures comparably high sensitivity to ELISA, its protocol is quite
simple.
To obtain completely on-chip immunoassay platform, a test chip was fabricated and actuation of magnetic beads was
tested. The chip was drawn in a 180 nm standard CMOS process and has two sets of parallel metal patterns in its top
metal layer and the second top diagonally as shown in Fig. 1.
The chip was attached onto ceramic package, wire bonded and covered by epoxy resin except its central part to avoid
electrophoresis. The chip micrograph and its package are shown in Fig. 2. Suspended magnetic beads were applied onto
the chip and observed by a water immersion microscope. As the peripheral circuit switches the current on the parallel
metal pattern, a magnetic bead which has a diameter of 2.8 ȝm was successfully driven as seen in Fig. 3.
The chip surface treatment was optimized and various current patterns given in Fig. 4 were tested. Based on the prior
results, an affinity test is undergoing.
⏛᳇ᓸ☸ሶ䉕↪䈇䈢఺∉෻ᔕᬌ಴䈲䇮⃻࿷ਥᵹ䈫䈭䈦䈩䈇䉎 Enzyme linked immune-sorbent assay (ELISA ᴺ)ߣห
᭽ߦ‫ޔ‬᛫ේ᛫૕෻ᔕࠍᬌ಴ߦ೑↪ߔࠆ䇯䈖䉏䈮䉋䉍 ELISA ᴺห╬䈱㜞䈇ᗵᐲ䉕⏕଻䈚䈩䈇䉎৻ᣇ䈪䇮ᠲ૞䈲ᭂ䉄䈩
◲න䈫䈇䈉․㐳䈏䈅䉎䇯䈘䉌䈮ቢో䈮䉥䊮䉼䉾䊒䈪ᬌ಴䉕ⴕ䈉䈖䈫䉕⋡ᜰ䈚䈩䇮䊁䉴䊃䉼䉾䊒䉕૞ᚑ䈚䈩䇮䉼䉾䊒਄䈪䈱⏛
᳇ᓸ☸ሶ䈱㚟േ䉕⹜䉂䈢䇯䊁䉴䊃䉼䉾䊒䈲 180 nm 䈱ᮡḰ CMOS 䊒䊨䉶䉴䈪૞ᚑ䈘䉏䇮࿑䋱䈮␜䈜䉋䈉䈮䇮ᦨ਄ጀ䈫ੑጀ
⋡䈱㊄ዻ㈩✢ጀ䈮⋥੤䈜䉎ਗⴕ䊌䉺䊷䊮䉕㈩⟎䈚䈢䇯
䈖䈱䉋䈉䈮䈚䈩૞ᚑ䈚䈢䉼䉾䊒䉕䉶䊤䊚䉾䉪䊌䉾䉬䊷䉳䈮࿕ቯ䇮䊪䉟䊟䊗䊮䊂䉞䊮䉫䈪㈩✢䈚䈢䈱䈤䇮㔚᳇ಽ⸃䉕㒐䈓䈢
䉄䈮ਛᄩㇱ䉕㒰䈇䈩䉣䊘䉨䉲䊧䉳䊮䈪ኽᱛ䉕ⴕ䈦䈢䇯࿑䋲䈮䉼䉾䊒䈱౮⌀䈫ኽᱛ䈱᭽ሶ䉕␜䈜䇯⏛᳇ᓸ☸ሶ䉕ಽᢔ䈚
䈢⹜ᢱ䉕Ṣਅ䈚䇮᳓ᶐ䊧䊮䉵䉕↪䈇䈩㗼ᓸ㏜ⷰኤ䉕ⴕ䈦䈢䇯࿑䋳䈮␜䈚䈢䉋䈉䈮䇮๟ㄝ࿁〝䈏ਗⴕ䊌䉺䊷䊮䈮ᵹ䈜㔚ᵹ
䉕ಾ䉍ᦧ䈋䉎䈱䈮઻䈦䈩䇮⋥ᓘ 2.8 Pm ߩ⏛᳇ᓸ☸ሶ䉕േ䈎䈜䈖䈫䈏䈪䈐䈢䇯
䉼䉾䊒䈱⴫㕙ಣℂ䈱ᦨㆡൻ䈫࿑䋴䈮␜䈚䈢䉋䈉䈭䈘䉁䈙䉁䈭㔚ᵹ䊌䉺䊷䊮䈱Ყセᬌ⸛䉕ⴕ䈦䈢䇯䈖䉏䉌䈱⚿ᨐ䈮ၮ䈨
䈇䈩䇮⃻࿷䇮⴫㕙ⷫ๺ᕈ䈱⸘᷹䈱ᬌ⸛䉕ട䈋䈩䈇䉎䇯
[1]
T. Ishikawa, K. Johguchi and F. Kaneko, “Actuation of Magnetic Beads on a CMOS chip for Biological Application”, Jpn. J.
Appl. Phys Vol. 49, 04DL08(2010)
Fig. 2: A chip shot and the package Fig. 1. Block diagram of the test chip.
Fig. 3. A bead (white arrow) was dragged
as the current (yellow arrow) switched
(1)
Single
(2)
Anti-parallel
(3)
Parallel
(4)
All but one
flipped
Fig. 4. Various current patterns are tested to see the dragging
force
VIII. Biochemical quantization by microfluidic droplet for
development of microbe counter
Assoc. Prof.
Yuji Murakami
․છಎᢎ᝼
᧛਄⵨ੑ
ᓸዊᵹ૕ਛ䈱ᶧṢ䉕↪䈇䈢↢ൻቇ⊛㊂ሶൻ䈮䉋䉎
ᓸ↢‛䉦䉡䊮䉺䊷
Hygienic management is very important for food and medical field. ATP method is rapid and conventional, but it has
fundamental error, due to poor stability of enzyme, and remaining of background ATP. We proposed a biochemical
quantization method which handles microdroplets consisting one or less sample molecule or particle. In this study, we
perform biochemical quantization of the sample by microfluidic droplet for the microbe counter development.
We designed Microbe Counter (Fig. 1.). The counter consists of a mixer, a microdroplet generator, and a luminescence
detector. If sample can be divided into microdroplets consisting one or less microbe (biochemical quantization), the
number of droplets which have ATP more than a certain threshold is the number of microbe. Our numerical targets
required for practical food hygiene are 1 hour for inspection time, 103 - 105 cells/ml for microbe turbidity range, 105
droplets of 1 nanoliter should be formed within an hour, that means more than 1700 droplets/min.
Custom-made molds were formed by our newly developed rapid prototyping method, and employed to give PDMS
microfluidic chips. After many trials with various chip design, a single yeast cell was encapsulated in a 1 nl microfluidic
droplet at a rate of 5000 droplets/min as shown in Fig. 2, which successfully accomplished the above mentioned
numerical targets.
The results also show that there are certain convections in a droplet parallel to main flow axis direction. A time
integrated image of biochemiluminescence from a whole flow path was observed by a cooled CCD camera system. All
reagents were flowed at the condition of water-in-oil droplet formation. Even though luciferase is easy to lose its activity
in a complicated chemical and physical condition, water-in-oil microdroplet gave biochemiluminescence as shown in Fig.
3. Salad oil was better as external flow to keep luciferase activity than fluorinated oil. The distribution of luminescence
intensity was able to be measured, which is useful to know where photomultiplier tube should be located in further
system development.
㘩ຠ⵾ㅧᎿ⒟䈮䈍䈔䉎ᓸ↢‛ᳪᨴ䈱ㄦㅦᬌᩏ䈲㕖Ᏹ䈮㊀ⷐ䈪䈅䉎䇯䈚䈎䈚ㄦㅦᴺ䈪⍮䉌䉏䉎
P ᴺ䈲ቯ㊂ᕈ䈮
ਲ䈚䈇䇯ᚒ䇱䈲ᓸዊᶧṢ䈫
P ⊒శ෻ᔕ䉕⚵䉂ว䉒䈞䈢ㄦㅦ䈭ᓸ↢‛ቯ㊂ⵝ⟎䉕᭴ᗐ䈚䇮ⵝ⟎㐿⊒䉕ⴕ䈦䈩䈇䉎䇯
䈖䈖䈪䈲ᶧṢౝ䈮ᓸ↢‛䈏ᄙ䈒䈩䉅䋱୘䈫䈭䉎䉋䈉䈮Ꮧ㉼䇮ಽഀ䈚䇮㜞ᗵᐲ䈭⊒శᴺ䈫⚵䉂ว䉒䈞䈩䉦䉡䊮䊁䉞䊮䉫䊝䊷
䊄䈪ቯ㊂䈜䉎䈫䈇䈉䇮↢ൻቇ⊛㊂ሶൻᴺ䉕ᣂⷙ䈮ឭ᩺䈚䇮㐿⊒䈜䉎䈖䈫䈫䈚䈢(Fig. 1)䇯⛔⸘⊛䈭⼏⺰䈫ታ↪਄䈱ⷐ᳞઀
᭽䈎䉌䇮1 ᤨ㑆䈮 105 ୘䈱ᶧṢ䉕ᒻᚑ䇮ಣℂ䈚䈭䈔䉏䈳䈭䉌䈭䈇䈖䈫䈏䉒䈎䈦䈢䇯
⃻ታ⊛䈭೑↪ᒻᘒ䈎䉌䇮ᦨ⚳⊛䈮኿಴ᚑᒻ䈱૶䈇ᝥ䈩ᵹ〝䉼䉾䊒䈫䈚䈩䈱⵾ຠൻ䉕᭴ᗐ䈚䈩䈇䉎䇯䈖䈱䈢䉄䇮኿಴
ᚑᒻน⢻䈭ᵹ〝ᒻ⁁䈱․ᓽ䈮䉋䈦䈩৻ㅪ䈱ಣℂ䉕⚳䈋䈢䈇䇯ᚑဳ㊄ဳ䉮䉴䊃䈲㜞ଔ䈪䈅䉍䇮ᢙ୘䊧䊔䊦䈱⹜૞䈮䈲ㆡ
䈘䈭䈇䈱䈪䇮䉁䈝㍌ဳ䈱ㄦㅦ⹜૞ᴺ䉕㐿⊒䈚䈢䇯䈖䈱ᣇᴺ䈮䉋䉍⒳䇱䈱ᵹ〝ᒻ⁁䉕⹜䈚䈢⚿ᨐ䇮⋡ᮡ୯䉋䉍චಽ㜞ㅦ
䈮ᶧṢ䉕ᒻᚑ䈚䈩䈠䈱ਛ䈮ᓸ↢‛䉕㐽䈛ㄟ䉄䉎䈖䈫䈮ᚑഞ䈚䈢(Fig. 2)䇯䉁䈢ᵹ〝ౝᶧṢ䈎䉌䈱ൻቇ⊒శนⷞൻ䈮ᚑ
ഞ䈚(Fig. 3)䇮䈠䈱ᷙวᐲว䈇⹏ଔ䈎䉌䇮⥄ὼ᜛ᢔ䈣䈔䈪䈭䈒䇮Ⓧᭂ⊛䈭ᷙว䉕ⴕ䉒䈭䈔䉏䈳੹࿁䈱⋡⊛䈮චಽ䈭ൻ
ቇ⊒శ䈏ᓧ䉌䉏䈭䈇䈖䈫䈏䉒䈎䈦䈢䇯੹ᓟ䇮ᷙว䇮ṁ⩶䇮శ㔚ሶჇ୚▤䈪䈱⊒శᬌ಴䈭䈬䉕⚵䉂ㄟ䉂䇮䉲䉴䊁䊛䉕ቢ
ᚑ䈘䈞䇮䈘䉌䈮ᣂⷙ䈭ᷙวଦㅴᯏ᭴䈭䈬䉕ᬌ⸛䈚䈩䇮ᓸ↢‛䉦䉡䊮䉺䊷䉕ቢᚑ䈘䈞䈩䈇䈒䇯
Microbe ATP
+
Background ATP
Microbe ATP
Luminescence
Intensity
enz
oil
Error due to instability
of luciferase.
Luminescence
Intensity
ym
e
Background ATP
Error due to instability of luciferase
can be omitted.
Threshold
Background
waste
Sample
Sample
: Background ATP
oil
Detector
n
tio
olu
ss
i
s
ly
Detector
: Microbe ATP
Conventional
This Method
Fig. 1 Schematic diagram of Microbe Counter by proposed Biochemical Quantization method.
Flow
Luciferase
Oil
ATP
Oil
Yeast
Fig. 2 Yeast cell quantization. oil (4 µl/min), and yeast
suspension in 50% sucrose aqueous (4 µl/min)
were flowed by syringe pumps into a chip.
2mm
Fig. 3 CCD observation of biochemiluminescence in
microfluidics droplet.
4.4 Nanomedicine Research Division
㓸Ⓧක⑼ቇ⎇ⓥㇱ㐷㩷 㩷
Research projects in Nanomedicine Research Division can be categorized into five research activities. 1)
Investigations of pathogenesis of virus induced hepatitis and its treatments. 2) Developments of new diagnostic
means with devices, such as surface plasmon resonance, for tumors and allergy, etc. 3) Development of a device for
sucking test for dementia elderly persons. 4) Development of regenerative medical technologies, by producing
bio-materials with high affinities for bones, tissues and cells, so as to construct a superior scaffold for cell growth.
5) Development of a cleanser or disinfectant containing immobilizing aseptic substance.
㓸Ⓧක⑼ቇ⎇ⓥㇱ㐷䈪䈲䇮ਥ䈫䈚䈩 1)䊍䊃⢄⚦⢩䉕ᜬ䈧䊍䊃ဳ䉨䊜䊤䊙䉡䉴䈫✂⟜⊛ㆮવሶ⸃ᨆ䈮䉋䉎䉡䉟䊦
䉴⢄Ἳ䈱∛ᘒ⸃᣿䈫ᴦ≮䇮2)⴫㕙䊒䊤䉵䊝䊮౒㡆⚦⢩䉶䊮䉰䊷䈭䈬䉕೑↪䈚䈢䈏䉖䇮䉝䊧䊦䉩䊷䇮䈭䈬䈱ᣂ䈚䈇
⸻ᢿᛛⴚ㐿⊒䇮3) ⹺⍮㜞㦂⠪䈱ญ⣧ᯏ⢻ቯ㊂䊂䊋䉟䉴䈱㐿⊒䇮4)↢૕ⷫ๺ᕈ䈱㜞䈇᧚ᢱ䈫᭴ㅧ䈮䉋䉍㛽䉇⚦
⢩䈱Ⴧᱺ䈮ㆡ䈚䈢䉴䉦䊐䉤䊷䊦䊄䉕㐿⊒䇮೑↪䈜䉎䈖䈫䈭䈬䈮䉋䉎ౣ↢ක≮ᛛⴚ㐿⊒䇮5)࿕ቯൻ᛫⩶೷䈱ᵞ೷䈍
䉋䈶ᶖᲥ⮎䈻䈱ᔕ↪䈫䈇䈉 5 䈧䈱㗔ၞ䈱⎇ⓥ䈮ข䉍⚵䉖䈪䈇䉎䇯
4.4.1
Research projects in nanomedicine research division
㓸Ⓧක⑼ቇ⎇ⓥㇱ㐷䈮䈍䈔䉎⎇ⓥ䊒䊨䉳䉢䉪䊃
The outlines of research projects at the Nanomedicine Research Division are as follows.
⃻࿷ⴕ䉒䉏䈩䈇䉎㓸Ⓧක⑼ቇ⎇ⓥㇱ㐷䈮䈍䈔䉎⎇ⓥ䊒䊨䉳䉢䉪䊃䈱ਥ䈭䉅䈱䈱᭎ⷐ䉕⚫੺䈜䉎䇯
1. Development of novel therapy against hepatitis viruses using human hepatocyte
chimeric mice (Prof. K. Chayama)
䊍䊃⢄⚦⢩䉨䊜䊤䊙䉡䉴䉕ᔕ↪䈚䈢⢄Ἳ䉡䉟䊦䉴䈮㑐䈜䉎ᴦ≮䈱㐿⊒㩷
We established the reverse genetics system of both hepatitis B virus and hepatitis C virus using human hepatocyote
hchimeric mice. We are currently investigating mechanisms of drug resistance using this mice system. We are also trying
to develop new therapeutic drugs that overcome such resistance in corporation with pharmaceutical companies.
ᚒ䇱䈲ੱ⢄⚦⢩䉨䊜䊤䊙䉡䉴䉕↪䈇䈢⢄Ἳ䉡䉟䊦䉴䈱ᗵᨴታ㛎♽䉕↪䈇䈩䇮਎⇇䈮వ㚟䈔䈩Bဳ⢄Ἳ䉡䉟䊦䉴䇮Cဳ
⢄Ἳ䉡䉟䊦䉴䈱䊥䊋䊷䉴䉳䉢䊈䊁䉞䉾䉪䉴䈱♽䉕⏕┙䈚䈢䌿䈖䈱䊥䊋䊷䉴䉳䉢䊈䊁䉞䉾䉪䉴䈱ᚻᴺ䉕ᔕ↪䈚䈩ฦ⒳ᴦ≮⮎䈮
ኻ䈜䉎䉡䉟䊦䉴䈱⠴ᕈᄌ⇣䈱䊜䉦䊆䉵䊛䉕⸃᣿䈜䉎䈫䈫䉅䈮䇮⵾⮎ડᬺ䈫䉅ㅪ៤䈚䈩⠴ᕈᩣ䈮ኻ䈜䉎ᣂⷙᴦ≮⮎䈱㐿⊒
䉕ⴕ䈦䈩䈇䈒䇯
2. Analysis of hepatitis virus replication and development of anti-viral therapy
(Prof. K. Chayama)
⢄Ἳ䉡䉟䊦䉴Ⴧᱺᯏ᭴䈱⸃᣿䈫ᴦ≮䈻䈱ᔕ↪
Hepatitis viruses escape from innate anti-viral immunity by developing multiple anti-interferon machineries.
Understanding of such mechanism is important for the development of anti-viral therapy. We analyze change in
mRNA expression and micro-RNA expression using cDNA micro array. We attempt to develop anti-viral therapy
based on these understandings.
Bဳ⢄Ἳ䉡䉟䊦䉴䉇Cဳ⢄Ἳ䉡䉟䊦䉴䈱ᗵᨴ䈮䉋䈦䈩ᒁ䈐⿠䈖䈘䉏䉎⥄ὼ఺∉♽䈱⾮ᵴ䈫䇮䈠䉏䉕స᦯䈚䈩ᜬ⛯
ᗵᨴ䉕ᚑ┙䈘䈞䉎䉡䉟䊦䉴䈱interaction䈱䊜䉦䊆䉵䊛䉕⸃᣿䈜䉎䇯䊙䉟䉪䊨䉝䊧䉟䈭䈬䉕↪䈇䈩䉡䉟䊦䉴ᗵᨴ䉇䉟䊮
䉺䊷䊐䉢䊨䊮ᛩਈ䈮䉋䈦䈩⿠䈖䉎ㆮવሶ䈱⊒⃻䇮micro RNA䈱⊒⃻䈱ᄌൻ䈭䈬䉕✂⟜⊛䈮⸃ᨆ䈚䇮䉟䊮䉺䊷䊐䉢䊨
䊮䈱૞↪ᯏᐨ䉇䉡䉟䊦䉴䈱᛫䉟䊮䉺䊷䊐䉢䊨䊮ᯏ᭴䉕᣿䉌䈎䈮䈜䉎䈖䈫䈮䉋䉍䇮䉋䉍ലᨐ䈱㜞䈇᛫䉡䉟䊦䉴ᴦ≮䈱㐿
⊒䉕ⴕ䈉䌿
3. Establishment of small animal model of viral hepatitis (Prof. K. Chayama)
఺∉ౣ᭴▽䈮䉋䉎ዊേ‛⢄Ἳ䊝䊂䊦䈱૞⵾
Immune reaction is important for the development of viral hepatitis. We try to develop small animal model
using human hepatocyte chimeric mice and cytotoxic T-cell clone and helper T cell clone. Such models are
important for the development of anti-inflammatory drug development.
⢄Ἳ䉡䉟䊦䉴䈱ᗵᨴ䈮䉋䉍⢄∔ᖚ䈏⊒∝䈜䉎䈢䉄䈮䈲ኋਥ䈱఺∉෻ᔕ䈏ᔅ㗇䈪䈅䉎䌿䉁䈢䇮䉟䊮䉺䊷䊐䉢䊨䊮䈭
䈬䈱ᴦ≮ലᨐ䉅Ἳ∝䈱⒟ᐲ䈮䉋䉍⇣䈭䉎䈖䈫䈎䉌䇮⢄⤳䈮ᶐẢ䈚䈩䈇䉎఺∉ᜂᒰ⚦⢩䈏ᴦ≮ലᨐ䉕Ꮐฝ䈜䉎૗䉌
䈎䈱ᓎഀ䉕ᨐ䈢䈚䈩䈇䉎䈖䈫䈏ᗐቯ䈘䉏䉎䌿䊍䊃⢄⚦⢩䉨䊜䊤䊙䉡䉴䈫⚦⢩㓚ኂᕈT⚦⢩䇮䊓䊦䊌䊷T⚦⢩䈭䈬䈱䉪䊨
䊷䊮䉕᮸┙䈚䇮䊍䊃䈱⢄⤳䈪⿠䈖䈦䈩䈇䉎Ἳ∝䈫ห䈛⁁ᘒ䈱䊝䊂䊦䉕૞⵾䈚䇮ᘟᕈ⢄Ἳ䇮⢄⎬ᄌ䇮⢄⚦⢩≸䈮⥋䉎
∛ᘒ䈱⸃᣿䉕ⴕ䈉䌿
4. Development of cell culture system of hepatitis virus replication
(Prof. K. Chayama)
ၭ㙃⚦⢩䉕↪䈇䈢⢄Ἳ䉡䉟䊦䉴䈱Ⴧᱺ䇮ၭ㙃♽䈱⏕┙
Emergence of drug resistant virus strain is a serious problem in the treatment of chronic hepatitis B patients. We
will establish cell lines which stably produce nucleotide analogue (lamivudine, adefovir, entecavir) resistant
hepatitis B virus. Using these cell lines, we will try to find out novel substances which effectively suppress the
replication of the virus. We also try to clone hepatitis C virus strains which are resistant against anti-viral drugs
such as protease inhibitor and polymerase inhibitor.
䊤䊚䊑䉳䊮䋬䉝䊂䊐䉤䊎䊦䋬䉣䊮䊁䉦䊎䊦䋬䊁䊉䊐䉤䊎䊦䈭䈬䈱⒳䇱䈱ᩭ㉄䉝䊅䊨䉫⠴ᕈ䉡䉟䊦䉴䉕↥↢䈜䉎⚦⢩
䉕᮸┙䈚䋬䈖䉏䉌䈱ኻ䈜䉎ᣂⷙ᛫䉡䉟䊦䉴⮎䈱ലᨐ್ቯ䈏⸃ᨆ䈪䈐䉎♽䉕⏕┙䈜䉎䇯䈖䉏䉌䈱⚦⢩䈱䉡䉟䊦䉴↥
↢⢻䉕ะ਄䈘䈞䋬㜞ᐲ䈱䉡䉟䊦䉴↥↢䈏น⢻䈭⚦⢩ᩣ䉕᮸┙䈜䉎䋮Genotype 1b ဳ䈱 C ဳᕆᕈ⢄Ἳᖚ⠪䉋䉍
HCV ో㐳䉕䉪䊨䊆䊮䉫䈚䋬HepG2䋬Huh7 䈭䈬䈱⢄≸⚦⢩ᩣ䈅䉎䈇䈲ਇᱫൻ⢄⚦⢩䈮ㆮવሶዉ౉䈚䋬⚦⢩ౝ䈪ᗵ
ᨴ䊶ⶄ⵾䈜䉎 HCV 䉪䊨䊷䊮䉕หቯ䈜䉎䇯
5. Development of novel anti-hepatitis virus therapy based on analysis of human
genomes (Prof. K. Chayama)
䊍䊃䉭䊉䊛⸃ᨆ䈮䉋䉎ᣂⷙ⢄Ἳᴦ≮⮎䈱ഃ↢
Effect of anti-viral therapy is different from patient to patient. We analyzed SNPs of human genome and found
that type of HLA-DP is related with chronic infection of hepatitis B virus(Nature Genetics 2009). We also found
that the effect of interferon therapy depends on the SNP of MAPKAPK3 gene (Gastroenterology 2009). We further
analyze SNPs to identify genes related with chronic hepatitis virus infection and anti-viral therapy to develop novel
strategy to treat patients with chronic hepatitis virus infection.
ᚒ䇱䈲䈖䉏䉁䈪䈮 B ဳ⢄Ἳ䉡䉟䊦䉴䈱ᜬ⛯ᗵᨴ䈮㑐ㅪ䈜䉎ㆮવሶ䈫䈚䈩 HLA-DP(Nature Genetics 2009)䇮C ဳ
⢄Ἳ䉡䉟䊦䉴䈮ኻ䈜䉎䉟䊮䉺䊷䊐䉢䊨䊮䈱ᴦ≮ലᨐ䈫㑐ㅪ䈜䉎ㆮવሶ䈫䈚䈩 MAPKAPK3(Gastroenterology)䉕ห
ቯ䈚䈩䈐䈢䇯䊍䊃䉭䊉䊛䈮ሽ࿷䈜䉎 SNP 䉕✂⟜⊛䈮⸃ᨆ䈚䇮B ဳ⢄Ἳ䉡䉟䊦䉴䇮C ဳ⢄Ἳ䉡䉟䊦䉴䈱ᜬ⛯ᗵᨴ䈮㑐
ㅪ䈜䉎ㆮવሶ䉕หቯ䈜䉎䌿䉁䈢䇮䉟䊮䉺䊷䊐䉢䊨䊮ᴦ≮䈱ലᨐ䈮㑐ㅪ䈜䉎ㆮવሶ䉕หቯ䈜䉎䌿䈖䉏䉌䈱ㆮવሶ䈱ᯏ
⢻䉕⸃ᨆ䈚䇮䉡䉟䊦䉴䉕ឃ㒰䈚䈉䉎ᴦ≮䈱㐿⊒䈮⚿䈶䈧䈔䉎䌿
6. Development of personalized treatments against hepatobiliary malignancies
(Prof. K. Chayama)
⢙㆏♽ᖡᕈ⣲≌䈮ኻ䈜䉎୘೎ൻᴦ≮䈱㐿⊒
Prognosis of hepatobiliary malignancy is very poor. We previously identified MRP2 which plays important role in the
drug resistance. We will establish cell lines which are resistant against anti-cancer chemotherapy to establish new
treatment regimen to cope with drug resistant malignancies.
⢙㆏♽ᖡᕈ⣲≌䈲䇮ᣂⷙ᛫≸೷䈱ዉ౉䈮䉋䈦䈩ᴦ≮ᴺ䈱ㆬᛯ⢇䈏ᐢ䈏䈦䈢䈏䇮ଐὼ䈫䈚䈩੍ᓟ䈏ᖡ䈇∔ᖚ䈪䈅䉎䇯
᛫≸೷⠴ᕈ䈱₪ᓧ䈲੍ᓟ䈱ᖡൻ䈮㑐ଥ䈜䉎㊀ⷐ䈭࿃ሶ䈱 1 䈧䈪䈅䉎䇯ᚒ䇱䈲䈖䉏䉁䈪䈱⎇ⓥ䈮䈍䈇䈩䇮᛫≸೷⠴ᕈ
䈮㑐䉒䉎 transporter 䈫䈚䈩 MRP2 䉕ႎ๔䈚䈩䈐䈢(International Journal of Oncology)䇯ⴚ೨䈱⚵❱ᬌ૕䉕↪䈇䈩䇮᛫≸
೷䈱ข䉍ㄟ䉂䇮ઍ⻢╬䈮㑐ଥ䈜䉎ฦ࿃ሶ䉕ᬌ⸛䈚䇮ᴦ≮෻ᔕᕈ䈫䈱㑐ㅪ䉕ᬌ⸛䈚䈩䈇䉎䇯䈖䉏䉌䈱ㆮવሶ䈱⸃ᨆ䈮䉋䉍䇮
᛫≸೷ㆬᛯ䉇ᛩਈᴺ䈮䈍䈔䉎୘೎ൻᴦ≮䉕⋡ᜰ䈚䈩䈇䉎䇯
7. Development of anti-stromal therapy by molecular targeting drug to inhibit
metastasis of gastrointestinal cancer (Prof. K. Chayama)
ᶖൻེ≸䈱⣲≌㑆⾰䉕ᮡ⊛䈫䈚䈢ᣂ䈚䈇ᴦ≮ᴺ䈱㐿⊒
We have previously reported that platelet-derived growth factor (PDGFR) was expressed by cancer-associated
fibroblasts (CAFs) and lymphatic endothelial cells of human gastrointestinal cancer (Am J Pathol 2006, Cancer Sci
2010). Inhibition of PDGFR phosphorylation by imatinib resulted in significant reduction of growth and metastasis of
gastrointestinal cancer (Cancer Res 2005, Int J Cancer 2010). Recently, we have shown that mesenchymal stem cells
(MSCs) migrate to tumor sites, incorporate into tumor stroma, and subsequently interact with tumor cells (Int J Cancer
2010). Therefore, MSC is one of the candidate of origin of CAFs. We will examine molecular mechanisms of
interaction between stromal cells and cancer cells in the tumor microenvironment to develop new strategy for molecular
targeting therapy.
ᚒ䇱䈲䈖䉏䉁䈪䇮ᶖൻེ≸䈱㑆⾰䈮ሽ࿷䈜䉎≸㑐ㅪ✢⛽⧘⚦⢩(carcinoma-associated fibroblast: CAF)䉇䊥䊮䊌▤
ౝ⊹⚦⢩䈏 platelet-derived growth factor receptor (PDGFR)䉕⊒⃻䈚䈩䈍䉍(Am J Pathol 2006, Cancer Sci 2010)䇮
PDGFR 䊥䊮㉄ൻ㒖ኂ⮎䈪䈅䉎䉟䊙䉼䊆䊑䈪㑆⾰෻ᔕ䉕ᛥ䈋䉎䈖䈫䈮䉋䉍䇮≸䈱Ⴧᱺ䊶ォ⒖䉕ᛥ೙䈜䉎䈖䈫䉕ႎ๔䈚䈩䈐
䈢䋨Cancer Res 2005, Int J Cancer 2010)䇯䈘䉌䈮ᚒ䇱䈲ᦨㄭ䇮㛽㜑䈮ሽ࿷䈜䉎㑆⪲♽ᐙ⚦⢩䈏⣲≌⚵❱䈮േຬ䈘䉏䇮
CAF 䈮ಽൻ䈜䉎䈖䈫䉕⷗಴䈚䈢(Int J Cancer 2010)䇯≸⚦⢩䈫⣲≌㑆⾰䈱⋧੕૞↪䈮㑐ਈ䈜䉎Ⴧᱺ࿃ሶ䉇䉰䉟䊃䉦䉟䊮
䉕⸃ᨆ䈚䇮䈠䉏䉌䉕ᮡ⊛䈫䈚䈢ᣂ䈚䈇ಽሶᮡ⊛ᴦ≮ᴺ䉕㐿⊒䈜䉎䇯
8. Molecular mechanism of development of colorectal serrated adenoma
(Prof. K. Chayama)
ᄢ⣺㍍ᱤ⁁⣼⣲䋨serrated adenoma: SA䋩⊒↢䊶ㅴዷ䈱ಽሶ↢‛ቇ⊛䊜䉦䊆䉵䊛䈱⸃᣿
We have reported that mutation of p53 may be involved in early stages of colorectal serrated adenoma, and that
serrated adenoma may have a high malignant potential (J Pathol, Scand J Gastroenterol, Gastrointest Endosc).
Additionally, it has been reported that, in serrated adenoma, the apoptotic cells may decrease, and that the cells may
be over-matured. The aim of our study is to clarify the molecular mechanism of development of colorectal serrated
adenoma by examining the mutation of mitochondrial DNA, which is associated with abnormality of apoptosis, and
mutation of BRAF, K-ras, and so on. We would also like to identify the molecular markers of carcinogenesis via
serrated adenoma.
ᚒ䇱䈲䈖䉏䉁䈪 SA ⊒↢䈱ᣧᦼ䈱Ბ㓏䈮 p53 ㆮવሶ⇣Ᏹ䈏㑐ਈ䈚䇮SA 䈲≸ൻ䈱䊘䊁䊮䉲䊞䊦䈏㜞䈇䈖䈫䈭䈬
䉕ႎ๔䈚䈩䈐䈢䋨J Pathol, Scand J Gastroenterol, Gastrointest Endosc䋩䇯SA 䈪䈲᭴ᚑ⚦⢩䈱䉝䊘䊃䊷䉲䉴䈏ᷫ䉍䇮
ㆊᚑᾫ䈏↢䈛䉎䈖䈫䉅ႎ๔䈘䉏䈩䈇䉎䇯䉝䊘䊃䊷䉲䉴⇣Ᏹ䈮㑐ଥ䈜䉎䊚䊃䉮䊮䊄䊥䉝 DNA ⇣Ᏹ䉇䇮⚦⢩Ⴧᱺ䈮㑐ଥ
䈜䉎 BRAF䇮K-ras ㆮવሶ⇣Ᏹ䈭䈬䈮䈧䈇䈩ᬌ⸛䈚䇮SA 䈱⊒↢䊜䉦䊆䉵䊛䈮䈧䈇䈩᣿䉌䈎䈮䈜䉎䇯䉁䈢䇮SA 䈱≸
ൻ䈱䊙䊷䉦䊷䈭䈬䈮䈧䈇䈩䉅หቯ䈜䉎䇯
9. Study of reflectance type probe-based confocal laser endomicroscopy in
gastrointestinal cancer (Prof. K. Chayama)
ᶖൻ▤≸䈮䈍䈔䉎䊒䊨䊷䊑䉺䉟䊒෻኿ဳ౒ὶὐౝⷞ㏜䈮㑐䈜䉎⎇ⓥ
We have previously reported studies of reflectance type probe-based confocal laser endomicroscopy (pCLE) in
gastrointestinal cancer (Gastrointest Endosc, J Biomed Opt). A reflectance type CLE which does not require a
contrast agent and fluorescence type which requires a contrast agent, have been developed. We investigate the
usefulness of a reflectance CLE, which provide a microscopic image of untreated tissue, in the diagnosis of the
gastrointestinal cancer.
ᚒ 䇱 䈲 䈖 䉏 䉁 䈪 䈮 䊒 䊨 䊷 䊑 䉺 䉟 䊒 ෻ ኿ ဳ ౒ ὶ ὐ ౝ ⷞ ㏜ 䋨 reflectance type probe-based confocal laser
endomicroscopy: reflectance type pCLE䋩䈮䉋䉎ᶖൻ▤≸䈱⸻ᢿ⢻䈮㑐䈜䉎ᬌ⸛䉕ⴕ䈦䈩䈐䈢(Gastrointest
Endosc, J Biomed Opt)䇯CLE 䈮䈲Ⱟశ೷䉕↪䈇䉎 fluorescence type 䈫෻኿శ䈱䉂䈱 reflectance type 䈏㐿⊒䈘䉏
䈩䈇䉎䈏䇮ήᨴ⦡䈪↢૕ౝ䈪⚦⢩䊧䊔䊦䈱ⷰኤ䈏น⢻䈪䈅䉎 reflectance type pCLE 䉕↪䈇ᶖൻ▤≸䈮䈍䈔䉎䈠
䈱↹௝⊛․ᓽ䉕᣿䉌䈎䈮䈚䈩䈇䉎䇯䈖䉏䉌䈱↹௝⊛․ᓽ䉕⸃ᨆ䈚 reflectance type CLE 䈮䉋䉎ౝⷞ㏜⸻ᢿቇ䈱⏕
┙䉕ⴕ䈉䇯
10. Development of surface plasmon resonance (SPR) sensor for living cells
activation (Prof. M. Hide)
↢⚦⢩ᔕ╵䉕᷹ቯ䈜䉎 SPR 䉶䊮䉰䊷䈱㐿⊒
We previously demonstrated that SPR sensor could detect the reaction of living cells, such as mast cells, human
basophils and B cells, in response to stimuli in real time manner without any labeling. In this project, we are
developing two-dimensional SPR sensor, which enable us to detect a single cell reaction, and applying it for
clinical examinations for inflammatory diseases and cancers.
ᚒ䇱䈲䈖䉏䉁䈪䈮⴫㕙䊒䊤䉵䊝䊮౒㡆䋨SPR䋩䉶䊮䉰䊷䉕↪䈇䉎䈖䈫䈪䇮ೝỗ䈮ኻ䈜䉎䊙䉴䊃⚦⢩䉇䊍䊃ⴊ⃿⚦⢩
䈱ᔕ╵䉕䊥䉝䊦䉺䉟䊛䇮ήଚⷅ⊛䇮䈎䈧㜞ᗵᐲ䈮ᬌ಴䈜䉎䈖䈫䈮ᚑഞ䈚䈩䈇䉎䇯⃻࿷䈲䇮䈖䉏䉁䈪䈱ᬌ಴♽䉕ੑᰴ
రൻ䈜䉎䈖䈫䈪䋱⚦⢩䈗䈫䈱⚦⢩ᔕ╵ᬌ಴䉕น⢻䈮䈚䇮≸䉇Ἳ∝ᕈ∔ᖚ䈮ኻ䈜䉎ᣂ䈚䈇⥃ᐥ⸻ᢿⵝ⟎䈻䈱ᔕ↪
䉕⋡ᜰ䈚䈩䈇䉎䇯
11. CO3Ap-collagen Scaffold Biomaterials for Hard Tissue Regeneration
(Prof. M. Okazaki)
⎬⚵❱ౣ↢↪὇㉄䉝䊌䉺䉟䊃䊶䉮䊤䊷䉭䊮↢૕᧚ᢱ
Biocompatible and biodegradable scaffold biomaterials are expected for hard tissue regeneration.
To
develop a new functional scaffold biomaterial reinforced with a frame, nano-crystal CO3Ap was
synthesized and mixed with neutralized collagen gel, and the CO3Ap-collagen mixtures were lyophilized
into sponge scaffolds.
These CO3Ap-collagen sponges with highly functional modification are expected to
be used as hard tissue scaffold biomaterials for the therapeutic purpose of rapid cure, especially for
patients with lower regeneration ability.
㛽ౣ↢⢻ജߩ⴮߃ߚᖚ⠪߿ㇱ૏ߦኻߔࠆౣ↢ක≮ߣߞߡ‫⚦ޔ‬⢩߿ࠬࠞࡈࠜ࡯࡞࠼ߦട߃‫ࠗࠞ࠻ࠗࠨޔ‬
ࡦߩሽ࿷ߪᰳ߆ߖߥ޿‫⎇ᧄޕ‬ⓥߢߪ‫⚦ޔ‬⢩ߩ3ᰴరၭ㙃น⢻ߥᄙሹᕈߩ὇㉄ࠕࡄ࠲ࠗ࠻࡮ࠦ࡜࡯ࠥࡦࠬࡐ
ࡦࠫࠬࠞࡈࠜ࡯࡞࠼ࠍഃ⵾ߒߚ‫ޔߦࠄߐޕ‬㛽Ⴧᱺ࿃ሶߣߒߡߩrhBMP2߿ⴊ▤ᣂ↢࿃ሶࠍᷝടߔࠆߎߣ
ߦࠃࠅ‫ޔ‬㛽ᒻᚑߩଦㅴലᨐࠍᬌ⸛ߒߚ‫⎇ᧄޕ‬ⓥߩ㜞ᯏ⢻ᕈ὇㉄ࠕࡄ࠲ࠗ࠻࡮ࠦ࡜࡯ࠥࡦࠬࠞࡈࠜ࡯࡞࠼
ߪ‫ޔ‬ౣ↢⢻ജߩ⴮߃ߚᖚ⠪ߦ߽᦭ലߢ޽ࠅ‫ߩߘޔ‬ᔕ↪߇ᦼᓙߐࠇࠆ‫ޕ‬
12. A cleanser or disinfectant containing immobilizing aseptic substance
(Prof. H. Nikawa)
࿕ቯൻ᛫⩶೷䈱ᵞ೷䈍䉋䈶ᶖᲥ⮎䈻䈱ᔕ↪㩷
We developed the organosilicon quaternary ammonium salts [3-(triethoxysilyl) - propyldimethyl- octadecyl
ammonium chloride (Etak), a coupling agent with disinfectant. It has broad spectrum antimicrobial activity against both
Gram-positive and –negative bacteria, fungi and yeasts. This compound binds chemically to a variety substrates, making
it a nonleachable antibacterial agent. Its bounded antimicrobial activity has a variety of applications by binding to fibers,
fabric, carpet, sock manufactures (to control odor-causing bacteria), and metal surfaces. We currently investigate to apply
the agent on the skin or oral cavity.
ᚒ䇱䈱ᣂ䈚䈒㐿⊒䈚䈢࿕ቯൻ᛫⩶೷䈪䈅䉎䉥䉪䉺䊂䉲䊦䉳䊜䉼䊦䋳㵥䊃䊥䉣䊃䉨䉲䉲䊥䊦䊒䊨䊏䊦䉝䊮䊝䊆䉡䊛䉪䊨䊤䉟䊄
䋨Etak䋩䈲䋬৻ㇱ䈱䉦䊎㘃䋬䉫䊤䊛㓁ᕈ⩶䋬䉫䊤䊛㒶ᕈ⩶䋬䉣䊮䊔䊨䊷䊒䉕ᜬ䈧䉡䉞䊦䉴䈮ኻ䈚䈩᛫⩶䉴䊕䉪䊃䊦䉕ᜬ䈦䈩
䈇䉎䋮䈖䈱Etak䉕ᶖᲥ⮎䈮㈩ว䈜䉎䈖䈫䈪䋬ᶖᲥ⮎䉕ྃ㔵䈜䉎䈖䈫䈪䋬Etak䈏㕖ಣℂ⴫㕙䈮࿕ቯൻ䈘䉏䉎䋮䈖䉏䈮䉋䉍⴩
᦯䈭䈬䈱Ꮣ㘃䋬䉧䊤䉴䊶㒻ེ䈭䈬䈱㘩ེ㘃䊶䊁䊷䊑䊦㘃䋬ᧁ⵾ຠ䋬㊄ዻ㘃䉕ቶ᷷䈪◲න䈮᛫⩶䊶㒐⥇ടᎿ䈍䉋䈶᛫䉡䉞
䊦䉴ടᎿ䈏น⢻䈮䈭䉎䋮⃻࿷䈲䇮⊹⤏䊶ญ⣧䈻䈱ᔕ↪䈮ะ䈔䈩⎇ⓥਛ䈪䈅䉎䇯
13. A quantitative candy sucking test aimed at dementia elderly population
(Associate Prof. K. Tsuga)
⹺⍮∝㜞㦂⠪䈱ญ⣧ᯏ⢻ቯ㊂ൻ㘸⥤⹜㛎䈱㐿⊒㩷
Improving frail elderly's oral function is demanded in aging societies. However, prevailing examinations are
unsuitable for dimentia elderly because of insufficient compliance. We are developing a new evaluation method of oral
function, using intra-oral pressure sensors and voluntary candy sucking.
⿥㜞㦂␠ળ䈮䈍䈇䈩䋬ⷐ੺⼔㜞㦂⠪䈱ญ⣧ᯏ⢻䈱ᡷༀ䈏᳞䉄䉌䉏䈩䈇䉎䇯䈚䈎䈚䋬ᣢሽ䈱ญ⣧ᯏ⢻ᬌᩏᴺ䈪䈲ච
ಽ䈭ᔕ╵ᕈ䈏ᓧ䉌䉏䈝䋬ญ⣧ᯏ⢻䈱ቯ㊂⹏ଔ䉕ⴕ䈉䈖䈫䈲䈪䈐䈭䈇⹺⍮∝㜞㦂⠪䉅ሽ࿷䈜䉎䇯䈠䈖䈪ᚒ䇱䈲ญ⣧ౝ࿶
ജ䊒䊨䊷䊑䈮䉋䉎㜞㦂⠪䈱ญ⣧ᯏ⢻䈱ቴⷰ⊛⹏ଔᴺ䉕ᡷ⦟䈜䉎䈫䈫䉅䈮䋬⹺⍮∝㜞㦂⠪䈮䈍䈔䉎⥄⊒⊛䈭㘸䉕⥤䉄䉎
ᯏ⢻䈱ᱷሽ䈮⌕⋡䈚䋬䈖䉏䉕↪䈇䈢ญ⣧ᯏ⢻⹏ଔᴺ䈱㐿⊒䉕ㅴ䉄䈩䈇䉎䇯ᧄᐕᐲ䈲䈠䈱ၮ⋚䈫䈚䈩䋬㘸䉕⥤䉄䉎ᯏ⢻
䈫ᣢሽ䈱ญ⣧ᬌᩏ䈪᷹ቯ䈪䈐䉎ᯏ⢻䈫䈱㑐ㅪᕈ䉕ᬌ⸛䈚䈢䇯
4.4.2
Research highlights in nanomedicine research division
⎇ⓥ䊊䉟䊤䉟䊃
I.
Development of novel therapy against hepatitis
viruses using human hepatocyte chimeric mice
䊍䊃⢄⚦⢩䉨䊜䊤䊙䉡䉴䉕ᔕ↪䈚䈢⢄Ἳ䉡䉟䊦䉴䈮㑐䈜䉎
ᴦ≮䈱㐿⊒
Prof. Kazuaki Chayama
ᢎ᝼ ⨥ጊ৻ᓆ
We established hepatitis viruses-infected animal models using human hepatocyte chimeric mice to investigate the
mechanisms of drug resistance and to develop new therapies for viral hepatitis.
We also established the reverse genetics
system of both hepatitis B virus and hepatitis C virus (HCV). Using this animal model, we showed that
phosphorothionate oligonucleotide, an entry inhibitor of human immunodeficiency virus (HIV) also inhibits HCV
infection as a collaboration with National Institutes of Health of the USA(NIH) (Gastroenterology 2009). We also
showed that new anti-HCV drugs, such as NS3/4A protease inhibitor and NS5B polymerase inhibitor are useful to
eradicate HCV collaborating with a pharmaceutical company (J Gen Virol 2010).
䉒䉏䉒䉏䈲⢄Ἳ䉡䉟䊦䉴䈱ᴦ≮ᛶ᛫ᕈⷐ࿃䈱⸃᣿䈍䉋䈶ᣂⷙᴦ≮ᴺ䈱㐿⊒䈱䈢䉄䋬䊍䊃⢄⚦⢩䉨䊜䊤䊙䉡䉴䉕↪䈇
䈩⢄Ἳ䉡䉟䊦䉴ᗵᨴ䊝䊂䊦䉕㐿⊒䈚䈢䋮䈘䉌䈮䊥䊋䊷䉴䉳䉢䊁䉞䉪䉴䈱ᚻᴺ䈮䉋䉍,㊁↢ဳ䋬䈘䉌䈮䈲⒳䇱䈱ᄌ⇣䉡䉟䊦䉴ᗵ
ᨴ䊙䉡䉴䈱૞ᚑ䈮䉅਎⇇䈮䈘䈐䈏䈔䈩ᚑഞ䈚䈩䈇䉎䋮䈖䉏䉌䈱♽䉕↪䈇䈩 NIH 䈫䈱౒ห⎇ⓥ䈮䉋䉍䋬䊍䊃఺∉ਇో䉡䉟䊦䉴
(HIV)䈱 entry inhibitor 䈪䈅䉎 Phosphorothionate oligonucleotide (PS-ON)䈏䋬C ဳ⢄Ἳ䉡䉟䊦䉴䈱⚦⢩ౝ䈻䈱 entry 䉅
ᛥ೙䈜䉎䈖䈫䉕⷗䈇䈣䈚䋬PS-ON 䉕䊙䉡䉴䈻ᛩਈ䈜䉎䈖䈫䈮䉋䉍䋬C ဳ⢄Ἳ䉡䉟䊦䉴䈱ᗵᨴ₸䈏⪺᣿䈮ૐਅ䈜䉎䈖䈫䉕␜
䈚䈢䋨Matsumura T, et al. Gastroenterology 2009;137:673-681䋩䋮䈘䉌䈮⵾⮎ᩣᑼળ␠䈫䈱౒ห⎇ⓥ䈮䉋䉍䋬ᣂⷙ᛫ C ဳ
⢄Ἳ䉡䉟䊦䉴⮎䈪䈅䉎 NS3/4A 䊒䊨䊁䉝䊷䉷㒖ኂ೷䉇 NS5B 䊘䊥䊜䊤䊷䉷㒖ኂ೷䈏䋬C ဳ⢄Ἳ䉡䉟䊦䉴䈱ឃ㒰䈮᦭↪䈪
䈅䉎䈖䈫䉕␜䈚䈢䋨Kamiya, et al. J Gen Virol 2010;91:1668-1677䋩䋮
II. Development of anti-hepatitis virus therapy based on analysis of human genomes
䊍䊃䉭䊉䊛⸃ᨆ䈮䉋䉎ᣂⷙ⢄Ἳᴦ≮⮎䈱ഃ↢
We analyzed SNPs of human genome associated with viral hepatitis. We found that SNPs in the HLA-DP locus are
related with chronic infection of hepatitis B virus (Nature Genetics 2009), and SNPs of MAPKAPK3 gene affect the
effect of interferon therapy for chronic HCV infection (Gastroenterology 2009). We also found that genetic variation in
interleukin 28B (IL28B) is strongly associated with the response to interferon treatment for patients with chronic
hepatitis C.
䉒䉏䉒䉏䈲䉡䉟䊦䉴ᕈ⢄Ἳ䈱∛ᘒ䈮㑐䈜䉎 SNPs 䈱⸃ᨆ䉕ⴕ䈇䋬B ဳ⢄Ἳ䉡䉟䊦䉴䈱ᜬ⛯ᗵᨴ䈮㑐ㅪ䈜䉎ㆮવሶ䈫
䈚䈩 HLA-DP (Kamatani, et al. Nature Genet 2009;41:591-595)䋬C ဳᘟᕈ⢄Ἳ䈮ኻ䈜䉎䉟䊮䉺䊷䊐䉢䊨䊮䈱ᴦ≮ലᨐ䈫
㑐ㅪ䈜䉎ㆮવሶ䈫䈚䈩 MAPKAPK3 (Tsukada, et al. Gastroenterology 2009;136:1796-1805)䉕หቯ䈚䈢䋮䈘䉌䈮 IL28B
ㆮવሶ๟ㄝ䈱 SNPs 䈏䋬C ဳᘟᕈ⢄Ἳ䈮ኻ䈜䉎䉟䊮䉺䊷䊐䉢䊨䊮ᴦ≮ലᨐ䈮ᒝ䈒㑐ㅪ䈚䈩䈇䉎䈖䈫䉕⷗䈇䈣䈚䈢䋮
III.
Development of surface plasmon resonance sensor for individual living cell activation
1 ⚦⢩ᔕ╵᷹ቯ↪
⚦⢩ᔕ╵᷹ቯ↪ SPR
SPR 䉶䊮䉰䊷䈱㐿⊒
䉶䊮䉰䊷䈱㐿⊒
Prof. Michihiro Hide
ᢎ᝼
ᢎ᝼ ⑲
⑲ ㆏ᐢ
There is a continuously increasing demand for biosensors that are able to detect living-cell activation. Surface plasmon
resonance (SPR) sensors are capable of characterizing the binding of detectants in the field of resonance on a sensor chip
in a real-time manner without any labeling. They provide a useful means to study the interactions of a variety of
molecules, including proteins, oligonucleotides, lipids, and even small structures, such as phages, viral particles and cells.
We previously demonstrated that SPR sensors could detect large changes of refractive index, when RBL-2H3 mast cells,
keratinocyte, human basophils and B cells were activated by stimuli on a sensor chip (Fig.1) [1] [2] [3] [4] [5]. However,
conventional SPR sensors are bulky and complicated to use as a common diagnostic equipment, and detected only an
average refractive index changes in the presence of thousands of cells in an area of the sensor chip. To overcome the
problems, we developed small and simplified optical fiber SPR sensor system and could detect the refractive index
changes in living cells on the tip of optical fiber (Fig.2,3) [6]. Moreover, we developed SPR imaging sensor in order to
detect the distribution of refractive index on the surface of sensor chip and could detect the change of refractive index
changes in individual cells in response to stimuli (Fig.4) [7].
⴫㕙䊒䊤䉵䊝䊮౒㡆 (SPR)䉶䊮䉰䊷䈲䇮䉺䊮䊌䉪⾰䇮ᩭ㉄䉕䈲䈛䉄䈫䈜䉎⒳䇱䈭‛⾰䈱⚿ว䊶⸃㔌䉕⸃ᨆ䈜䉎䈢䉄䈱
ఝ䉏䈢ᬌ಴♽䈪䈅䉍䇮㊄᧼਄ᢙ 100nm એౝ䈱ⅣႺᄌൻ䋨ዮ᛬₸ᄌൻ䋩䉕ᗵᐲ⦟䈒䊥䉝䊦䉺䉟䊛䈮ⷰኤ䈜䉎䈖䈫䉕น⢻䈮
䈚䈩䈇䉎䇯ᚒ䇱䈲䈖䉏䉁䈪䈮䇮䈖䈱ේℂ䉕↪䈇䈩䊙䉴䊃⚦⢩䇮ⷺൻ⚦⢩䇮䊍䊃ᅢႮၮ⃿䉇䌂⚦⢩䈭䈬䈱↢⚦⢩䈱ᵴᕈൻ䉕
㕖ᮡ⼂䈪⚻ᤨ⊛䈎䈧㜞ᗵᐲ䈮ᬌ಴䈪䈐䉎䈖䈫䉕⷗಴䈚䈩䈐䈢 䋨Fig.1䋩[1] [2] [3] [4] [5]䇯䈚䈎䈚䈭䈏䉌䇮ᓥ᧪䈱䌓䌐䌒ⵝ
⟎䈲ᄢ䈐䈒ⶄ㔀䈭䈢䉄⥃ᐥ䈮䈲ਇะ䈐䈪䇮䉁䈢䇮ᬌ಴▸࿐ౝ䈱ᄙᢙ䈱⚦⢩ᔕ╵䈱ᐔဋ䉕ᬌ಴䈚䈩䈇䉎䈢䉄䇮୘䇱䈱⚦
⢩䈱ᄌൻ䇮෸䈶⚦⢩ౝ䈱ዪ࿷⊛䈭ዮ᛬₸䉕⸃ᨆ䈜䉎䈖䈫䈲䈪䈐䈭䈎䈦䈢䇯䈠䈖䈪䇮ᚒ䇱䈲⿥ዊဳశ䊐䉜䉟䊋䊷ဳ䌓䌐䌒
䉶䊮䉰䊷䉕૞⵾䈚䇮⋥ᓘ 230㱘m 䈱䊐䉜䉟䊋䊷వ┵䈪䈱↢⚦⢩ᔕ╵䈱ᬌ಴䈮ᚑഞ䈚䈢(Fig.2,3) [6]䇯䈘䉌䈮䇮䉶䊮䉰䉼䉾
䊒⴫㕙䈱ዮ᛬₸䉕ੑᰴర⊛䈮⸃ᨆ䈜䉎䈖䈫䈱䈪䈐䉎⚦⢩ᔕ╵᷹ቯ↪䌓䌐䌒䉟䊜䊷䉳䊮䉫ⵝ⟎䉕૞⵾䈚䇮1 ⚦⢩䊧䊔䊦䈪
䈱ዮ᛬₸ᄌൻ䈱ⷰኤ䈮䉅ᚑഞ䈚䈢(Fig.4)[7]䇯
[1] Hide et al., Anal Biochem. 302, 28-37, 2002 [2] Yanase et al., Biosens Bioelectron. 22, 1081-6, 2007 [3] Tanaka et al., Biosens
Bioelectron. 23 1652-1658, 2008 [4] Yanase et al., Biosens Bioelectron. 23 562-567, 2007 [5] Suzuki et al., Allergol Int. 57, 347-58,
2008 [6] Yanase et al., 25, 1244-7, 2010 [7] Yanase et al., Biosens Bioelectron. in press (doi:10.1016/j.bios.2010.06.065 )
IV.
Affinity precipitation of hydroxyapatite onto
different SAM surfaces
⇣ߥࠆ 5#/ ⴫㕙਄߳ߩࡂࠗ࠼ࡠࠠࠪࠕࡄ࠲ࠗ࠻ߩ
ࠕࡈࠖ࠾࠹ࠖ࡯ᨆ಴
Prof. M. Okazaki
ᢎ᝼ ጟፒᱜਯ
Hydroxyapatite formation was examined at the surface of self assembled monolayers (SAMs) modified with four
functional groups, –COOH, -NH2, -CH3 and –OH (Fig.1). Scanning electron spectroscopic observation showed
flake-like sheet crystals covered the whole wafer for COOH-SAM and NH2-SAM, and broccoli-like small crystals were
observed somewhere on the flake-like crystal base layer, while no flake-like sheet crystals were observed for CH3-SAM
and OH-SAM, and broccoli-like crystals were observed here and there for CH3-SAM. Broccoli-like crystals were
observed locally in parts for OH-SAM. X-ray diffraction patterns showed strongly apatitic patterns oriented toward the
c-axis direction for COOH-SAM. ESCA analysis revealed clear Ca, P, O peak for every COOH-, NH2-, CH3- and
OH-SAM. Surface plasmon resonance (SPR) analysis indicated that the deposition increased with time monotonically
for COOH-SAM during the supply of Ca and P solution, and slightly increased for NH2-SAM, while little increase in
adsorption was detected for CH3-SAM and OH-SAM (Fig.2).
䊋䉟䉥䊙䊁䊥䉝䊦䈫↢૕䈫䈱䉝䊐䉞䊆䊁䉞䊷䉕⺰䈝䉎႐ว䈮䈲䇮⇇㕙䈮䈍䈔䉎ቭ⢻ၮ䈱ᕈ⾰䉕ᬌ⸛䈜䉎䈖䈫䈏㊀ⷐ䈪
䈅䉎䇯䈚䈎䈚䈭䈏䉌䇮䈖䉏䉁䈪↢૕ⷫ๺ᕈ䈮㑐䈚䈩䈲䇮േ‛ታ㛎䈮䉋䉎⚵❱ቇ⊛⹏ଔ䈏ਥᵹ䉕䈭䈚䇮․䈮⎬⚵❱䊋䉟䉥
䊙䊁䊥䉝䊦䈫䈚䈩䈱䊊䉟䊄䊨䉨䉲䉝䊌䉺䉟䊃䈫⚦⢩䉇エ⚵❱䈫䈱ήᯏʊ᦭ᯏ⋧੕૞↪䈮㑐䈚䈩䈲⹦⚦䈭ᖱႎ䈏ᓧ䉌䉏䈩
䈇䈭䈇䈱䈏⃻⁁䈪䈅䉎䇯䈠䈖䈪䇮ᧄ⎇ⓥ䈪䈲䉝䊚䊉㉄䉇䉺䊮䊌䉪⾰䈱ၮᧄⷐ⚛䈫䈚䈩䈱 COOH䇮NH2䇮CH3䇮OH ၮ䈮⌕
⋡䈚䇮䊊䉟䊄䊨䉨䉲䉝䊌䉺䉟䊃䈫䈱⋧੕૞↪䉕ᬌ⸛䈜䉎䈖䈫䈮䈚䈢䇯
䊊䉟䊄䊨䉨䉲䉝䊌䉺䉟䊃⚿᥏↢ᚑ䉕ᗐቯ䈚䈢ㆊ㘻๺ṁᶧ䈫䈚䈩 50mM ㈶㉄䉦䊦䉲䉡䊛ṁᶧ, 2.5 mL䇮30 mM 䊥䊮㉄䉝䊮
䊝䊆䉡䊛ṁᶧ, 2.5 mL 䈍䉋䈶 1.3 M ㈶㉄䉝䊮䊝䊆䉡䊛ṁᶧ, 5mL 䉕ᷙว䈚䈩↪䈇䉎䈖䈫䈮䈚䈢䇯Au ၮ᧼਄䈮䇮COOH䇮
NH2䇮CH3䇮OH ၮ䉕฽䉃ṁᶧ䈪ಣℂ䈜䉎䈖䈫䈮䉋䉍 4 ⒳㘃䈱⇣䈭䉎⥄Ꮖ⚵❱නಽሶ⤑ SAM䋨࿑ 1䋩䉕ᒻᚑ䈚䈢䇯䈖䈱 Au
ၮ᧼䉕䉴䉼䊨䊷䊦䊎䊮ᐩㇱ䈮౉䉏䇮⋥೨䈮Ḱ஻䈚䈢਄ㅀ䈱䊊䉟䊄䊨䉨䉲䉝䊌䉺䉟䊃⚿᥏↢ᚑ↪ṁᶧ䉕ᵈ䈇䈣䇯䈖䈱ṁᶧ
䉕 37㷄䈮䈩৻ቯᦼ㑆䋨1 ᣣ䇮3 ᣣ䇮1 ㅳ㑆䋩଻▤ᓟ䇮᳓ᵞ䈚䇮X ✢࿁᛬䇮ESCA ಽᨆ䇮SEM ⷰኤ䈮ଏ䈚䈢䇯䉁䈢䇮⴫㕙䊒
䊤䉵䊝䊮౒㡆⸃ᨆ(SPR)䉕↪䈇䇮਄⸥ Ca, P ㆊ㘻๺ṁᶧ䉕ฦၮ᧼਄䈮 15 ಽ㑆ᵹ䈜䈖䈫䈮䉋䉍䇮䊥䉝䊦䉺䉟䊛䈪䈱ๆ⌕᜼
േ䉕ⷰኤ䈚䈢䇯
ᶐẃ3ᣣ⋡䈮䈍䈔䉎SEMⷰኤ䈪䈲䇮COOH-SAM䈍䉋䈶NH2-SAMၮ᧼⴫㕙਄䈮䊐䊧䊷䉪⁁䈱⚿᥏䈏ో㕙䉕ⷒ䈇䇮
䈠䈱਄䈮ዪᚲ⊛䈮䊑䊨䉾䉮䊥⁁䈱⚿᥏䈏ᴉ⌕䈚䈩䈇䉎᭽ሶ䈏ુ䈋䈢䇯䈠䉏䈮ኻ䈚䇮CH3-SAM䈪䈲䊑䊨䉾䉮䊥⁁䈱⚿᥏䈱
䉂䈏ో㕙䈮⹺䉄䉌䉏䇮OH-SAM䈪䈲ᭂ৻ㇱ䈱⴫㕙䈮䊑䊨䉾䉮䊥⁁䈱⚿᥏䈱䉂䈏ⷰኤ䈘䉏䈢䇯X✢࿁᛬䈱⚿ᨐ䈪䈲䇮
COOH-SAM䈫NH2-SAM䈪䈲㈩ะ䈚䈢⚿᥏ᕈ䈱㜞䈇䉝䊌䉺䉟䊃䊌䉺䊷䊮䈏⏕⹺䈘䉏䈢䈏䇮CH3-SAM䈱䊏䊷䉪ᒝᐲ䈲ૐ
䈎䈦䈢䇯ESCA⴫㕙ಽᨆ䈪䈲ฦSAM⹜ᢱ䈫䉅ᒝ䈇O䊏䊷䉪䈫᣿⍎䈭Ca, P䊏䊷䉪䈏⹺䉄䉌䉏䈢䇯৻ᣇ䇮SPRታ㛎䈪䈲䇮
COOH-SAM਄䈪ๆ⌕ጀ䈱ෘ䉂䈏ᤨ㑆䈫䈫䉅䈮Ⴧട䈚NH2-SAM਄䈪䉅ዋ䈚Ⴧട䈚䈢䈏䇮CH3-SAM䈫OH-SAM䈪䈲䇮䈠
䈱ๆ⌕ጀ䈱Ⴧട䈲䈾䈫䉖䈬ⷰኤ䈘䉏䈭䈎䈦䈢䋨࿑2䋩䇯એ਄䈱⚿ᨐ䉋䉍䇮CH3䉇OHၮ䈮Ყ䈼䈩䇮COOH䈫NH2ၮ䈲䊊䉟䊄䊨
䉨䉲䉝䊌䉺䉟䊃⚿᥏↢ᚑ䈮ኻ䈚䈐䉒䉄䈩㜞䈇ⷫ๺ᕈ䉕␜䈜䈖䈫䇮䉁䈢SPR䈲䊅䊉䊧䊔䊦䈪䈱䊥䊮㉄䉦䊦䉲䉡䊛ᨆ಴䈱䊥䉝
䊦䉺䉟䊛ⷰኤ䈮䈐䉒䉄䈩᦭ല䈪䈅䉎䈖䈫䈏᣿䉌䈎䈫䈭䈦䈢䇯
[1] Hirata I, Akamatsu M, Fujii E, Poolthong S, Okazaki M: Chemical analyses of hydroxyapatite formation on SAM surfaces
modified with COOH, NH2, CH3 and OH functions. Dent Mater J 29: 438-445, 2010.
H2O Supersaturated solution
H2O
1500
Alkanethiol
Surface functional groups are controllable.
SAM
Alkyl group
(alkyl chain length; n = 11)
Surface-active headgroup
(-SH; thiol group)
Gold plate
.
1400
SPR Angle Shift (mDA)
Surface group
(CH3,OH,NH2,COOH,…)
COOH
1300
NH2
1200
OH
1100
CH3
1000
Fig. 1 Schema of self assembled monolayers (SAMs).
0
0
5
10
Time (min)
15
20
Fig. 2 SPR angle shift due to real-time deposition
at the surface of each COOH-SAM (A), NH2-SAM
(B), CH3-SAM (C) and OH-SAM (D) wafer.
V.
A cleanser or disinfectant containing
immobilizing aseptic substance
࿕ቯൻ᛫⩶೷䈱ᵞ೷䈍䉋䈶ᶖᲥ⮎䈻䈱ᔕ↪
ቯൻ᛫⩶೷䈱ᵞ೷䈍䉋䈶ᶖᲥ⮎䈻䈱ᔕ↪
Prof. Hiroki Nikawa
ᢎ᝼
ᢎ᝼ ੑᎹᶈ᮸
We developed the organosilicon quaternary ammonium salts [3-(triethoxysilyl) - propyldimethyl- octadecyl
ammonium chloride (Etak), a coupling agent with disinfectant. It has broad spectrum antimicrobial activity against both
Gram-positive and –negative bacteria, fungi and yeasts. This compound binds chemically to a variety substrates, making
it a nonleachable antibacterial agent. Its bounded antimicrobial activity has had a variety of applications by binding to
fibers, fabric, carpet, sock manufactures (to control odor-causing bacteria), and metal surfaces. In the present study, we
incorporate Etak into cleanser to yield the new kind of soap, which will provide the antibacterial and deodorized finished
surfaces. In addition, the immobilized Etak also inactivated the avian, human and suilline influenza virus. The effects will
be contributed to the suppression of a variety of infectious diseases.
ᚒ䇱䈱ᣂ䈚䈒㐿⊒䈚䈢࿕ቯൻ᛫⩶೷䈪䈅䉎䉥䉪䉺䊂䉲䊦䉳䊜䉼䊦䋳㵥䊃䊥䉣䊃䉨䉲䉲䊥䊦䊒䊨䊏䊦䉝䊮䊝䊆䉡䊛䉪䊨䊤䉟䊄
䋨Etak䋩䈲䋬৻ㇱ䈱䉦䊎㘃䋬䉫䊤䊛㓁ᕈ⩶䋬䉫䊤䊛㒶ᕈ⩶䋬䉣䊮䊔䊨䊷䊒䉕ᜬ䈧䉡䉞䊦䉴䈮ኻ䈚䈩᛫⩶䉴䊕䉪䊃䊦䉕ᜬ䈦䈩
䈇䉎䋮䈖䈱 Etak 䉕ᵞ೷䈮㈩ว䈚䈢䉍䋬ᶖᲥ⮎䈮㈩ว䈜䉎䈖䈫䈪䋬න䈮ᵞ䈦䈢䉍䋬ᶖᲥ⮎䉕ྃ㔵䈜䉎䈖䈫䈪䋬Etak 䈏㕖ಣℂ
⴫㕙䈮࿕ቯൻ䈘䉏䉎䋮䈖䉏䈮䉋䉍⴩᦯䈭䈬䈱Ꮣ㘃䋬䉧䊤䉴䊶㒻ེ䈭䈬䈱㘩ེ㘃䊶䊁䊷䊑䊦㘃䋬ᧁ⵾ຠ䋬㊄ዻ㘃䉕ቶ᷷䈪
◲න䈮᛫⩶䊶㒐⥇ടᎿ䈍䉋䈶᛫䉡䉞䊦䉴ടᎿ䈏น⢻䈮䈭䉎䋮⃻࿷䋬ᣂဳ䉟䊮䊐䊦䉣䊮䉱䈱ᗵᨴ䈏᜛ᄢ䈚䈧䈧䈅䉎䈏䋬౏
౒ᣉ⸳䉇ቇᩞ䋬੤ㅢᯏ㑐䊶䊖䊁䊦䈭䈬䈱ᐳᏨ䊶᧍䊶ᐥ䈭䈬䈮ᔕ↪䈜䉎䈖䈫䈪䋬䉟䊮䊐䊦䉣䊮䉱䈱᜛ᄢ䊥䉴䉪䉕シᷫ䈜䉎䈖䈫
䈏น⢻䈪䈅䉍䋬∛㒮䊥䊈䊮䈭䈬䈻䈱ᔕ↪䈮䉋䈦䈩䋬㒮ౝᗵᨴ䈱䊥䉴䉪䉕シᷫ䈪䈐䉎䋮
Fig. 1 Etak binds chemically to variety surfaces, through the green part of coupling agents, making it a nonleachable
antibacterial agent.
䊂䊷䉺䈲䉡䉞䊦䉴ቇဈญᢎ᝼䈫䈱౒ห⎇ⓥ
3 Etak itself showed the excellent inactivating effects against human, avian and suilline influenza virus. In
Fig.2 & 3
addition, immobilized Etak also exhibited the excellent inactivating effects against influenza virus
VI.
A quantitative candy sucking test aimed at dementia
elderly population
⹺⍮∝㜞㦂⠪䈱ญ⣧ᯏ⢻ቯ㊂ൻ㘸⥤⹜㛎䈱㐿⊒
Assoc Prof.
Kazuhiro Tsuga
ಎᢎ᝼ ᵤ⾐৻ᒄ
Objectives: Improving dementia elderly's oral function is demanded in aging societies. Prevailing examinations are
unsuitable for them because of insufficient compliance. We developed a new evaluation method using candy sucking.
This pilot study aimed to validate this method.
Methods: Forty subjects (20 male and 20 female, 21-32 years old) participated in this study. Subjects were asked to suck
candy (Chupa Chups®, Chupa Chups, Barcelona Spain) for 5 minutes and its weight loss was measured by electronic
balance. As evaluation of oral function, amount of resting saliva and oral diadochokinesis was measured. Maximum
tongue pressure, lateral tongue pressure, buccal pressure and lip pressure were also detected by special devices (Hayashi
et al, 2004). The relationship between weight loss of candy and these evaluations were statisticaly analyzed by Pearson's
correlation coefficient.
Results: Weight loss of candy was 6.34±1.19g in male and 5.51±1.09g in female subjects. Weight loss was positively
correlated with oral diadochokinesis in male, and with maximum and lateral tongue pressures in male and female
subjects.
Conclusion: Based on the significance of these relationships, this candy sucking test validated to reflect oral function
quantitatively. This test might be useful to evaluate oral function of the people with lack of compliance such as dementia
elderly, because this test does not require any special instructions for use.
⋡⊛䋺⿥㜞㦂␠ળ䈮䈍䈇䈩䋬⹺⍮∝㜞㦂⠪䈱ญ⣧ᯏ⢻䈱ᡷༀ䈏᳞䉄䉌䉏䈩䈇䉎䇯䈚䈎䈚䋬ᣢሽ䈱ญ⣧ᯏ⢻ᬌᩏᴺ
䈪䈲චಽ䈭ᔕ╵ᕈ䈏ᓧ䉌䉏䈝䋬ญ⣧ᯏ⢻䈱ቯ㊂⹏ଔ䉕ⴕ䈉䈖䈫䈲䈪䈐䈭䈇䇯䈠䈖䈪ᚒ䇱䈲⥄⊒⊛䈭㘸䉕⥤䉄䉎ⴕὑ䈮
⌕⋡䈚䋬䈖䉏䉕↪䈇䈢ญ⣧ᯏ⢻⹏ଔᴺ䈱㐿⊒䉕⋡ᜰ䈚䈢䇯ᧄ⎇ⓥ䈪䈲䈠䈱ၮ⋚䈫䈚䈩䋬㘸䉕⥤䉄䉎ᯏ⢻䈫ᣢሽ䈱ญ⣧
ᬌᩏ䈪᷹ቯ䈪䈐䉎ᯏ⢻䈫䈱㑐ㅪᕈ䉕ᬌ⸛䈚䈢䇯
ᣇᴺ䋺ⵍ㛎⠪ 40 ฬ䈮䈧䈇䈩䋬⠨᩺䈚䈢㘸䉕 5 ಽ㑆⥤䉄䈢ᤨ䈱㊀㊂ᄌൻ⹜㛎䈫቟㕒ᤨໜᶧ㊂䋬䉥䊷䊤䊦䊂䉞䉝䊄䉮䉨䊈
䉲䉴䋬ᦨᄢ⥠࿶䋬㗠࿶䋬஥ᣇ⥠࿶䋬ญໃ࿶䈱᷹ቯ䉕ⴕ䈇䋬㑐ㅪᕈ䈮䈧䈇䈩ᬌ⸛䈚䈢䇯
⚿ᨐ䋺㘸䈱㊀㊂ᷫዋ䈲↵ᕈ 6.34±1.19g䋬ᅚᕈ 5.51±1.09g 䈫ᕈᏅ䈏⹺䉄䉌䉏䈢䇯㘸䈱㊀㊂ᷫዋ㊂䈲䋬↵ᕈ䈪䈲䉥䊷䊤䊦
䊂䉞䉝䊄䉮䉨䊈䉲䉴䈫䋬ᦨᄢ⥠࿶䋬ᅚᕈ䈪䈲ᦨᄢ⥠࿶䈫㑐ㅪᕈ䈏⹺䉄䉌䉏䋬⹺⍮∝䈪ⴚ⠪䈱ᜰ␜䈮ᔕ䈛䉎䈖䈫䈱࿎㔍䈭
㜞㦂⠪䈮䈍䈇䈩䉅ญ⣧ᯏ⢻䉕ቯ㊂⹏ଔ䈪䈐䉎น⢻ᕈ䈏␜ໂ䈘䉏䈢䇯
[1] H. Tsuchioka, M. Yoshikawa, K Tsuga and Y. Akagawa, Abstract of IADR Meeting (International),
Abs. No. 1515, Barcelona,
2010.
Fig, 1 Candy Sucking Test.
Fig, 3 Maximum Voluntary Tongue Pressure and
Weight Loss of Candy.
Fig, 2 Maximum Voluntary Tongue Pressure.
5.
Activities related to RNBS
࠽ࡁ࠺ࡃࠗࠬ࡮ࡃࠗࠝⲢว⑼ቇ⎇ⓥᚲߦ㑐ㅪߔࠆᵴേ
㩷
5.1
Nanotechnology Network Japan
㩷
䊅䊉䊁䉪䊉䊨䉳䊷䊶䊈䉾䊃䊪䊷䉪ᡰេ㩷
㩷
“Nanotechnology Network Japan” was started by the Ministry of Education, Culture, Sports, Science and Technology in 2007 for 5 years (2007-2011) .Hiroshima University (responsible for nano-foundry support for silicon
nanostructures ) and Yamaguchi University (responsible for high quality vacuum technology) cooperate to support the project as one of the 13 support institutions in Japan. So far, we supported many industries, universities and
foundations about 120 subjects. We obtained good results as getting Prime Minister's Award, Japan Prize for
Creativity, awards from academic societies and reported international meetings. Our supports contributed to the
development of Nanotechnology in Japan. We offer outside researchers opportunities to use our support and provide technical support for the nano-level foundry. The special features of support program of Hiroshima University
are (1) special technologies to fabricate ultra-small Si MOS transistors with a gate length of a few tens of nano-meters using electron-beam lithography machine, ion implanter, CVD apparatus, sputter, oxidation and diffusion furnaces, ICP and ECR etchers, etc., (2) mask less lithography machine, deep Si etching machine, surface
activated bonding machine for MEMS technology and (3) a super clean room of approximately 880 m2 including
chemical contamination (acid, alkaline and organic gases) filtering and class 10-for-0.1-µm particles. The special
features of support program of Yamaguchi University are (1) high quality vacuum technology using rotating box
type multi-facing target sputtering method, etc. to develop manufacturing technology for vacuum system and
multi-layer thin materials.
The staff members are available for technical consultation of nano-fabrication processes, nano-scale devices and
high quality vacuum technology. Applications from researchers in universities, industries, public research institutes, are welcome. Concerning the industrial exploitation of the obtained results, we will exchange a contract. The
obligation of successful applicants is to submit a report on the research results at the end of the fiscal year. However, we started about confidential and with-charge support program for those who don’t want to open the research
result from 2008. On the details, please look at the URL http://www.nanonet.hiroshima-u.ac.jp/ or
http://www.nanotech.sangaku.yamaguchi-u.ac.jp/ and download the application form.
ᢥㇱ⑼ቇ⋭䈱䊅䊉䊁䉪䊉䊨䉳䊷䊶䊈䉾䊃䊪䊷䉪䊒䊨䉳䉢䉪䊃䈱৻Ⅳ䈫䈚䈩䇮ᐔᚑ19ᐕᐲ䈎䉌5ᐕ㑆䇮䊅䊉䊁䉪䊉䊨䉳䊷
ో࿖13᜚ὐ䈱䈵䈫䈧䈫䈚䈩䇮ᐢፉᄢቇ䈫ጊญᄢቇ䈏දജ䈚䈩ⴕ䈉䉲䊥䉮䊮⿥ᓸ⚦ടᎿ䈫㕖䉲䊥䉮䊮♽䈱㜞ຠ⾰⌀
ⓨ೑↪ᛛⴚ䈱ᡰេ䈏䉴䉺䊷䊃䈚䈢䇯ᧄ⎇ⓥᚲ䈪䈲䇮䈖䉏䉁䈪䈮䇮ડᬺ䇮ᄢቇ䇮⽷࿅╬䈱ᡰេ䉕ታᣉ䈚䇮䈠䈱ᚑᨐ
䈱ਛ䈮䈲䇮䉅䈱䈨䈒䉍ᣣᧄᄢ⾨ఝ⑲⾨ฃ⾨䈮❬䈏䈦䈢䉅䈱䇮ቇળ⾨䉕ฃ⾨䈚䈢䉅䈱࿖㓙ቇળ䈪⊒⴫䈚䈢䉅䈱䈭䈬
䈏䈅䉍䇮ᣣᧄ䈱䊅䊉䊁䉪䊉䊨䉳䊷䈱⊒ዷ䈮ዋ䈭䈎䉌䈝⽸₂䈪䈐䈢䇯ᐢፉᄢቇ䈱ᡰេ䈱․㐳䈲䇮䋨䋱䋩㔚ሶ䊎䊷䊛㔺
శⵝ⟎䉕䈲䈛䉄䇮䉟䉥䊮ᵈ౉䇮CVD䇮䉴䊌䉾䉺䇮㉄ൻ䊶᜛ᢔἹ䇮ICP䊶ECR䉣䉾䉼䊞䊷╬䉕೑↪䈚䈢䇮䉭䊷䊃㐳ᢙච
nm䈱⿥ᓸ⚦Si䊃䊤䊮䉳䉴䉺╬䈱⹜૞䈏น⢻䈭䈖䈫䇮(2)MEMS㑐ㅪ䈱ⵝ⟎䈫䈚䈩䊙䉴䉪䊧䉴㔺శⵝ⟎䇮ᷓၳ䉣䉾䉼䊞
䊷䇮⴫㕙ᵴᕈൻធวⵝ⟎╬䉕᦭䈚䇮䋨䋳䋩䉬䊚䉦䊦䉪䊥䊷䊮઀᭽䋨㉄䊶䉝䊦䉦䊥䊶᦭ᯏ䉧䉴ኻᔕ䋩䇮䉪䊤䉴10䉕฽䉃✚㕙
Ⓧ⚂880 m2䈱䉴䊷䊌䊷䉪䊥䊷䊮䊦䊷䊛䉕೑↪䈚䈢䉡䊦䊃䊤䉪䊥䊷䊮䊒䊨䉶䉴䈪䈅䉎䈖䈫䇯ጊญᄢቇ䈱ᡰេ䈱․ᓽ䈲
(䋱)ᣂᣇᑼ䈱ኻะ䉺䊷䉭䉾䊃ᑼ䉴䊌䉾䉺ⵝ⟎䉇㔚ሶ䉰䉟䉪䊨䊃䊨䊮౒㡆䋨䌅䌃䌒䋩䉴䊌䉾䉺ⵝ⟎䈭䈬䇮㜞ຠ⾰䈪㜞ᷡᵺ
䈭⌀ⓨⅣႺ䈱䉅䈫䈪㜞ຠ⾰䈭ᄙጀ⭯⤑᧚ᢱ䈱૞⵾䈫䊂䊋䉟䉴⹜૞䈱䈢䉄䈱ᓸ⚦ടᎿ䉕น⢻䈮䈜䉎ⵝ⟎(䋲)㜞ຠ
⾰䈭⌀ⓨⅣႺ䉕ታ⃻䈜䉎䈢䉄䈱⌀ⓨ᧚ᢱ䈱㐿⊒䉇⌀ⓨ䉮䊮䊘䊷䊈䊮䊃䈱㐿⊒䈮ᔅⷐ䈭⿥㜞ᗵᐲ䉧䉴᡼಴ㅦᐲ
᷹ቯⵝ⟎䈭䈬䈪䈅䉎䇯
䉁䈢䇮䊅䊉᭴ㅧᒻᚑ䊒䊨䉶䉴䇮⿥ᓸ⚦䊂䊋䉟䉴䈍䉋䈶㜞ຠ⾰⌀ⓨ೑↪ᛛⴚ䈮㑐䈜䉎ᛛⴚ⋧⺣(㓐ᤨฃઃ)䈮䉅
ᔕ䈛䈩䈍䉍䇮᳃㑆ડᬺ䇮౏⊛⎇ⓥᯏ㑐䇮ઁᄢቇ䇮ቇౝ╬䈎䉌䈱ᡰេ↳䈚ㄟ䉂䉕ฃ䈔ઃ䈔䈩䈇䉎䇯Ꮏᬺᚲ᦭ᮭ䈮䈧
䈇䈩䈲䇮ⷡᦠ䈐䉕੤䉒䈚䈢਄䈪ታᣉ䈚䈩䈇䉎䇯ᐕᐲᧃ䈮◲න䈭ႎ๔ᦠ䈱ឭ಴䈏ᔅⷐ䈪䈜䈏䇮ᐔᚑ20ᐕᐲ䈎䉌䈲
౒ ห ⎇ ⓥ ᄾ ⚂ 䉕 ઻ 䈉 ⥄ ਥ ੐ ᬺ 䋨 㕖 ౏ 㐿 䈪 ᦭ ᢱ 䋩 䉅 㐿 ᆎ 䈚 䈩 䈇 䉎 䇯 䊖 䊷 䊛 䊕 䊷 䉳
http://www.nanonet.hiroshima-u.ac.jp䉁䈢䈲 http://www.nanotech.sangaku.yamaguchi-u.ac.jp/䉋䉍↳⺧ᦠ㘃䉕䉻䉡
䊮䊨䊷䊄䈱਄䇮䈍↳䈚ㄟ䉂䈒䈣䈘䈇䇯㩷
6.
Research Facilities of RNBS
⎇ⓥ⸳஻
6.1 Super clean rooms
䉴䊷䊌䊷䉪䊥䊷䊮䊦䊷䊛
Super clean rooms, partly class 10 at 0.1-ȝm particles, are used for fabrication of advanced devices and LSI’s.
వ┵䊂䊋䉟䉴෸䈶 LSI 䈱⵾૞䈲䉴䊷䊌䊷䉪䊥䊷䊮䊦䊷䊛䈪ⴕ䉒䉏䉎䇯ᦨ䉅ᷡᵺᐲ䈱㜞䈇䉶䉪䉲䊢䊮䈲䉪䊤䉴 10 䋨1 ┙
ᣇ䊐䉞䊷䊃ౝ䈮 0.1µm એ਄䈱☸ᓘ䈱☸ሶ䈏 10 ୘એਅ䋩䈪䈅䉎䇯
WC
EV
AS
EPS
AS
Changing
room
Super Clean Room
in West Building
Changing
room
AS
Entrance
Super Clean
Room in East
Building
Measurement
room
AS
West Building since 1988
East Building since 1998
Plan of clean rooms in west and east buildings. The total clean room area measures 830 m2. Chemical filters are
set in the east clean room to avoid hazardous gases.
⷏᫟෸䈶᧲᫟䉪䊥䊷䊮䊦䊷䊛ᐔ㕙࿑䇯䉪䊥䊷䊮䊦䊷䊛✚㕙Ⓧ䈲 830m2䇯᧲᫟䉪䊥䊷䊮䊦䊷䊛䈮䈲ෂ㒾䉧䉴㒰෰↪
䈱䉬䊚䉦䊦䊐䉞䊦䉺䊷䈏⸳⟎䈘䉏䈩䈇䉎䇯
Super clean room in west building.
⷏᫟ࠬ࡯ࡄ࡯ࠢ࡝࡯ࡦ࡞࡯ࡓ
Super clean room in east building.
᧲᫟ࠬ࡯ࡄ࡯ࠢ࡝࡯ࡦ࡞࡯ࡓ
6.2 Equipment for Advanced devices and LSI fabrication
వ┵䊂䊋䉟䉴෸䈶LSI૞⵾䈱䈢䉄䈱⸳஻
6.2.1 Lithography
䊥䉸䉫䊤䊐䉞䊷
䊥䉸䉫䊤䊐䉞䊷
䂹 Variable rectangular-shaped electron beam
lithography system (Hitachi HL700DII)
䂹 Point-beam type electron beam lithography system
(JEOL JBX-5DII)
䊘䉟䊮䊃䊎䊷䊛ဳ㔚ሶ䊎䊷䊛ឬ↹ⵝ⟎
䋨ᣣᧄ㔚ሶ JBX-5DII䋩
นᄌᚑᒻဳ㔚ሶ䊎䊷䊛ឬ↹ⵝ⟎
䋨ᣣ┙ HL700DII䋩
䂹 i-line optical stepper
䂹 Maskless photolithography system
(Nanosystem Solutions D-light DL-1000)
(Nikon NSR i8a)
i-✢䉴䊁䉾䊌䊷
䋨䊆䉮䊮 NSR i8a䋩
䊙䉴䉪䊧䉴㔺శⵝ⟎
䋨䊅䊉䉲䉴䊁䊛䉸䊥䊠䊷䉲䊢䊮䉵 D-light DL-1000䋩
200
200 nm
nm
Photoresist patterns by JBX-5DII.
JBX-5D䈮䉋䉎䊧䉳䉴䊃䊌䉺䊷䊮
100 µm
Photoresist patterns by D-light DL-1000.
D-light DL-1000䈮䉋䉎䊧䉳䉴䊃䊌䉺䊷䊮
6.2.2 Dry etching
䊄䊤䉟䉣䉾䉼䊮䉫
䂹 ICP (Inductively Coupled Plasma) etcher for Si
(YOUTEC)
䂹 ECR (Electron Cyclotron Resonance) etchers for Si
(KOBELCO)
Si↪ECR䋨㔚ሶ䉰䉟䉪䊨䊃䊨䊮౒㡆䋩䉣䉾䉼䊮䉫ⵝ⟎
䋨␹ᚭ⵾㍑䋩
Si↪ICP䋨⺃ዉ⚿ว䊒䊤䉵䊙䋩䉣䉾䉼䊮䉫ⵝ⟎
䋨䊡䊷䊁䉾䉪䋩
䂹 Si deep etching system
(Sumitomo Precision Products)
䂹
Si↪ᷓၳ䉍䉣䉾䉼䊮䉫ⵝ⟎
䋨૑෹♖ኒᎿᬺ䋩
ICP etcher for highly selective etching of SiO2
(AYUMI INDUSTRY)
SiO2↪ICP䉣䉾䉼䊮䉫ⵝ⟎
䋨䉝䊡䊚Ꮏᬺ䋩
SiO2
Si
Buried oxide
Si
200 nm
SEM cross section of Si waveguides formed
by ICP etcher for Si.
ICPࠛ࠶࠴ࡦࠣⵝ⟎ߢᒻᚑߒߚSiዉᵄ〝
ߩᢿ㕙SEM௝
10 µm
High-aspect ratio Si pillars formed by deep
etching system.
ᷓၳࠅࠛ࠶࠴ࡦࠣⵝ⟎ߢᒻᚑߒߚ㜞ࠕࠬ
ࡍࠢ࠻ᲧSiࡇ࡜࡯
䂹 RIE (Reactive Ion Etching) system for SiO2
䂹 ICP etcher for SiO2
(SAMCO)
(KOBELCO)
SiO2↪ICP䉣䉾䉼䊮䉫ⵝ⟎
(䉰䊛䉮)
SiO2↪RIE(෻ᔕᕈ䉟䉥䊮䉣䉾䉼䊮䉫)ⵝ⟎
䋨␹ᚭ⵾㍑䋩
䂹 ICP etcher for Al
䂹 Magnetron RIE system for Al
(YOUTEC)
(KOBELCO)
Al↪ICP䉣䉾䉼䊮䉫ⵝ⟎
䋨䊡䊷䊁䉾䉪䋩
Al↪䊙䉫䊈䊃䊨䊮RIEⵝ⟎
䋨␹ᚭ⵾㍑䋩
R sist
Re
Resist
Resist
Al
Al
O2
SiO
200 nm
SEM cross section of SiO2 film etched by
ICP etcher for SiO2.
SiO2↪ICPࠛ࠶࠴ࡦࠣⵝ⟎ߢࠛ࠶࠴ࡦ
ࠣߐࠇߚSiO2⭯⤑ߩᢿ㕙SEM௝
SiO
SiO22
Si
Si sub
sub
300 nm
SEM cross section of Al film etched by ICP
etcher for Al.
Al↪ICPࠛ࠶࠴ࡦࠣⵝ⟎ߢࠛ࠶࠴ࡦࠣߐ
ࠇߚAl⭯⤑ߩᢿ㕙SEM௝
䂹 Chemical dry etching system for
䂹 Plasma asher for removing
Si3N4 and poly-Si (KOBELCO)
photoresist (KOBELCO)
Si3N4෸䈶SiO2↪䉬䊚䉦䊦䊄䊤䉟
䉣䉾䉼䊮䉫ⵝ⟎ 䋨␹ᚭ⵾㍑䋩
䊧䉳䉴䊃㒰෰↪䊒䊤䉵䊙
䉝䉾䉲䊮䉫ⵝ⟎䋨␹ᚭ⵾㍑䋩
Test element group (TEG) of MOS transistors fabricated on 2-inch wafer.
2ࠗࡦ࠴ၮ᧼਄ߦ૞⵾ߒߚMOS࠻࡜ࡦࠫࠬ࠲TEG
Test element group (TEG) of MOS capacitors fabricated on 2-inch wafer.
2ࠗࡦ࠴ၮ᧼਄ߦ૞⵾ߒߚMOSࠠࡖࡄࠪ࠲TEG
6.2.3 Oxidation, annealing, and doping
㉄ൻ䇮䉝䊆䊷䊦䇮ਇ⚐‛ᵈ౉
䂹 Oxidation and diffusion furnaces
(Tokyo Electron)
㉄ൻ䊶᜛ᢔἹ
(᧲੩䉣䊧䉪䊃䊨䊮)
䂹 RTA (Rapid Thermal Annealing) system
(Samco HT-1000)
㜞ㅦᾲಣℂⵝ⟎
(ࠨࡓࠦ HT-1000)
䂹 Ion implanter
(ULVAC)
䉟䉥䊮ᵈ౉ⵝ⟎
(䉝䊦䊋䉾䉪)
䂹 Phosphorus diffusion furnaces
(SHINKO SEIKI)
䊥䊮᜛ᢔἹ
␹᷼♖ᯏ
䂹 Annealing furnaces for general purpose
(Koyo Thermo System)
᳢↪ᾲಣℂⵝ⟎
(శᵗࠨ࡯ࡕࠪࠬ࠹ࡓ)
SiO2
Si3N4
Si sub
SiO2
1 µm
Isolation by the local oxidation of
silicon (LOCOS) process
ዪᚲ㉄ൻᴺߦࠃࠆ⚛ሶಽ㔌
6.2.4 Dielectric film deposition and epitaxial growth
⛘✼⤑ၸⓍ䊶䉣䊏䉺䉨䉲䊞䊦ᚑ㐳
䂹 Low-pressure chemical vapor deposition (CVD)
reactors for SiO2, SiN and poly-Si deposition
(Tokyo Electron)
SiO2, SiN, poly-SiၸⓍ↪ᷫ࿶CVD䋨ൻቇ᳇⋧ᚑ
㐳䋩Ἱ (᧲੩䉣䊧䉪䊃䊨䊮)
䂹 Parallel plate type clean plasma CVD reactor for
SiN and amorphous Si (ULVAC)
SiN෸䈶䉝䊝䊦䊐䉜䉴SiၸⓍ↪ᐔⴕᐔ᧼ဳ䊒䊤䉵䊙
CVDⵝ⟎ (䉝䊦䊋䉾䉪)
䂹 Atmospheric pressure CVD reactor for SiO2
(AMAYA)
SiO2ၸⓍ↪Ᏹ࿶CVDⵝ⟎
(ᄤ⼱⵾૞ᚲ)
䂹 Molecular beam epitaxial growth system
(EIKO)
ಽሶ✢䉣䊏䉺䉨䉲䊞䊦ᚑ㐳ⵝ⟎
(䉣䉟䉮䊷)
䂹 Atomic layer CVD (ALCVD) reactor
(Thermo Riko)
ේሶጀCVDἹ
(䉰䊷䊝ℂᎿ)
SiO22
Al
Si sub
300
300 nm
nm
SiO2 deposition by APCVD
APCVDߦࠃࠆSiO2ၸⓍ
6.2.5 Metal deposition
㊄ዻ⭯⤑ၸⓍ
䂹 Metal/dielectrics sputtering system
(ULVAC)
㊄ዻ/⛘✼⤑䉴䊌䉾䉺䊥䊮䉫ⵝ⟎ (䉝䊦䊋䉾䉪)
䂹 Electron beam evaporation
system (EIKO)
㔚ሶ䊎䊷䊛⫳⌕ⵝ⟎
(ࠛࠗࠦ࡯)
䂹 Sputtering system for
general purpose (EIKO)
᳢↪䉴䊌䉾䉺ⵝ⟎
(䉣䉟䉮䊷)
6.2.6 Others
䈠䈱ઁ
䂹 Surface-activated bonding system (EIKO)
⴫㕙ᵴᕈൻធวⵝ⟎ (ࠛࠗࠦ࡯)
䂹 Sputtering machine for metal interconnects
(EIKO)
㊄ዻ㈩✢↪䉴䊌䉾䉺䊥䊮䉫ⵝ⟎ (䉣䉟䉮䊷)
䂹 Vacuum evaporation
system (Donated: Homemade)
------------- ⌀ⓨ⫳⌕ⵝ⟎
(ነ⿅䋺⥄૞)
6.3 Characterization and diagnostics equipment
⹏ଔ䊶ಽᨆⵝ⟎
䂹 Secondary ion mass spectroscopy (SIMS) system
(ULVAC-PHI PHI-6650)
2ᰴ䉟䉥䊮⾰㊂ಽᨆⵝ⟎
(ࠕ࡞ࡃ࠶ࠢ-ࡈࠔࠗ PHI-6650)
䂹 Fourier-transform infrared spectrometer (FTIR)
(JEOL)
䊐䊷䊥䉣ᄌ឵⿒ᄖಽశశᐲ⸘
ᣣᧄ㔚ሶ
䂹
X-ray diffractometer
(Rigaku ATX-E)
㜞⸃௝ᐲX✢࿁᛬ⵝ⟎
(࡝ࠟࠢ ATX-E)
䂹 Total reflection of X-ray fluorescence Spectrometer
(Technos TREX-610)
ో෻኿ⰯశX✢ಽᨆⵝ⟎
(Technos TREX-610)
䂹 Atomic force microscope (AFM)
(Seiko Instruments Inc. SPI3800)
ේሶ㑆ജ㗼ᓸ㏜
䋨䉶䉟䉮䊷䉟䊮䉴䉿䊦䊜䊮䉿 SPI3800䋩
䂹 X-ray diffractometer
(Rigaku RINT2100)
X✢࿁᛬ⵝ⟎
(࡝ࠟࠢ RINT2100)
䂹 Ellipsometer
䋨
䉣䊥䊒䉸䊜䊷䉺䊷
䋨䊦䊄䊦䊐䊥䉰䊷䉼
L䋩
L䋩
䂹 Hall effect measurement system
(ACCENT HL5500PC)
䊖䊷䊦ലᨐ᷹ቯⵝ⟎
(ACCENT HL5500PC)
䂹 Spectroscopic ellipsometer
(J.A.Woollam JAPAN M-2000D)
ಽశ䉣䊥䊒䉸䊜䊷䉺䊷
䋨䉳䉢䊷䊶䉣䊷䊶䉡䊷䊤䊛䊶䉳䊞䊌䊮 M-2000D䋩
䂹 High-resolution X-ray photoelectron spectroscopy
(XPS) system (KRATOS ESCA-3400)
X✢శ㔚ሶಽశಽᨆⵝ⟎
(KRATOS ESCA-3400)
䂹 High-resolution X-ray photoelectron spectroscopy (XPS) system (VG Scienta ESCA-300)
X✢శ㔚ሶಽశಽᨆⵝ⟎ (VG䉲䉣䊮䉺 ESCA-300䋩
䂹 200-kV field emission-transmission electron
microscopy (FE-TEM) (Hitachi HF-2100)
ㅘㆊ㔚ሶ㗼ᓸ㏜
(ᣣ┙ HF-2100)
䂹 Field emission scanning electron microscope
(FE-SEM) (Hitachi S4700)
㔚⇇᡼಴ဳ⿛ᩏ㔚ሶ㗼ᓸ㏜
䋨ᣣ┙ S4700䋩
䂹 Focused Ion Beam (FIB) system
(Hitachi FB-2000)
㓸᧤䉟䉥䊮䊎䊷䊛ടᎿⵝ⟎
ᣣ┙
000
䂹 Manual wafer prober (Vector Semi- conductor)
and semiconductor parameter analyzer (Keithley)
䊙䊆䊠䉝䊦䊒䊨䊷䊋䊷(䊔䉪䉺䊷䉶䊚䉮䊮)෸䈶
ඨዉ૕䊌䊤䊜䊷䉺䊷䉝䊅䊤䉟䉱䊷(䉬䊷䉴䊧䊷)
䂹 Semi-automatic wafer prober
(Vector Semi- conductor AX-2000)
䉶䊚䉥䊷䊃䊒䊨䊷䊋䊷
(䊔䉪䉺䊷䉶䊚䉮䊮AX-2000)
6.4 VLSI CAD Environment
LSI⸳⸘↪
ⅣႺ
6.4.1 Hardware
䊊䊷䊄䉡䉢䉝
Workstations
䂹 SUN: 17 machines (SunFire X4600 1, SunFire V440 2, SunBlade2500
SunBlade1000 3ҏ , SunUltra60 3, SunUltra10 ҏ3)
䂹 HP: 7 machines (ProLiant DL580G5
1, xw9300
1, xw8600
1, j6750
2, SunBlade2000
1, c8000
2, b2000
3,
1)
Workstations for TCAD and LSI design
෸䈶LSI䊂䉱䉟䊮↪䊪䊷䉪䉴䊁䊷䉲䊢䊮
6.4.2 Software
䉸䊐䊃䉡䉢䉝
TCAD tools
䂹 Process/Device Simulators: SYNOPSYS TSUPREM4/MEDICI, ISE TCAD, SYNOPSYS Sentaurus,
Selete ENEXSS
Other simulators
䂹 Electromagnetic Field Simulators: ANSOFT HFSS, CST Microwave Studio
䂹 Optical Wave-guide Simulator:
Apollo Photonics APSS
LSI design tools
䂹 Layout Design:
CADENCE Virtuoso*, JEDAT alpha-SX(ISMO), Silvaco Expert*
䂹 Schematic Design:
CADENCE Composer*, JEDAT alpha-SX(ASCA), Silvaco Gateway
䂹 Functional Simulators: CADENCE SPW*, Mathworks MATLAB
䂹 Circuit Simulators:
CADENCE Artist*, Spectre*, Silvaco SmartSpice*, SYNOPSYS Star-HSPICE*, HSIM*,
TimeMill/PowerMill*, NanoSim*
䂹 Logic Simulators:
CADENCE NC-Verilog*, VerilogXL*, MENTOR ModelSim*,
SYNOPSYS VSS*
䂹 Logic Synthesis:
ALTERA QuartusII, CADENCE HDL Compiler*, SYNOPSYS Design Compiler*,
FPGA Compiler*, XILINX ISE Foundation
䂹 Automatic P&R:
SYNOPSYS Milkyway*, Astro*, IC-Compiler*, CADENCE SoC-Encounter*
䂹 Verification:
CADENCE Diva*, Dracula*, Assura*, JEDAT Layver, ‡#MENTOR Calibre*,
SYNOPSYS Hercules*
Notice that various kinds of popular CAD software (marked with “*”) which support Verilog HDL/VHDL
simulation, synthesis, layout design and verification for digital/analog VLSIs are provided by VLSI Design and
Education Center (VDEC), the University of Tokyo.
7.
List of Publications
7.1
Advanced device, process, and material technologies for ULSI
7.1.1
Fabrication techniques for scaled MOS devices and TFTs
[1]
K. Osada, T. Fukunaga, K. Shibahara, “Ge Shallow Junction Formation by As implantation and Flash Lamp
Annealing,” Proceedings of 2009 Int. Symp.on VLSI Technology, Systems, and Applications (VLSI-TSA
2009, Hsinchu, Taiwan, Apr. 27-29, 2009) ,pp. 15-16.
[2]
T. Hosoi, A. Ohta, S. Miyazaki, H. Shiraishi, K. Shibahara, “Photoemission study of fully silicided Pd2Si
gates with interface modification induced by dopants,”Appl. Phys. Lett. 94, pp. 192102-1- 3, 2009.
[3]
K. Osada, K. Shibahara, “Critical Amorphization Dose and Amorphization Mechanism for Ion Implantation
into Germanium,” Conf. Digest of The 2009 International Meeting for Future of Electron Devices, Kansai
(2009 IMFEDK, Osaka, Japan, May 14-15, 2009), pp. 130-131.
[4]
Y. Kawasaki, Y. Maruyama, H. Yoshimura, H. Miyatake, K. Shibahara, “Influence of Amorphization Depth
on Sheet Resistance in Shallow Junction Formation with B Cluster Implantation,” Ext. Abs. the 9th
International Workshop on Junction Technology (IWJT2009, Kyoto, Japan, June 11-12, 2009), pp. 31-33.
[5]
K. Shibahara, K. Osada, “Anomalous Amorphization Resistance of Ge against 11B+ Implantation,” Ext. Abs.
the 9th International Workshop on Junction Technology (IWJT2009, Kyoto, Japan, June 11-12, 2009), pp.
104-105.
[6]
Y. Nishida, K. Eikyu, A. Shimizu, T. Yamashita, H. Oda, Y. Inoue, K. Shibahara, “Temperature Coefficient
of Threshold Voltage in Metal/High-k Gate Transistors with Various Thickness of TiN and Capping
Layers,” Ext. Abst. of the 2009 Int. Conf. on Solid State Devices and Materials (SSDM2009, Sendai, Japan,
Oct. 7-9, 2009), pp. 24-25.
[7]
K. Makihara, K. Shimanoe, M. Ikeda, A. Ohta, S. Higashi, S. Miyazaki, “Electronic Charged States of
Pt-silicide Nanodots as Evaluated by Using an AFM/Kelvin Probe Technique,” Trans. MRS-J. 34, (2), pp.
309-312, 2009.
[8]
H. Furukawa, S. Higashi, T. Okada, H. Kaku, H. Murakami, S. Miyazaki, “Millisecond Rapid Thermal
Annealing of Si wafer Induced by High Power Density Thermal Plasma Jet Irradiation and Its application to
Ultra Shallow Junction Formation,” Jpn. J. Appl. Phys. 48, (4), pp. 04C011-1-4, 2009.
[9]
S. Hayashi, S. Higashi, H. Murakami, S. Miyazaki, “Crystallization of Amorphous Si Films by Ultra High
Speed Zone Melting Induced by Micro Thermal Plasma Jet Irradiation,” Proc. 27th Symp. Plasma
Processing (SPP-27, Yokohama, Japan, Feb. 1-3, 2010), pp. 75-76.
[10] Y. Hiroshige, S. Higashi, K. Matsumoto, S. Miyazaki, “Improvement of Current Stress Endurance of
Low-Temperature Deposited SiO2 Films by Thermal Plasma Jet Induced Millisecond Annealing,” Proc. 6th
Int. TFT Conf., (Himeji, Japan, Jan. 28-29, 2010), pp. 138-141.
[11] T. Matsumoto, S. Higashi, N. Koba, S. Miyazaki, “Pseudo-Epitaxial Growth of Silicon Microliquid
Dropped on Hydrogen Terminated Silicon Wafer Surface,” Abs. 19th Int. Photovoltaic Sci. Eng. Conf.
(PVSEC, Jeju, Korea, Nov. 9-13, 2009), pp.295-296.
[12] K. Matsumoto, S. Higashi, H. Furukawa, T. Okada, H. Murakami, S. Miyazaki, “Activation of B and As in
Ultra Shallow Junction with Heating and Cooling Rates Controlled Millisecond Annealing Induced by
Thermal Plasma Jet,” Ext. Abs. 2009 Int. Conf. Solid State Dev. Mat. (SSDM), (Sendai, Japan, Oct. 7-9,
2009), pp1018-1019.
[13] Y. Hiroshige, S. Higashi, K. Matsumoto, S. Miyazaki, “Formation of High Quality SiO2 and SiO2/Si
Interface using Thermal Plasma Jet Induced Millisecond Annealing and Post-Metallization Annealing,”
Proc. Int. Symp. Dry Process, (Busan, Korea, Sep. 24-25, 2009), pp. 261-262.
[14] S. Higashi, N. Koba, T. Matsumoto, S. Miyazaki, “Control of Growth Orientation during Rapid
Solidification of Si Microliquid,” Abs. 23rd Int. Conf. Amorphous Nanocrystalline Semiconductors (ICANS
23, Utrecht, Netherlands, Aug. 23-28, 2009), p.252.
[15] K. Makihara, Y. Miyazaki, T. Okada, H. Kaku, K. Shimanoe, A. Ohta, M Ikeda, S. Higashi, S, Miyazaki,
“Selective Crystallization of a-Ge:H Thin Films by Pt-coating and Exposing to Remote H2 Plasma,” Abs.
23rd Int. Conf. Amorphous Nanocrystalline Semiconductors (ICANS 23, Utrecht, Netherlands, Aug. 23-28,
2009), p. 360.
[16] S. Higashi, “Millisecond Thermal Processing for TFT and ULSI,” Semiconductor Tech. Ultra Large Scale
Integrated Circuits and Thin Film Transistors II, (Xi’an, China, Jul. 5-10, 2009).
[17] K. Sugakawa, S. Higashi, H. Kaku, T. Okada, S. Miyazaki, “Characterization of Microcrystalline Silicon
Thin Film Transistors Fabricated by Thermal Plasma Jet Crystallization Technique,” Proc. AM-FPD 09,
(Nara, Japan, Jul. 1-3, 2009), pp. 117-120.
7.1.2
High-k dielectrics
[18] A. Ohta, D. Kanme, H. Murakami, S. Higashi, S. Miyazaki, “Characterization of Interfacial Reaction and
Chemical Bonding Features of LaOx/HfO2 Stack Structure Formed on Thermally-grown SiO2/Si(100),”
Microelec. Eng. 86,(7-9), pp. 1650-1653, 2009.
[19] T. Mori, A. Ohta, H. Murakami, S. Higashi, S. Miyazaki, “Evaluation of Effective Work Function of Pt on
Bi-layer High-k/SiO2 Stack Structure using by Backside X-ray Photoelectron Spectroscopy,” Ext. Abs. 2009
Int. Conf. Solid State Dev. Mat. (SSDM, Sendai, Japan, Oct. 7-9, 2009), pp.44-45.
[20] A. Ohta, D. Kanme, H. Murakami, S. Higashi, S. Miyazaki, “Characterization of Interfacial Reaction and
Chemical Bonding Features of LaOx/HfO2 Stack Structure Formed on Thermally-grown SiO2/Si(100),” 16th
biannual Conf. Insulating Films on Semiconductors 2009 (INFOS2009, Cambridge, UK, June 29 - July 1,
2009), p178.
7.1.3
On chip optical interconnection
[21] K. Kuriyama, Y. Amemiya, K. Terao, S. Yokoyama, “Characterization of Ge Photodetectors Fabricated on
Vicinal Si Substrate,” Jpn. J. App. Phys. 48, (4), pp. 04C112-1-5, 2009.
[22] Y. Amemiya, T. Tokunaga, Y. Tanushi, S. Yokoyama, “Optical Modulator Using Metal-OxideSemiconductor Type Si Ring Resonator,” Opt. Rev. 16, (3) , pp. 247-251, 2009.
[23] Y. Amemiya, M. Nishida, S. Yokoyama, “Reducing Operation Voltage of Silicon Ring Optical Modulator,”
Ext. Abst. of the 2009 Int. Conf. on Solid State Devices and Materials (SSDM2009, Sendai, Japan, Oct. 7-9,
2009)I-1-4, pp. 202-203, 2009.
[24] T. Tabei, K. Maeda, S. Yokoyama, H. Sunami, “Monolithic Integration of Si-Dot Light Emitting Diodes, Si
Photodiodes, and Spin-Coated Optical Waveguides on Si LSI,” Ext. Abst. of the 2009 Int. Conf. on Solid
State Devices and Materials (SSDM2009, Sendai, Japan, Oct. 7-9, 2009) I-2-5, pp. 220-221, 2009.
[25] K. Kajikawa, T. Tabei, H. Sunami, “An Infrared Silicon Optical Modulator of Metal–Oxide–
Semiconductor Capacitor Based on Accumulation-Carrier Absorption,” Jpn. J. App. Phys. 48, (4), pp.
04C107-1-4, 2009.
[26] T. Tabei, T. Hirata, K. Kajikawa, H. Sunami, “Potentiality of Metal-Oxide-Semiconductor Silicon Optical
Modulator Based on Free Carrier Absorption,” Jpn. J. App. Phys. 48, (11), pp. 114501-1-7, 2009.
7.1.4
Low-k dielectrics
[27] S. Chikaki, M. Shimoyama, R. Yagi, Y. Shishida, T. Yoshino, T.Ono, N. Fujii, N. Hata, T. Nagayama, Y.
Sonoda, Y. Seino, K. Kinoshita, T. Kikkawa “Integration of Self-Assembled Porous Silica in Low-k/Cu
Damascene Interconnects,” Jpn. J. App. Phys. 48, (9), pp. 095002-1-8, 2009.
[28] Y. Kayaba, T. Kikkawa, “Quantitative Determination of Complex Dielectric Function of Amorphous Silicon
Dioxide on Silicon Substrate from Transmission Spectrum,” Jpn. J. App. Phys. 48, (12), pp. 121406-1-6,
2009.
[29] T. Kikkawa, “Challenges of Advanced Interconnects: from Cu/low-k to Wireless,” The International
Conference ̌Micro- and nanoelectronics 2009̍ (ICMNE-2009, Zvenigorod, Moscow, Russia, October 6,
2009) , L1-02.
[30] H. Hara, M. Yamato, T. Kikkawa, “Properties of TiO2/LaxTi1-xOy/TiO2 Stacked Thin Films,” Jpn. J. App.
Phys. 48, (10), pp. 101403-1-4, 2009.
[31] M. Yamato, H. Hara, T. Kikkawa, “Properties of Sr7Nb13O36/ Nb2O5/ Sr7Nb13O36 Laminated Films for
Gigabit Memory Capacitors,” Jpn. J. App. Phys. 48, (7), pp. 071401-1-8, 2009.
7.1.5
Wireless interconnects
[32] S. Kubota, Xia Xiao, N. Sasaki, K. Kimoto and T. Kikkawa, "Characteristics of UWB Bow-tie Antenna
integrated with Balun for Breast Cancer Detection," Proc. of 2009 IEEE International Symposium on
Antennas and Propagation and USNC/URSI National Radio Science Meeting, (Charleston, SC, USA, June
1-5, 2009)
[33] T. Kouzaki, K. Kimoto, S. Kubota, A. Toya, N. Sasaki, T. Kikkawa, “Quasi Yagi-Uda Antenna Array for
Detecting Targets in a Dielectric Substrate,” Proc. of 2009 IEEE International Conference on
Ultra-Wideband, (Vancouver, BC, Canada, September 9-11, 2009), pp.759-762.
[34] S. Kubota, N. Sasaki, Y. Kayaba, W. Moriyama, T. Kozaki, T. Kikkawa, “High Gain and High Directivity
UWB Bow-tie Antenna with High Impedance Metamaterial Surface,” Ext. Abst. of the 2009 Int. Conf. on
Solid State Devices and Materials (SSDM2009, Sendai, Japan, Oct. 7-9, 2009), pp. 100-101.
[35] W. Moriyama, K. Kimoto, S. Kubota, N. Sasaki and T. Kikkawa, “Transmission Characteristics of Silicon
On-chip Integrated Antennas as Millimeter-Wave Wireless Interconnects,” Ext. Abst. of the 2009 Int. Conf.
on Solid State Devices and Materials (SSDM2009, Sendai, Japan, Oct. 7-9, 2009), pp. 98-99.
[36] M. Hafiz, N. Sasaki, T. Kikkawa, “A Novel CMOS 800 Mb/s BPSK Detector for IR-UWB
Communication,” Ext. Abst. of the 2009 Int. Conf. on Solid State Devices and Materials (SSDM2009,
Sendai, Japan, Oct. 7-9, 2009), pp. 66-67.
[37] A. Azhari, K. Kimoto, N. Sasaki, T. Kikkawa, “A 3.5-4.5 GHz CMOS UWB Receiver Frontend LNA with
On-chip Integrated Antenna for Inter-chip Communication,” Ext. Abst. of the 2009 Int. Conf. on Solid State
Devices and Materials (SSDM2009, Sendai, Japan, Oct. 7-9, 2009), pp. 68-69.
[38] A. Toya, N. Sasaki, S. Kubota, T. Kikkawa, “31.25 ps Differential Equivalent Time Sampling Circuit Using
65 nm CMOS Technology,” Ext. Abst. of the 2009 Int. Conf. on Solid State Devices and Materials
(SSDM2009, Sendai, Japan, Oct. 7-9, 2009), pp. 456-457.
[39] N. Sasaki, T. Kikkawa, “Bit Error Rate Measurement of IR-UWB CMOS Transmitter and Receiver,” 2009
Asia-Pacific Microwave Conference, (Singapore, Dec. 7-10, 2009), pp.249-252
[40] T. Kikkawa, “Wireless interchip interconnects,” The 2010 Materials for Advanced Metallization Conference,
(Mechelen, Belgium, 02, March 8, 2010)
7.1.6
CVD and contamination/particle control
[41] K. Fujita, Y. Morimoto, A. Ogami, T. Myojyo, I. Tanaka, M. Shimada, W.-N. Wang, S. Endoh, K. Uchida, T.
Nakazato, K. Yamamoto, H. Fukui, M. Horie, Y. Yoshida, H. Iwahashi, J. Nakanishi, “Gene Expression
Profiles in Rat Lung after Inhalation Exposure to C60 Fullerene Particles,” Toxicology, 258, (1), pp. 47-55,
2009.
[42] H. Yokoyama, T. Ono, Y. Morimoto, T. Myojo, I. Tanaka, M. Shimada, W.-N. Wang, S. Endo, K. Uchida,
“Noninvasive In Vivo Electron Paramagnetic Resonance Study to Estimate Pulmonary Reducing Ability in
Mice Exposed to NiO or C60 Nanoparticles,” J. Magnetic Resonance Imaging, 29, (6), pp. 1432-1437, 2009.
[43] M. Shimada, W.-N. Wang, K. Okuyama, T. Myojo, T. Oyabu, Y. Morimoto, I. Tanaka, S. Endoh, K. Uchida,
K. Ehara, H. Sakurai, K. Yamamoto, J. Nakanishi, “Development and Evaluation of an Aerosol Generation
and Supplying System for Inhalation Experiments of Manufactured Nanoparticles,” Environ. Sci. Technol.
43, (14), pp. 5529-5534, 2009.
[44] H. Kato, K. Mizuno, M. Shimada, A. Nakamura, K. Takahashi, K. Hata, S. Kinugasa, “Observations of
Bound Tween80 Surfactant Molecules on Single-Walled Carbon Nanotubes in an Aqueous Solution,”
Carbon. 47, (15), pp. 3434-3440, 2009.
[45] W. Widiyastuti, A. Purwanto, W. N. Wang, F. Iskandar, H. Setyawan K. Okuyama, “Nanoparticle Formation
through Solid-Fed Flame Synthesis: Experiment and Modeling,” AIChE J. 55, (4), pp. 885-895, 2009.
[46] F. Iskandar, A.B.D. Nandiyanto, W. Widiyastuti, S. Y. Lee, K. Okuyama, L. Gradon, “Production of
Morphology-Controllable Porous Hyaluronic Acid Particles using a Spray Drying Method,” Acta
Biomaterialia, 5, (4), pp. 1027-1034, 2009.
[47] W. Widiyastuti, S. Y. Lee, F. Iskandar, K. Okuyama, “Sintering behavior of spherical aggregated
nanoparticles prepared by spraying colloidal precursor in a heated flow,” Adv. Powder Technol. 20, (4), pp.
318-326, 2009.
[48] W. Widiyastuti, T. Minami, W. N. Wang, F. Iskandar, K. Okuyama, “Photoluminescence Characteristics of
Macroporous Eu-doped Yttrium Oxide Phosphor Particles Prepared by Spray Pyrolysis,” Jpn. J. Appl. Phys.
48, (3), pp. 032001-1-5, 2009.
[49] A. B. D. Nandiyanto, S. G. Kim, F. Iskandar, K. Okuyama, “Synthesis of Spherical Mesoporous Silica
Nanoparticles with Nanometer-Size Controllable Pores and Outer Diameters,” Microporous Mesoporous
Mater. 120, (3), pp. 447-453, 2009.
[50] M. M. Munir, F. Iskandar, Khairurrijal, K. Okuyama, “High performance electrospinning system for
fabricating highly uniform polymer nanofibers,” Rev. Sci. Instrum. 80, (2), pp. 026106-1-3, 2009.
[51] A. B. Suryamas, M. M. Munir, F. Iskandar K. Okuyama, “Photoluminescent and crystalline properties of
Y3-xAl5O12:Cex3+ phosphor nanofibers prepared by electrospinning,” J. Appl. Phys. 105, (6), pp. 064311-1-5,
2009.
[52] H. Widiyandari, M. M. Munir, F. Iskandar K. Okuyama, “Morphology-controlled synthesis of
chromia-titania nanofibers via electrospinning followed by annealing,” Mater. Chem. Phys. 116, (1), pp.
169-174. 2009.
[53] A. B. D. Nandiyanto, F. Iskandar K. Okuyama, “Macroporous Anatase Titania Particle: Aerosol
Self-Assembly Fabrication with Photocatalytic Performance,” Chem. Eng. J. 152, (1), pp. 293-298, 2009.
[54] M. Takeda, E. Tanabe, T. Iwaki, A. Yabuki, K. Okuyama, “Preparation of Nanocomposite Microspheres
Containing High Concentration of TiO2 Nanoparticles via Bead Mill Dispersion in Organic Solvent,”
Chemistry Letters, 38, (5), pp. 448-449. 2009.
[55] K. M. Yun, A. B. Suryamas, C. Hirakawa, F. Iskandar, K. Okuyama, “A new physical route to produce
monodispersed microsphere nanoparticle-polymer composites,” Langmuir, 25, (18), pp. 11038-11042, 2009.
[56] A. B. D. Nandiyanto, Y. Kaihatsu, F. Iskandar, K. Okuyama, “Rapid Synthesis of a BN/CNT Composite
Particle via Spray Routes using Ferrocene/Ethanol as a Catalyst/Carbon Source,” Mater. Lett., 63, (21), pp.
1847-1850, 2009.
[57] I M. Joni, A. Purwanto, F. Iskandar, K. Okuyama, “Dispersion stability enhancement of titania nanoparticles
in organic solvent using a bead mill process,” Ind. Eng. Chem. Res, 48, (15), pp. 6916-6922, 2009.
[58] I M. Joni, A. Purwanto, F. Iskandar, M. Hazata, K. Okuyama, “Intense UV-light absorption of ZnO
nanoparticles prepared using a pulse combustion-spray pyrolysis method,” Chem. Eng. J. 155, (1-2), pp.
433-441, 2009.
[59] Wang, W. N., Y. Kaihatsu, F. Iskandar, K. Okuyama, “Chemical and photoluminescence analyses of new
carbon-based boron oxynitride phosphors,” Mater. Res. Bull. 44, (11), pp. 2099-2102, 2009.
[60] Ꮉㇱ㓷┨㪃㩷 䊐䉢䊥䊷䊶䉟䉴䉦䊮䉻䊦㪃㩷 ᅏጊ༑ਭᄦ㪃㩷 䇸䊘䊥䊒䊨䊏䊧䊮ਇ❱Ꮣ䉣䊧䉪䊃䊧䉾䊃䊐䉞䊦䉺䈱Ꮺ㔚ㆊ
⒟䇹㪃㩷 㕒㔚᳇ቇળ⹹㪃㩷33, (5), pp. 213-219, 2009.㩷
㩷
[61] K. M. Yun, S. Y. Lee, F. Iskandar, K. Okuyama, N. Tajima, “Effect of X-ray energy and ionization time on
the charging performance and nanoparticle formation of a soft X-ray photoionization charger,” Adv. Powder
Technol. 20, (6), pp. 529-536, 2009.
[62] N. Hagura, A. B. D. Nandiyanto, F. Iskandar, K. Okuyama, “A Role of Template Surface Charge in the
Preparation of Porous and Hollow Particle Using a Spray-drying Method,” Chem. Lett. 38, (11), pp.
1076-1077, 2009.
[63] M. M. Munir, A. B. Suryamas, F. Iskandar, K. Okuyama, “Scaling law on particle to fiber formation during
electrospinning,” Polymer, 50, (20), pp. 4935-4943, 2009.
[64] A. Purwanto, H. Widiyandari, D. Hidayat, F. Iskandar, K. Okuyama, “Facile Method for the Fabrication of
Vertically Aligned ITO Nanopillars with Excellent Properties,” Chem. Mater. 21, (18), pp. 4087-4089,
2009.
[65] S. Y. Lee, W. Widiyastuti,
F. Iskandar, K. Okuyama, Leon Gradon, “Morphology and particle size
distribution controls of droplet-to-macroporous/hollow particles formation in spray drying process of
colloidal mixtures precursor,” Aerosol Sci. Technol. 43, (12), pp. 1184-1191, 2009.
[66] W. N. Wang, Y. Kaihatsu, F. Iskandar, K. Okuyama, “Highly Luminous Hollow Chloroapatite Phosphors
Formed by a Template-Free Aerosol Route for Solid-State Lighting,” Chem. Mater. 21, (19), pp. 4685-4691,
2009.
[67] ᧖᧛ஜ৻, T. M. D. Nguyen, F. Iskandar, ᅏጊ༑ਭᄦ, 䇸ྃ㔵ੇ῎ᴺ䈮䉋䉎 Ni/BaTiO3 䉮䉝䉲䉢䊦☸ሶ䈱
วᚑ䇹, ☳૕Ꮏቇળ⹹, 46, (11), pp. 813-818, 2009.
[68] M. Takeda, E. Tanabe, T. Iwaki, A. Yabuki, K. Okuyama, “Importance of dispersibility of TiO2 in
preparation of TiO2-dispersed microspheres by Shirasu porous glass (SPG) membrane emulsification,” Adv.
Powder Technol. 20, (4), pp. 361-365, 2009.
7.1.7
Organic electronic devices
[69] S. Shinamura, T. Kashiki, T. Izawa, E. Miyazaki, K. Takimiya, “Unique Molecular Arrangement in
Semiconducting Layer and FET Characteristics of Thin Film Transistors Based on
2,6-Dialkylbenzo[1,2-b:4,5-b´]diselenophenes (Cn-BDSs),” Chem. Lett. 38, (4), pp. 352–353, 2009.
[70] T. Kashiki, S. Shinamura, M. Kohara, E. Miyazaki, K. Takimiya K, M. Ikeda M, H. Kuwabara, “One-pot
Synthesis of Benzo[b]thiophenes and Benzo[b]selenophenes from o-Halo-Substituted Ethynylbenzenes:
Convenient Approach to Mono-, Bis-, and Tris-Chalcogenophene-Annulated Benzenes,” Org. Lett. 11, (11),
pp. 2473-2475, 2009.
[71] T. Izawa, H. Mori, Y. Shinmura, M. Iwatani, E. Miyazaki, K. Takimiya, H. -W. Hung, M. Yahiro, C. Adachi,
“Molecular
Modification
of
2,7-Diphenyl[1]benzothieno[3,2-b]benzothiophene
(DPh-BTBT)
with
Diarylamino Substituents: From Crystalline Order to Amorphous State in Evaporated Thin Films,” Chem.
Lett. 38, (5), pp.420-421, 2009.
[72] T. Kashiki, E. Miyazaki, K. Takimiya, “Alkylated 2,6-Bis(dicyanomethylene)-2,6-dihydrobenzo
[1,2-b:4,5-b']dithiophenes: New Soluble n-Channel Organic Semiconductors for Air-stable OFETs,” Chem.
Lett. 38, (6), pp.568-569, 2009.
[73] S. Handa, E. Miyazaki, K. Takimiya, “Air-stable solution-processed ambipolar organic field-effect
transistors based on a dicyanomethylene-substituted terheteroquinoid derivative,” Chem. Comm. (26), pp.
3919-3921, 2009.
[74] E. Miyazaki, A. Kaku, H. Mori, M. Iwatani, K. Takimiya, “5-Hexylthiophene-fused porphyrazines: new
soluble phthalocyanines for solution-processed organic electronic devices,” J. Mater. Chem. 19, (33) pp.
5913-5915, 2009.
[75] T. Yamamoto, S. Shinamura, E. Miyazaki, K. Takimiya, “Three Structural Isomers of
Dinaphthothieno[3,2-b]thiophenes: Elucidation of Physicochemical Properties, Crystal Structures, and
Field-Effect Transistor Characteristics,” Bull. Chem. Soc. Jpn. 83, (2), pp. 120-130, 2010.
[76] S. Shinamura, E. Miyazaki, K. Takimiya, “Synthesis, Properties, Crystal Structures, and Semiconductor
Characteristics of Naphtho[1,2-b:5,6-b ']dithiophene and -diselenophene Derivatives,” J. Org. Chem. 75, (4),
pp. 1228-1234, 2010.
[77] J. Ohshita, J. Matsukawa, T. Iwawaki, S. Matsui, Y. Ooyama, Y. Harima, “Attachment of poly
[(ethoxyhexylsilylene)oligothienylene]s to inorganic oxide surface,” Synth. Met. 159, (9-10), pp. 817-820,
2009.
[78] J. Ohshita, H. Kai, K. Kimura, K.-H. Lee, A. Kunai, “Synthesis of Alternate Copolymers Composed of
'LWKLHQRVLOROHDQGʌ-Conjugated Units,” Polym. J. 41, (6), pp. 482-485, 2009.
[79] J. Ohshita, “Conjugated Oligomers and Polymers Containing Dithienosilole Units,” Macromol. Chem. Phys.
210, (17), pp. 1360-1370, 2009.
[80] T. Maehara, J. Ohshita, R. Taketsugu, K. Hino, A. Kunai, “Hydrosilylation Polymerization for the Synthesis
of Organosilicon Polymers Containing Adamantane Units,” Polym. J. 41, (11), pp. 973-977, 2009.
[81] T. Maehara, S. Hashimoto, J. Ohshita, “Synthesis and Heat-resistance of Arylenedioxy-organosilanylene
Polymers with Adamantane Units,” Z. Naturforsch. B. 64b, (11-12), pp. 1567-1570, 2009.
[82] Y. Kunugi, Y. Yamada, H. Horiuchi, H. Hiratsuka, J. Ohshita, “OFET Characteristics of Stretched
Poly(3-hexylthiophene) Films,” Electrochemistry. 78, (3), pp. 191-193, 2010.
7.2
Self-assembling technologies and quantum structure
[83] A. Nakajima, T. Fujiaki, T. Ezaki, “Impact of floating dot distribution on memory characteristics of
self-aligned dots-on-nanowire memory,” J. Appl. Phys. 105, (11), Art. 114505, pp. 1-6, 2009.
[84] K. Matsushita, A. Nakajima, “Conduction Path Fluctuation in Silicon Two-Dimensional Tunnel Junction
Array,” Jpn. J. Appl. Phys. 48, (6), pp. 06FD10-1-4, 2009.
[85] K. Makihara, K. Shimanoe, A. Kawanami, M. Ikeda, S. Higashi, S. Miyazaki, “Formation Mechanism of
Metal nanodots Induced by Remote Plasma Exposure,” J. Optoelectron. Adv. Mater. 12, (3), pp. 626-630,
2010.
[86] T. Okada, S. Higashi, H. Kaku, K. Makihara, H. Furukawa, Y. Hiroshige, S. Miyazaki, “Effect of Chemical
Composition of SiOx Films on Rapid Formation of Si Nanocrystals Induced by Thermal Plasma Jet
Irradiation,” Phys. Stat. Sol. C7, (3-4), pp. 732-734, 2010.
[87] T. Okada, S. Higashi, H. Kaku, K. Makihara, H. Furukawa, Y. Hiroshige, S. Miyazaki, “Effect of Chemical
Composition of SiOx Films on Rapid Formation of Si Nanocrystals Induced by Thermal Plasma Jet
Irradiation,” Abs. 23rd Int. Conf. Amorphous Nanocrystalline Semiconductors (ICANS 23, Utrecht,
Netherlands, Aug. 23-28, 2009), p.365.
[88] K. Makihara, K. Shimanoe, A. Kawanami, A. Fujimoto, M. Ikeda, S. Higashi, and S. Miyazaki, “Formation
Mechanism of Metal Nanodots Induced by Remote Plasma Exposure,” The European Mat. Res. Soc.
(E-MRS) 2009 Spring Meeting, (Strasbourg, France, June 8-12, 2009), Q8-19.
[89] T. Okada, S. Higashi, H. Kaku, H. Furukawa, K. Sugakawa, S. Miyazaki, “Si Nanocrystals Formation in
SiO2/SiOx/SiO2 Stack Structure by Thermal Plasma Jet Annealing and Its Application to Floating Gate
Memory,” Mat. Res. Soc. Spring Meeting, (San Francisco, USA, Apr. 13-17, 2009), A19.8.
7.3
Technologies for intelligent systems
[90] K. Okazaki, K. Awane, N. Nagaoka, T. Sugahara, T. Koide, H. J. Mattausch, “Low-Power
Silicon-Area-Efficient Image Segmentation Based on a Pixel-Block Scanning Architecture,” Jpn. J. Appl.
Phys. 48, (4), Art. 04C078, 2009.
[91] M. Miyake, D. Hori, N. Sadachika, U. Feldmann, M. Miura-Mattausch, H. J. Mattausch, T. Iizuka, K.
Matsuzawa, Y. Sahara, T. Hoshida, T. Tsukada, “Non-Quasi-Static Carrier Dynamics of MOSFETs under
Low-Voltage Operation,” IEICE Trans. Electron. E92-C, (5), pp. 608-615, 2009.
[92] M. Miyake, D. Hori, N. Sadachika, U. Feldmann, M. Miura-Mattausch, H. J. Mattausch, T. Ohguro, T.
Iizuka, M.Taguchi, S. Kumashiro, S. Miyamoto, “Degraded Frequency-Tuning Range and Oscillation
Amplitude of LC-VCOs due to the NQS Effect in MOS Varactors,” IEICE Trans. Electron. E92-C, (6), pp.
777-784, 2009.
[93] H. J. Mattausch, N. Sadachika, A. Yumisaki, A. Kaya, W. Imafuku, K. Johguchi, T. Koide, M.
Miura-Mattausch, “Correlating Microscopic and Macroscopic Variation with Surface-Potential Compact
Model,” IEEE Elec. Dev. Lett. 30, (8), pp. 873-875, 2009.
[94] H. J. Mattausch, A. Yumisaki, N. Sadachika, A. Kaya, K. Johguchi, T. Koide, M. Miura-Mattausch,
“Variation Analysis of CMOS Technologies Using Surface-Potential MOSFET Model,” J. Telecommun.
Info. Technol. 4/2009, pp. 37-44(Invited Paper), 2009.
[95] Y. Oritsuki, T. Sakuda, N. Sadachika, M. Miyake, T. Kajiwara, U. Feldmann, H. J. Mattausch, M.
Miura-Mattausch, “High-Voltage MOSFET Model Valid for Device Optimization”, Proceedings of the 2009
NSTI Nanotechnology Conference and Expo (NSTI-Nanotech ’2009, Houston, Texas, May. 3-7, 2009) pp.
600-603, 2009.
[96] H. J. Mattausch, A. Yumisaki, N. Sadachika, A. Kaya, K. Johguchi, T. Koide, M. Miura-Mattausch,
“Variation Analysis of CMOS Technologies Using Surface-Potential MOSFET Model”, Proceedings of the
8th International Symposium on Diagnostics & Yield (D&Y’2009, Warsaw, Poland, Jun. 22-24, 2009)
(Invited Presentation), 2009.
[97] H. J. Mattausch, N. Sadachika, S. Kusu, K. Ishimura, T. Murakami, M. Ando, M. Miura-Mattausch,
“Surface-Potential-Based Compact Model HiSIM-SOI for Silicon-On-Insulator MOSFETs,” Proceedings of
the 16th International Conference on Mixed Design of Integrated Circuits and Systems (MIXDES’2009,
Lodz, Poland, Jun. 25-27, 2009) pp. 77-81( Invited Paper), 2009.
[98] T. Sakuda, N. Sadachika, Y. Oritsuki, M. Yokomichi, M. Miyake, T. Kajiwara, H. Kikuchihara, U.
Feldmann, H. J. Mattausch, M. Miura-Mattausch, “Effect of Impact-Ionization-Generated Holes on the
Breakdown Mechanism in LDMOS Devices,” Proceedings of the IEEE International Conference on
Simulation of Semiconductor Processes and Devices (SISPAD’2009, San Diego, California, Sep. 9-11,
2009 ) Art. 11-3, pp. 1-4, 2009.
[99] K. Johguchi, A. Kaya, S. Izumi, H. J. Mattausch, T. Koide, N. Sadachika, “Within-Die/Wafer Variation
Analysis of Basic CMOS Circuits based on Surface-Potential-Model HiSIM2,” Ext. Abst. of the 2009 Int.
Conf. on Solid State Devices and Materials(SSDM2009, Sendai, Japan, Oct. 7-9, 2009) pp. 1072-1073,
2009.
[100] Y. Imai, T. Kumaki, T. Koide, H. J. Mattausch, “High-Speed Face Detection in Images with
Massive-Parallel Bit-Serial SIMD Processor Using Haar-Like Features,” Ext. Abst. of the 2009 Int. Conf. on
Solid State Devices and Materials (SSDM2009, Sendai, Japan, Oct. 7-9, 2009), pp. 464-465, 2009.
[101] D. Hori, M. Miyake, N. Sadachika, H. J. Mattausch, M. Miura-Mattausch, T. Iizuka, T. Hoshida, K.
Matsuzawa, S. Sahara, T. Tsukada, “Influence of Carrier Transit Delay on CMOS Switching Performance,”
Ext. Abst. of the 2009 Int. Conf. on Solid State Devices and Materials(SSDM2009, Sendai, Japan, Oct. 7-9,
2009), pp. 777-778, 2009.
[102] T. Hayashi, N. Sadachika, T. Murakami, D. Sugiyama, S. Yukuta, S. Kusu, K. Johguchi, M. Miyake, H. J.
Mattausch, M. Miura-Mattausch, S. Baba, J. Ida, “Modeling of Electron Tunneling in SOI-MOSFET and Its
Influence on Device Characteristics,” Proceedings of the 35th 2009 IEEE International SOI Conference
(SOI’2009, Foster, California, Oct. 5-8, 2009) Art. 8.5, pp. 1-2, 2009.
[103] W. Imafuku, S. Sakakibara, A. Kawabata, T. Ansari, H. J. Mattausch, T. Koide,
“Associative-Memory-Based Prototype LSI with Recognition and On-line Learning Capability and its
Application to Handwritten Characters,” Proceedings of International Symposium on Intelligent Signal
Processing and Communication Systems (ISPACS’2009, Kanazawa, Japan, Dec. 7-9, 2009) pp.
627-630 ,2009.
[104] H. J. Mattausch, K. Johguchi, T. Kumaki, T. Koide, “The Role of Functional Memories in Parallel
Information Processing with Localized and Distributed Systems,” 10th International Conference on
Parallel and Distributed Computing, Applications and Technologies (PDCAT‘2009, Hiroshima, Japan, Dec.
8-11, 2009) (Invited Keynote Presentation), 2009.
[105] T. Kumaki, Y. Imai, T. Koide, H. J. Mattausch, “VLSI-Architecture for Enabling Multiple Parallel
Associative Searches with Standard SRAM Macros,” Proceedings of International Symposium on
Intelligent Signal Processing and Communication Systems (ISPACS’2009, Kanazawa, Japan, Dec. 7-9,
2009) pp. 45-48, 2009.
[106] T. Kurafuji, M. Haraguchi, M. Nakajima, T. Gyoten, T. Nishijima, H. Yamasaki, Y. Imai, M. Ishizaki, T.
Kumaki, T. Koide, H.J. Mattausch, K. Arimoto, “A scalable massively parallel processor for real-time
image processing,” IEEE International Solid-State Circuits Conference, Digest of Tech. Papers
(ISSCC‘2010, San Francisco, California, Feb. 7-11, 2010) pp. 334-335, 2010.
7.4
Technologies for optical communication systems
[107] Y. Enami, D. Mathine, C. T. DeRose, R. A.Norwood, J. Luo, A. K-Y. Jen, N. Peyghambarian, “Transversely
tapered hybrid electro-optic polymer/sol-gel Mach-Zehnder waveguide modulators,” Appl. Phys. Lett. 92,
pp. 193508-1-3, 2008.
[108] Y. Enami, D. Mathine, C. T. DeRose, R. A. Norwood, J. Luo, A. K-Y. Jen, N. Peyghambarian, “Hybrid
electro-optic polymer/sol-gel waveguide directional coupler switches,” Appl. Phys. Lett. 94, pp.213513-1-3,
2009.
[109] Y. Enami, D. Mathine, C. T. DeRose, R. A. Norwood, J. Luo, A. K-Y. Jen, N. Peyghambarian, “Novel
hybrid electro-optic polymer/sol-gel waveguide structure for Mach-Zehnder modulators and directional
coupler switches,” SPIE(The International Society for Optical Engineering) Photonics West, San Jose, CA,
USA, 7213-28, 2009.
[110] Y. Enami, S.Suye, “Sol-gel silica planar waveguide biophotonic sensors doped with green fluorescent
protein”, SPIE(The International Society for Optical Engineering) Optics & Photonics, San Diego, CA,
USA, 7397-27, 2009.
[111] S. Suye, K. Tsuchiya, H. Makishima, A. Mulchandani, K. Kuroda, Y. Enami, M. Ueda, “Single cell analysis
for organophosphorus compounds sensing using organophosphorus hydrolase and EGFP displayed arming
yeast,” APBioCHEC’09 Biotechnology for Sustainable Development, MN-O2, 2009.
7.5
Technologies for biosensing systems
[112] T. Satoh, K. Tsuruta, Y. Shinoda, K. Noda, A. Kuroda, Y. Murakami, “Reciprocating-flow ATP
amplification system for increasing the number of amplification cycles,” Anal. Biochem. 395, (2), pp.
161-165, 2009.
[113] T. Satoh, Y. Shinoda, S. Tokonami, K. Noda, A. Kuroda, Y. Murakami, “Continuous-flow ATP amplification
system on a chip,” Sens. Actuators B: Chem. 142, (1), pp. 118-122, 2009.
[114] M. Urata, R. Iwata, K. Noda, Y. Murakami, A. Kuroda, “Detection of living Salmonella cells using
bioluminescence,” Biotechnol. Lett. 31, (5), pp. 737-741, 2009.
[115] T. Ishikawa, T. Kunimasa, N. Sasaki, Y. Murakami, T. Yoshida, K. Tsuga, “A Simple Wireless Intra-Oral
Thermometry: A denture with a CMOS chip,” IEEJ Trans. Sens. Micromachines. 130, (1), pp. 6-10, 2010.
[116] K. Noda, H. Goto, Y. Murakami, A. B. Ahmed, A. Kuroda, “Endotoxin assay by bioluminescence using
mutant firefly luciferase,” Anal. Biochem. 397, (2), pp. 152-155, 2010.
[117] ᧛਄⵨ੑ㪃䇸♧ዩ∛䈫䊪䉟䊟䊧䉴䊋䉟䉥䉶䊮䉲䊮䉫䇹㪃㉂⚛Ꮏቇ⎇ⓥળ⹹(ISSN 0911-9957), (62), pp. 30-33,
Oct. 2009.㩷
㩷
[118] ᧛਄⵨ੑ㪃㩷 䇸ญ⣧ౝㅪ⛯䉫䊦䉮䊷䉴䊝䊆䉺䊥䊮䉫䉲䉴䊁䊛䈱㐿⊒䇹㪃㩷Electrochemistry. 77, (9), pp. 823-826.
Sep. 2009
[119] ᳰ↰ਂ㪃㩷 ጊ⏴િ຦㪃㩷 ᴡᧄජ૫㪃㩷 ㊁↰ᥓ⑲㪃㩷 ⑔ጊᱜ㓉㪃㩷 ⷏↰ℰᕺ㪃㩷 ৼ᣼㪃㩷 ⵹ᤷ਻㪃㩷 㔎ችཅᾖ㪃㩷 ᴡᧄᱜᰴ㪃㩷
⑺ᐾ⵨㪃㩷 ዊၛ๺ਭ㪃㩷 ᮮጊᣂ㪃㩷 㤥↰┨ᄦ㪃㩷 䇸䉲䊥䉮䊮⚿ว䉺䊮䊌䉪⾰䉕೑↪䈚䈢䉲䊥䉮䊮ၮ᧼਄䈻䈱䉺䊮䊌䉪
⾰࿕ቯൻᴺ䈫䈠䈱ᔕ↪䋺䊥䊮䉫శ౒ᝄེ䉕↪䈇䈢䊋䉟䉥䉶䊮䉲䊮䉫䇹㪃ൻቇ䈫䊙䉟䉪䊨䊶䊅䊉䉲䉴䊁䊛, 8, (2),
pp. 23-24, 2009.㩷
[120] T. Ikeda, K. Ninomiya, R. Hirota, A. Kuroda, “Single-step affinity purification of recombinant
proteins using the silica-binding Si-tag as a fusion partner,” Protein Expr. Purif. 71, (1), pp.
91-95, 2010.
[121] ᳰ↰ ਂ, ᮮጊ ᣂ, 㤥↰ ┨ᄦ, ‫ޟ‬ඨዉ૕ࡃࠗࠝⲢว࠺ࡃࠗࠬߩഃ಴ Si ⚿ว࠲ࡦࡄࠢ⾰ࠍ↪޿ߚࠪ
࡝ࠦࡦࡃࠗࠝᴺ ࡝ࡦࠣశ౒ᝄེߣㅪ៤ߒࡃࠗࠝ࠮ࡦࠨ߳ㆡ↪‫ޠ‬, Semiconductor FPD World. 2010 ᐕ
1 ᦬ภ, pp. 46-47, 2009
[122] T. Kudo, T. Kasama, T. Ikeda, Y. Hata, S. Tokonami, S. Yokoyama, T. Kikkawa, H. Sunami, T. Ishikawa, M.
Suzuki, K. Okuyama, T. Tabei, K. Ohkura, Y. Kayaba, Y. Tanushi, Y. Amemiya, Y. Cho, T. Monzen, Y.
Murakami, A. Kuroda, A. Nakajima, “Develoment of Biosensor using Si Nanowire Transistor,” Jpn. J.
Appl. Phys. 48, (6), pp. 06FJ04-1-4, 2009.
[123] S. Yamatogi, Y. Amemiya, T. Ikeda, A. Kuroda, S. Yokoyama, “Si Ring Optical Resonators for Integrated
On-Chip Biosensing,” Jpn. J. App. Phys. 48, (4), pp. 04C188-1-6, 2009.
[124] T. Kasama, A. Nakajima, “Monte Carlo Simulation of the Two-Dimensional Site Percolation Problem for
Designing Sensitive and Quantitatively Analyzable Field-Effect Transistors,” Jpn. J. Appl. Phys. 48, (10),
pp. 100207-1-3, 2009.
[125] S. Mahboob, K. Makihara, A. Ohta, S. Higashi, Y. Hata, A. Kuroda, S. Miyazaki, “Surface Potential
Changes Induced by Physisorption of Si-tagged Protein A on HF-last Si(100) and Thermally Grown SiO2
Surface,” Electrochem. Soc. Trans. 19, (22), pp. 35-43, 2009.
[126] H. Murakami, S. Mahboob, K. Katayama, K. Makihara, M. Ikeda, Y. Hata, A. Kuroda, S. Higashi, S.
Miyazaki, “Electrical Detection of Silicon Binding Protein-Protein A using a p-MOSFET Sensor,” 2009
Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices
(AWAD2009, Busan, Korea, June 24-26, 2009), 2B-8.
[127] ᵤ⇐⧷৻, દ⮮⑎, ᴧዥᢥ᥏, ㆙⮮༑㊀, ዊ಴ᤩ, ᄢᯅᥓ᮸, ਃቛ੫, ‫ޟ‬Ớ❗↪ࡑࠗࠢࡠࡊࡠ࠮ࠬࠨ
࡯ࡃ࡯ߩ㐿⊒ߣታ↪ൻ‫ޠ‬, ൻቇߣࡑࠗࠢࡠ࠽ࡁࠪࠬ࠹ࡓ⎇ⓥળ⹹, 8, (2), 2009.
[128] ਃቛ੫, ንᮯ⋓ౖ, ᵤ⇐⧷৻, ㆙⮮༑㊀, ‫⮎ࠆࠃߦ࠲ࠢࠕ࡝ࡠࠢࠗࡑޟ‬ຠ↢↥‫ޠ‬, ࡃࠗࠝࠗࡦ࠳ࠬ࠻
࡝࡯, 27, (3), 2010.
[129] H. Tsudome, Y. Itou, F. Numajiri, Y. Endo, A. Koide, and R. Miyake, “Black vinegar condensing plant with
high-speed microevaporators,” Proc. of 13th Miniaturized System for Chemistry and Life Sciences(Jeju,
South Korea, Nov. 1-5, 2009), pp. 1650- pp.1651, 2009.
[130] K. Takenaka, Y. Sasaki, H. Inami, H. Nakamoto, Y. Watanabe, M. Kurihara, K. Takei, and R. Miyake,
“Rapid live bacterria counter with disposable flow cytometry cassette”, Proc. of 13th Miniaturized System
for Chemistry and Life Sciences(Jeju, South Korea, Nov. 1-5, 2009), pp. 1100- pp.1101,2009.
[131] ንᮯ⋓ౖ, ㆙⮮༑㊀, ਃቛ੫, ‫ࠬ࠮ࡠࡊࠆࠃߦ࠲ࠢࠕ࡝ࡠࠢࠗࡑޟ‬㕟ᣂߣⅣႺ⽶⩄ૐᷫ‫ޠ‬, ᖱႎᯏ
᭴, 2010
7.6
Medical science and technology
7.6.1
Research for digestive and liver diseases
[132] I. Noda, M. Kitamoto, H. Nakahara, R. Hayashi, T. Okimoto, Y. Monzen, H. Yamada, M. Imagawa, N.
Hiraga, J. Tanaka, K. Chayama, “Regular surveillance by imaging for early detection and better prognosis
of hepatocellular carcinoma in patients infected with hepatitis C virus,” J. Gastroenterol. 45, (1), pp.
105-112, 2010.
[133] R. Mouri, S. Yoshida, S. Tanaka, S. Oka, M. Yoshihara, K. Chayama, “Usefulness of endoscopic
ultrasonography in determining the depth of invasion and indication for endoscopic treatment of early
gastric cancer,”㩷 J. Clin Gastroenterol. 43, (4), pp. 318-322, 2009.
[134] N. Hiraga, M. Imamura, T. Hatakeyama, S. Kitamura, F. Mitsui, S. Tanaka, M. Tsuge, S. Takahashi, H. Abe,
T. Maekawa, H. Ochi, C. Tateno, K. Yoshizato, T. Wakita, K. Chayama, “Absence of viral interference and
different susceptibility to interferon between hepatitis B virus and hepatitis C virus in human hepatocyte
chimeric mice,” J. Hepatol. 51, (6), pp. 1046-1054, 2009.
[135] M. Ohira, K. Ishiyama, Y. Tanaka, M. Doskali, Y. Igarashi, H. Tashiro, N. Hiraga, M. Imamura, N.
Sakamoto, T. Asahara, K. Chayama, H. Ohdan. “Adoptive immunotherapy with liver allograft-derived
lymphocytes induces anti-HCV activity after liver transplantation in humans and humanized mice,” J. Clin.
Invest. 119, (11), pp. 3226-3235, 2009.
[136] H. Abe, H. Ochi, T. Maekawa, T. Hatakeyama, M. Tsuge, S. Kitamura, T. Kimura, D. Miki, F. Mitsui, N.
Hiraga, M. Imamura, Y. Fujimoto, S. Takahashi, Y. Nakamura, H. Kumada, K. Chayama, “Effects of
structural variations of APOBEC3A and APOBEC3B genes in chronic hepatitis B virus infection,”㩷
Hepatol. Res. 39, (12), pp. 1159-1168, 2009.
[137] D. Hirata, T. Yamabuki, D. Miki, T. Ito, E. Tsuchiya, M. Fujita, M. Hosokawa, K. Chayama, Y. Nakamura,
Y. Daigo, “Involvement of epithelial cell transforming sequence-2 oncoantigen in lung and esophageal
cancer progression,” Clin. Cancer. Res. 15, (1), pp. 256-266, 2009.
[138] A. Fukumoto, S. Tanaka, T. Shishido, Y. Takemura, S. Oka, K. Chayama, “Comparison of detectability of
small-bowel lesions between capsule endoscopy and double-balloon endoscopy for patients with suspected
small-bowel disease,” Gastrointest Endosc. 69, (4), pp. 857-865, 2009.
[139] R. Mouri, S. Yoshida, S. Tanaka, S. Oka, M. Yoshihara, K. Chayama, “Evaluation and validation of
computed virtual chromoendoscopy in early gastric cancer,” Gastrointest Endosc. 69, (6), pp. 1052-1058,
2009.
[140] M. Shindo, K. Chayama, S. Mochida, J. Toyota, E. Tomita, H. Kumada, O. Yokosuka, M. Sata, N. Hayashi,
K. Suzuki, T. Okanoue, H. Tsubouchi, H. Ishikawa, T. Seriu, M. Omata, “Antiviral activity, dose-response
relationship, and safety of entecavir following 24-week oral dosing in nucleoside-naive Japanese adult
patients with chronic hepatitis B: a randomized, double-blind, phase II clinical trial,” Hepatol. Int. 3, (3), pp.
445-452, 2009.
[141] H. Kodama, H. Aikata, S. Takaki, T. Azakami, Y. Katamura, T. Kawaoka, A. Hiramatsu, K. Waki, M.
Imamura, Y. Kawakami, S. Takahashi, N. Toyota, K. Ito, K. Chayama, “Evaluation of portosystemic
collaterals by MDCT-MPR imaging for management of hemorrhagic esophageal varices,” Eur. J. Radiol.
76, (2), pp. 239-245, 2010.
[142] T. Okanoue, Y. Itoh, H. Hashimoto, K. Yasui, M. Minami, T. Takehara, E. Tanaka, M. Onji, J. Toyota, K.
Chayama, K. Yoshioka, N. Izumi, N. Akuta,H. Kumada, “Predictive values of amino acid sequences of the
core and NS5A regions in antiviral therapy for hepatitis C: a Japanese multi-center study,” J. Gastroenterol.
44, (9), pp. 952-963, 2009.
[143] H. Tahara, Y. Tanaka,K. Ishiyama, K. Ide, M. Shishida, T. Irei, Y. Ushitora, M. Ohira, M. Banshodani, H.
Tashiro, T. Itamoto, T. Asahara, M. Imamura, S. Takahashi, K. Chayama, H. Ohdan, “Successful hepatitis B
vaccination in liver transplant recipients with donor-specific hyporesponsiveness,” Transpl. Int. 22, (8), pp.
805-813, 2009.
[144] T. Hiyama, M. Yoshihara, S. Tanaka, K. Haruma, K. Chayama, “Effectiveness of prokinetic agents against
diseases external to the gastrointestinal tract,” J. Gastroenterol. Hepatol. 24, (4), pp.537-546, 2009.
[145] H. Yotsuyanagi, Y. Kikuchi, K. Tsukada, K. Nishida, M. Kato, H. Sakai, J. Takamatsu, S. Hige, K. Chayama,
K. Moriya, K. Koike, “Chronic hepatitis C in patients co-infected with human immunodeficiency virus in
Japan: a retrospective multicenter analysis,” Hepatol. Res. 39, (7), pp. 657-663, 2009.
[146] S. Nakamura, M. Kimura, C. Goto, K. Noma, M. Yoshizumi, K, Chayama, Y. Kihara, Y. Higashi,
“Cigarette smoking abolishes ischemic preconditioning-induced augmentation of endothelium-dependent
vasodilation,” Hypertension. 53, (4), pp. 674-681, 2009.
[147] T. Kawaoka, H. Aikata, S. Takaki, Y. Katamura, A. Hiramatsu, K. Waki, S. Takahashi, M. Hieda, N.
Toyota, K. Ito, K. Chayama. “Transarterial infusion chemotherapy using cisplatin-lipiodol suspension with
or without embolization for unresectable hepatocellular carcinoma,” Cardiovasc. Intervent. Radiol. 32, (4),
pp. 687-694, 2009.
[148] C. Noguchi, M. Imamura, M. Tsuge, N. Hiraga, N. Mori, D. Miki, T. Kimura, S. Takahashi, Y. Fujimoto, H.
Ochi, H. Abe, T. Maekawa, C. Tateno, K. Yoshizato, K. Chayama, “G-to-A hypermutation in hepatitis B
virus (HBV) and clinical course of patients with chronic HBV infection,” J. Infect. Dis. 199, (11), pp.
1599-1607, 2009.
[149] H. Kanao, S. Tanaka, S. Oka, M. Hirata, S. Yoshida, K. Chayama, “Narrow-band imaging magnification
predicts the histology and invasion depth of colorectal tumors,” Gastrointest. Endosc. 69, (3 Suppl), pp.
631-636, 2009.
[150] Y. Nabeshima, S. Tazuma, K. Kanno, H. Hyogo, K. Chayama. “Deletion of angiotensin II type I receptor
reduces hepatic steatosis,” J. Hepatol. 50, (6), pp. 1226-1235, 2009.
[151] M. Ito, S. Takata, M. Tatsugami, Y. Wada, S. Imagawa, Y. Matsumoto, A. Takamura, S. Kitamura, T. Matsuo,
S. Tanaka, K. Haruma, K. Chayama, “Clinical prevention of gastric cancer by Helicobacter pylori
eradication therapy: a systematic review,” J. Gastroenterol. 44, (5), pp. 365-367, 2009.
[152] Y. Urabe, T. Hiyama, S. Tanaka, S. Oka, M. Yoshihara, K. Arihiro, K. Chayama, “Metachronous multiple
esophageal squamous cell carcinomas and Lugol-voiding lesions after endoscopic mucosal resection,”
Endoscopy. 41, (4), pp. 304-309, 2009.
[153] S. Takata, M. Ito, Y. Wada, M. Yoshihara, S. Tanaka, K. Chayama, “Pathogenetic role of the
tyrosine-phosphorylated CagA EPIYA sequence of Helicobacter pylori in histological gastritis in Japanese
patients,” J. Gastroenterol. 44, (5), pp. 405-411, 2009.
[154] T. Sumida, Y. Kitadai, T. Hiyama, K. Shinagawa, M. Tanaka, M. Kodama, H. Masuda, M. Ito, S. Tanaka,
M. Yoshihara, K. Chayama, “Antibodies to Helicobacter pylori and CagA protein are associated with the
response to antibacterial therapy in patients with H. pylori-positive API2-MALT1-negative gastric MALT
lymphoma,” Cancer Sci. 100, (6), pp. 1075-1081, 2009.
[155] Y. Kamatani, S. Wattanapokayakit, H. Ochi, T. Kawaguchi, A. Takahashi, N. Hosono, M. Kubo, T. Tsunoda,
N. Kamatani, H. Kumada, A. Puseenam, T. Sura, Y. Daigo, K. Chayama, W. Chantratita, Y. Nakamura, K.
Matsuda, “A genome-wide association study identifies variants in the HLA-DP locus associated with
chronic hepatitis B in Asians,” Nat. Genet. 41, (5), pp. 591-595, 2009.
[156] Y. Katamura, H. Aikata, S. Takaki, T. Azakami, T. Kawaoka, K. Waki, A. Hiramatsu, Y. Kawakami, S.
Takahashi, M. Kenjo, N. Toyota, K. Ito, K. Chayama, “Intra-arterial 5-fluorouracil/interferon combination
therapy for advanced hepatocellular carcinoma with or without three-dimensional conformal radiotherapy
for portal vein tumor thrombosis,” J. Gastroenterol. 44, (5), pp. 492-502, 2009.
[157] Y. Higashi, C. Goto, T. Hidaka, J. Soga, S. Nakamura, Y. Fujii, T. Hata, N. Idei, N. Fujimura, K. Chayama,
Y. Kihara, A. Taguchi. “Oral infection-inflammatory pathway, periodontitis, is a risk factor for endothelial
dysfunction in patients with coronary artery disease.” Atherosclerosis. 206, (2), pp.604-610, 2009.
[158] F. Mitsui, H. Aikata, T. Azakami T, Y. Katamura, T. Kimura, T. Kawaoka, H. Saneto, S. Takaki, N. Hiraga,
M. Tsuge, K. Waki, A. Hiramatsu, M. Imamura, Y. Kawakami, S. Takahashi, K. Arihiro, K. Chayama. “The
first Japanese case of COACH syndrome,” Hepatol. Res. 39, (3), pp. 318-323, 2009.
[159] K. Tsuji, Y. Kawakami, S. Aimitsu, H. Kohno, Y. Aisaka, S. Kimura, S. Nagata, H. Ohgoshi, M. Kitamoto, T.
Hidaka, H. Kawakami, T. Nakanishi, K. Chayama, “Predicting the response to 48-week combination
therapy with peginterferon alpha-2b plus ribavirin from the estimated HCV RNA load index after negative
serum change in genotype 1b hepatitis C patients,” Hepatol. Res. 39, (6), pp. 531-538, 2009.
[160] N. Mori, M. Imamura, Y. Kawakami, H. Saneto, T. Kawaoka, S. Takaki, H. Aikata, S. Takahashi, K.
Chayama; Hiroshima Liver Study Group. “Randomized trial of high-dose interferon-alpha-2b combined
with ribavirin in patients with chronic hepatitis C: Correlation between amino acid substitutions in the
core/NS5A region and virological response to interferon therapy.” J. Med. Virol. 81, (4), pp. 640-649, 2009.
[161] H. Tsukada, H. Ochi, T. Maekawa, H. Abe, Y. Fujimoto, M. Tsuge, H. Takahashi, H. Kumada, N. Kamatani,
Y. Nakamura, K. Chayama, “A Polymorphism in MAPKAPK3 Affects Response to Interferon Therapy for
Chronic Hepatitis C.” Gastroenterology. 136, (5), pp. 1796-1805, 2009.
[162] T. Kawaoka, H. Aikata, S. Takaki, K. Uka, T. Azakami, H. Saneto, S. C. Jeong, Y. Kawakami, S. Takahashi,
N. Toyota, K. Ito, Y. Hirokawa, K. Chayama, “FDG positron emission tomography/computed tomography
for the detection of extrahepatic metastases from hepatocellular carcinoma,” Hepatol. Res. 39, (2), pp.
134-142, 2009.
[163] N. N. Soe, T. Ishida, M. Ishida, M. Sawano, K. Abe, N. Miho, K. Chayama, Y. Kihara, M. Yoshizumi,
“Nifedipine interferes with migration of vascular smooth muscle cells via inhibition of Pyk2-Src axis,” J.
Atheroscler. Thromb.16, (3), pp. 230-238, 2009.
[164] Y. Katamura, H. Aikata, Y. Kimura, T. Azakami, T. Kawaoka, S. Takaki, K. Waki, A. Hiramatsu, Y.
Kawakami, S. Takahashi, K. Chayama, “Successful treatment of pulmonary metastases associated with
advanced hepatocellular carcinoma by systemic 5-fluorouracil combined with interferon-alpha in a
hemodialysis patient.” Hepatol. Res. 39, (4), pp. 415-420, 2009.
[165] M. Ohira, M. Ishifuro, K. Ide, T. Irei, H. Tashiro, T. Itamoto, K. Ito, K. Chayama, T. Asahara, H. Ohdan.
“Significant correlation between spleen volume and thrombocytopenia in liver transplant patients: a concept
for predicting persistent thrombocytopenia,” Liver Transpl. 15, (2), pp. 208-215, 2009.
[166] S. Tanaka, S. Oka, K. Chayama, K. Kawashima, “Knack and practical technique of colonoscopic treatment
focused on endoscopic mucosal resection using snare,” Dig. Endosc. 21, Suppl 1: pp. S38-42, 2009.
[167] S. Oka, S. Tanaka, H. Kanao, S. Oba, K. Chayama, “Therapeutic strategy for colorectal laterally spreading
tumor,” Dig. Endosc. 21, Suppl 1:pp. S43-46, 2009.
[168] T. Hiyama, K. Matsuo, Y. Urabe, T. Fukuhara, S. Tanaka, M. Yoshihara, K. Haruma, K. Chayama,
“Meta-analysis used to identify factors associated with the effectiveness of proton pump inhibitors against
non-erosive reflux disease,” J. Gastroenterol. Hepatol. 24, (8), pp. 1326-1332, 2009.
[169] T. Fukuhara, T. Hiyama, S. Tanaka, S. Oka, M. Yoshihara, K. Arihiro, K. Chayama, “Characteristics of
Esophageal Squamous Cell Carcinomas and Lugol-voiding Lesions in Patients With Head and Neck
Squamous Cell Carcinoma,” J. Clin. Gastroenterol. 44, (2), pp. e27-33, 2010.
[170] T. Yamaguchi, S. Yoshida, S. Tanaka, Y. Takemura, S. Oka, M. Yoshihara, H. Yamada, K. Chayama,
“Predicting the clinical response to cytapheresis in steroid-refractory or -dependent ulcerative colitis using
contrast-enhanced ultrasonography,” Scand. J. Gastroenterol. 44, (7), pp. 831-837, 2009.
[171] Y. Higashi, M. Miyazaki, C. Goto, H. Sanada, T. Sueda, K. Chayama, “Sarpogrelate Hydrochloride, a
Selective 5-HT2A Antagonist, Augments Autologous Bone-Marrow Mononuclear Cell
Implantation-induced Improvement in ndothelium-Dependent Vasodilation in Patients with Critical Limb
Ischemia,” J. Cardiovasc. Pharmacol. 55, (1), pp. 56-61, 2010.
[172] H. Kodama, H. Aikata, S. Takaki, S. Takahashi, N. Toyota, K. Ito, K. Chayama. “Evaluation of patients with
esophageal varices after endoscopic injection sclerotherapy using multiplanar reconstruction MDCT
images,” AJR Am. J. Roentgenol. 192, (1), pp. 122-130, 2009.
[173] T. Kawaoka, Y. Kawakami, K. Tsuji, H. Ito, M. Kitamoto, S. Aimitsu, H. Kawakami, S. C. Jeong, M.
Imamura, H. Aikata, S. Takahashi, K. Chayama, “Dose comparison study of pegylated interferon-alpha-2b
plus ribavirin in naïve Japanese patients with hepatitis C virus genotype 2: a randomized clinical trial,” J.
Gastroenterol. Hepatol. 24, (3), pp. 366-371, 2009.
[174] T. Hamada, K. Yokota, K. Ayada, K. Hirai, T. Kamada, K. Haruma, K. Chayama, K. Oguma, “Detection of
Helicobacter hepaticus in human bile samples of patients with biliary disease,” Helicobacter. 14, (6), pp.
545-551, 2009.
[175] Y. Higashi, H. Maysuoka, H. Umei, R. Sugano, Y. Fujii, J. Soga, Y. Kihara, K. Chayama, T. Imaizumi,
“Endothelial Function in Subjects with Isolated Low HDL Cholesterol: Role of Nitric Oxide and
Circulating Progenitor Cells.” Am. J. Physiol. Endocrinol. Metab. 298, (2), pp. E202-209, 2010.
[176] H. Teragawa, K. Morita, H. Shishido, N. Otsuka, Y. Hirokawa, K. Chayama, N. Tamaki, Y. Kihara,
“Impaired myocardial blood flow reserve in subjects with metabolic syndrome analyzed using positron
emission tomography and N-13 labeled ammonia ,” Eur. J. Nucl. Med. Mol. Imaging. 37, (2), pp. 368-376,
2010.
7.6.2
Diagnosis and regenerative medical technologies
[177] Y. Yanase, T. Hiragun, K. Uchida, K. Ishii, S. Oomizu, H. Suzuki, S. Mihara, K. Iwamoto, H. Matsuo, N.
Onishi, Y. Kameyoshi, M. Hide, “Peritoneal injection of fucoidan suppresses the increase of plasma IgE
induced by OVA-sensitization,” Biochem. Biophys. Res. Commun. 387, (3), pp. 435-439, 2009.
[178] H. Suzuki, S. Oomizu, Y. Yanase, N. Onishi, K. Uchida, S. Mihara, K. Ono, Y. Kameyoshi, M. Hide,
“Hydrolyzed konjac glucomannan suppresses IgE production in mice B cells,” Int. Arch. Allergy Immunol.
152, (2), pp. 122-130, 2010.
[179] Y. Yanase, A. Araki, H. Suzuki, T. Tsutsui, T. Kimura, K. Okamoto, T. Nakatani, T. Hiragun, M. Hide,
“Development of an optical fiber SPR sensor for living cell activation,” Biosens. Bioelectron. 25, (5), pp.
1244-1247, 2010.
[180] S. Takahagi, S. Mihara, K. Iwamoto, S. Morioke, T. Okabe, Y. Kameyoshi, M. Hide,
“Coagulation/fibrinolysis and inflammation markers are associated with disease activity in patients with
chronic urticaria, ” Allergy. 65, (5), pp. 649-656, 2010.
8.
[1]
List of Forthcoming or Published Papers after April 2010
M. Shimada, W.-N. Wang, K. Okuyama, “Synthesis of Gallium Nitride Nanoparticles by Microwave
Plasma Enhanced Chemical Vapor Deposition Method,” Chem. Vap. Deposition, 16, (4-6), pp. 151-156,
2010.
[2]
J. Hong, Y. Enami, “Numerical solution of the dynamics of microring resonator modulators,” IEEE Photon.
Technol. Lett. 22, (13), pp. 969-971, 2010.
[3]
J. Hong, and Y. Enami, ”Analysis of optical time-domain demultiplexer using microring resonators,” Opt.
Rev. 17, (6), in print, (2010).
[4]
᭑ᵄᐽᢥ ␠࿅ᴺੱ㜞ಽሶቇળ㜞ಽሶ ዷᦸ(Cover Story: Highlight Reviews) ․㓸‫ޟ‬㜞ಽሶߣࡈࠜ
࠻࠾ࠢࠬ 㔚᳇శቇࡐ࡝ࡑᄌ⺞ེ‫ޠ‬59, (5), 2010.
[5]
M. Fukuyama, S. Yamatogi, H. Ding, M. Nishida, C. Kawamoto, Y. Amemiya, T. Ikeda, T. Noda, S.
Kawamoto, K. Ono, A. Kuroda, S. Yokoyama, “Selective detection of antigen-antibody reaction using Si
ring optical resonators,” Jpn. J. Appl. Phys. 49, (4), pp. 04DL09-1-4, 2010.
[6]
ᳰ↰ ਂ, ‫੍ޟ‬㒐ක≮ߦะߌߚࡃࠗࠝࡑ࡯ࠞ࡯ߩ✂⟜⊛᷹ቯࠍૐࠦࠬ࠻ߢⴕ߁ࡃࠗࠝ࠮ࡦࠨ࡯ࠍ㐿
⊒‫ޠ‬JETI, 58, (6), pp.65-67, ᩣᑼળ␠ࠫࠚ࠹ࠖ, 2010.
[7]
ᳰ↰ ਂ, ᮮጊ ᣂ, 㤥↰ ┨ᄦ, ‫⚿ࠞ࡝ࠪޟ‬ว࠲ࡦࡄࠢ⾰‫ޟ‬Si-tag‫ࠍޠ‬೑↪ߒߚඨዉ૕ࡃࠗࠝⲢว࠺
ࡃࠗࠬߩ㐿⊒‫ ޠ‬BIO INDUSTRY , 27, (11), in press, ᩣᑼળ␠ࠪ࡯ࠛࡓࠪ࡯಴ , 2010.
[8]
H. J. Mattausch, N. Sadachika, M. Yokomichi, M. Miyake, T. Kajiwara, Y. Oritsuki, T. Sakuda, H.
Kikuchihara, U. Feldmann, M. Miura-Mattausch, “POWER/HVMOS Devices Compact Modeling, Chapter
2, HiSIM-HV: A Scalable, Surface-Potential-Based Compact Model for Symmetric and Asymmetric
High-Voltage MOSFETs”, New York: Springer Science+Business Media, ISBN-13: 978-9048130450,
2010.
[9]
D. Hori, M. Miyake, N. Sadachika, H. J. Mattausch, M. Miura-Mattausch, T. Iizuka, T. Hoshida, K.
Matsuzawa, Y. Sahara, T. Tsukada, “Effect of Carrier Transit Delay on Complementary
Metal-Oxide-Semiconductor Switching Performance,” Jpn. J. Appl. Phys. 49, (4), Art. 04DC15-1-4, 2010.
[10] K. Johguchi, A. Kaya, S. Izumi, H. J. Mattausch, T. Koide, N. Sadachika, “Process Variation Analysis
Based on Ring Oscillator Measurements and Surface Potential MOSFET Model HiSIM”, IEEE Design &
Test of Computers, 27, (5), pp. 6-13, 2010.
[11] Y. Oritsuki, M. Yokomichi, T. Kajiwara, A. Tanaka, N. Sadachika, M. Miyake, H. Kikuchihara, K.
Johguchi, U. Feldmann, H. J. Mattausch, M. Miura-Mattausch, “HiSIM-HV: A Compact Model for
Simulation of High-Voltage MOSFET Circuits,” IEEE Trans. on Electron Devices 57, (10), pp. 2671-2678,
2010.
[12] A. Ahmadi, H.J. Mattausch, M. Saeidi, M.A. Abedin, T. Koide, “An Associative Memory Based Learning
Model with an Efficient Hardware Implementation in FPGA,” Expert Systems with Applications, 38, (4), in
press, 2010.
[13] T. Kumaki, H. Hiramoto, T. Koide, H. J. Mattausch, “Realization of Efficient and Low-Power Parallel
Face-Detection with Massive-Parallel Memory-Embedded SIMD Matrix,” Proceedings of 53rd IEEE
International Midwest Symposium on Circuits and Systems (MWSCAS’2010), pp. 359-362.
[14] H. J. Mattausch, W. Imafuku, T. Ansari, A. Kawabata, T. Koide, “Low-Power Word-Parallel
Nearest-Hamming-Distance Search Circuit based on Frequency Mapping,” Proceedings of the 36th
European Solid-State Circuits Conference (ESSCIRC‘2010), Seville, Spain, September 14-16, pp. 538-541,
2010.
[15] M. Yasuda, T. Ansari, W. Imafuku, A. Kawabata, T. Koide, H. J. Mattausch, “Low-Complexity
Time-Domain Winner-Take-All Circuit with High Time-Difference Resolution Limited only by
With-In-Die Variation,” Ext. Abst. of the 2010 Int. Conf. on Solid State Devices and Materials (SSDM2010,
Tokyo, Japan, Oct. 7-9, 2010), pp. 1164-1165.
[16] T. Ansari, W. Imafuku, A. Kawabata, M. Yasuda, T. Koide, H. J. Mattausch, “Analysis of Within-Die and
Die-to-Die CMOS-Process Variation with Reconfigurable Ring-Oscillator Arrays”, Ext. Abst. of the 2010
Int. Conf. on Solid State Devices and Materials (SSDM2010, Tokyo, Japan, Oct. 7-9, 2010), pp. 802-803.
[17] T. Saito, T. Tanaka, T. Hayashi, K. Kikuchihara, T. Kanamoto, H. Masuda, M. Miyake, S. Amakawa, H. J.
Mattausch, M. Miura-Mattausch, “Modeling of RESURF LDMOS for Accurate Prediction of Junction
Condition on Device Characteristics,” Ext. Abst. of the 2010 Int. Conf. on Solid State Devices and Materials
(SSDM2010, Tokyo, Japan, Oct. 7-9, 2010), pp. 163-164.
[18] A. Kawabata, T. Koide, H. J. Mattausch, “Optimization Vector Quantization by Adaptive
Associative-Memory-Based Codebook Learning in Combination with Huffman Coding”, Proceedings of
the 1st International Conference on Networking and Computing (ICNC‘2010), pp. 15-19.
[19] T. Koide, R. Kimura, T. Sugahara, K. Okazaki, H. J. Mattausch, “Architecture and FPGA-Implementation of
Scalable Picture Segmentation by 2D Scanning with Flexible Pixel-Block Size,” Proceedings of the 1st
International Conference on Networking and Computing (ICNC‘2010), pp. 128-132.
[20]
F. An, H. J. Mattausch, T. Koide, “An Associative-Memory-Based Online Learning Method for
Handwritten Character Recognition”, Proceedings of the 3st International Conference on Machine Learning
and Computing (ICMLC‘2011), in press.
[21] Y. Amemiya, M. Nishida, S. Yokoyama, “Reduction in Operation Voltage of Silicon Ring Optical
Modulator Using High-k (Ba,Sr)TiO3 Cladding Layer,” Jpn. J. App. Phys. 49, (4), pp. 04DG18-1-5, 2010.
[22] M. Fukuyama, S. Yamatogi, M. Nishida, C. Kawamoto, Y. Amemiya, T. Ikeda, S. Kawamoto, K. Ono, A.
Kuroda, S. Yokoyama, “Selective Detection of Antigen-Antibody Reaction Using Si Ring Optical
Resonators,” Jpn. J. App. Phys. 49, (4), pp. 04DL09-1-4, 2010.
[23] Y. Amemiya, H. Ding, M. Fukuyama, S. Yokoyama, “Si Ring Optical Modulator with Multi-Cascade p/n
Junctions,” 7th International Conference on Group IV Photonics (Beijing, China, Sept. 1-3, 2010) P2.1.
[24] Y. Amemiya, H. Ding, S. Yokoyama, “Design and Simulation of Silicon Ring Optical Modulator with p/n
Junctions along Circumference,” Ext. Abst. of the 2010 Int. Conf. on Solid State Devices and Materials
(SSDM2010, Tokyo, Japan, Oct. 7-9, 2010) D-9-3, pp. 1076-1077, 2010.
[25] M. Fukuyama, Y. Amemiya, Y. Abe, Y. Onishi, A. Hirowatari, K. Terao, T. Ikeda, A. Kuroda, S. Yokoyama,
“Sensitivity Improvement of Biosensors using Si Ring Optical Resonators,” Ext. Abst. of the 2010 Int. Conf.
on Solid State Devices and Materials (SSDM2010, Tokyo, Japan, Oct. 7-9, 2010) L-1-2, pp. 171-172, 2010.
[26] M. Nishida, M. Fukuyama, Y. Abe, Y. Amemiya, T. Ikeda, A. Kuroda, S. Yokoyama, “Detection of
Antigen-Antibody Reaction Using Si Ring Optical Resonators Functionalized with an Immobilized
Antibody-Binding Protein,” Ext. Abst. of the 2010 Int. Conf. on Solid State Devices and Materials
(SSDM2010, Tokyo, Japan, Oct. 7-9, 2010)P-11-1, pp. 537-538.
[27] M. Suzuki, K. Nishioka, T. Takahashi, S. Aoyagi, Y. Amemiya, M. Fukuyama, S. Yokoyama, “Development
of Accelerometer Using Mach-Zehnder Interferometer Type Optical Waveguide,” Ext. Abst. of the 2010 Int.
Conf. on Solid State Devices and Materials (SSDM2010, Tokyo, Japan, Oct. 7-9, 2010) D-9-5, pp.
1080-1081.
[28] Shiyang Zhu, Shinya Takeue, Anri Nakajima, “Effect of an Ultrathin SiN Cap Layer on the Bias
Temperature Instability in Metal-Oxide-Semiconductor Field-Effect Transistors with HfSiON Gate Stacks,”
Jpn. J. Appl. Phys. 49, (12), 2010.
[29] Y. Hiroshige, S. Higashi, K. Matsumoto, S. Miyazaki, “Formation of High Quality SiO2 and SiO2/Si
Interface using Thermal Plasma Jet Induced Millisecond Annealing and Post-Metallization Annealing,” Jpn.
J. Appl. Phys. 49, (8), pp. 08JJ01-1-4, 2010.
[30] S. Hayashi, S. Higashi, H. Murakami, S. Miyazaki, “Formation of High Crystallinity Silicon Films by High
Speed Scanning of Melting Region Formed by Atmospheric Pressure DC Arc Discharge
Micro-Thermal-Plasma-Jet and Its Application to Thin Film Transistor Fabrication,” Appl. Phys. Exp. 3, (6),
pp. 061401-1-3,2010.
[31] K. Matsumoto, S. Higashi, H. Murakami, S. Miyazaki, “Activation of B and As in Ultrashallow Junction
During Millisecond Annealing Induced by Thermal Plasma Jet Irradiation,” Jpn. J. Appl. Phys. 49, (4), pp.
04DA02-1-4, 2010.
[32] S. Higashi, K. Sugakawa, H. Kaku, T. Okada, S. Miyazaki, “Characterization of Microcrystalline Silicon
Thin Film Transistors Fabricated by Thermal Plasma Jet Crystallization Technique,” Jpn. J. Appl. Phys. 49,
(3), pp. 03CA08-1-4, 2010.
[33] S. Hayashi, S. Higashi, H. Murakami, S. Miyazaki, “High Speed Lateral Crystallization of Amorphous
Silicon Films Using Micro-Thermal-Plasma-Jet and Its Application to Thin Film Transistor,” Proc.
AM-FPD 10, (Tokyo, Japan, Jul. 5-7, 2010), pp. 247-250.
[34] S. Higashi, “Millisecond Annealing Induced by Atmospheric Pressure Thermal Plasma Jet Irradiation and
Its Application to Ultra Shallow Junction Formation,” Ext. Abs. 2010 Int. Workshop Junction Tech.
(IWJT-2010, Shanghai, China, May. 10-11, 2010) (invited), pp. 30-35.
[35] S. Hayashi, S. Higashi, S. Miyazaki, “Growth of Large Crystalline Grains by High Speed Scanning of
Melting Zone Formed by Micro-Thermal-Plasma-Jet Irradiation to Amorphous Silicon Films,” Abs. 2010
Material Research Society Spring Meeting, (San Francisco, U. S. A. Apr. 5-9, 2010), A20.4.
[36] A. B. D. Nandiyanto, N. Hagura, F. Iskandar, K. Okuyama, “Design of a Highly Ordered and Uniform
Porous Structure with Multisized Pores in Film and Particle form using a Template-driven Self-Assembly
Technique,” Acta Mater. 58, (1), pp. 282-289, 2010.
[37] N. Hagura, W. Widiyastuti, F. Iskandar, K. Okuyama, “Characterization of Silica-coated Silver
Nanoparticles Prepared by a Reverse Micelle and Hydrolysis-condensation Process,” Chem. Eng. J. 156, (1),
pp. 200-205, 2010.
[38] D. Hidayat, A. Purwanto, W. N. Wang, K. Okuyama, “Preparation of size-controlled tungsten oxide
nanoparticles and evaluation of their adsorption performance,” Mater. Res. Bull. 45, (2), pp. 165-173, 2010.
[39] F. Iskandar, A. B. Suryamas, M. Kawabe, M. M. Munir and K. Okuyama, T. Tarao, T. Nishitani, “Indium
Tin Oxide Nanofiber Film Electrode for High Performance Dye Sensitized Solar Cells,” Jpn. J. Appl. Phys.
49, (1), pp. 010213-1-3, 2010.
[40] M. Hafiz, N. Sasaki, Takamaro Kikkawa, “A 800 Mb/s CMOS detection scheme for UWB impulse-radio
communication,” Int. J. Electron.Comm. 65, (5), pp. 398-405, 2011.
[41] M. Hafiz, N. Sasaki, Takamaro Kikkawa, “ A 1 Gb/s 3.8 pJ/bit differential input BPSK detection scheme for
UWB-IR communication using 180 nm CMOS technology”, IEICE Trans. Electron. 94-C, (2), 2011.
(Accepted for publication)
[42] A. Azhari, K. Kimoto, N. Sasaki, T. Kikkawa, “A 3.5-4.5 GHz Complementary Metal-OxideSemiconductor Ultrawideband Receiver Frontend Low-Noise Amplifier with On-Chip Integrated Antenna
for Interchip Communication”, Jpn. J. App. Phys. 49, (4), pp. 04DE11-1-7, 2010.
[43] M. Hafiz, N. Sasaki, Takamaro Kikkawa, “A 500 Mb/s Differential Input Non-coherent BPSK Receiver for
UWB-IR Communication”, IEICE Trans. Electron. 94-C, (4), 2011. (Accepted for publication)
[44] S. Kubota, X. Xiao, N. Sasaki, Y. Kayaba, K. Kimoto, W. Moriyama, T. Kozaki, M. Hanada T. Kikkawa,
“Confocal Imaging using Ultra Wideband Antenna Array on Si Substrates for Breast Cancer Detection,”
Jpn. J. App. Phys. 49, (9), 2010, pp. 097001-1-6.
[45] A. Azhari, S. Kubota, A. Toya, N. Sasaki, T. Kikkawa, “A 5.4-9.2 GHz 19.5 dB CMOS UWB Receiver
Frontend Low Noise Amplifier for Confocal Imaging System,” Ext. Abst. of the 2010 Int. Conf. on Solid
State Devices and Materials (SSDM2010, Tokyo, Japan, Oct. 7-9, 2010), pp.105-106.
[46] A. Toya, N. Sasaki, S. Kubota, T. Kikkawa, “Confocal Imaging System Using 28.3 GSample/s UWB
Sampling Circuit,” Ext. Abst. of the 2010 Int. Conf. on Solid State Devices and Materials (SSDM2010,
Tokyo, Japan, Oct. 7-9, 2010), pp.107-108.
[47] S. Kubota, X. Xiao, N. Sasaki, A. Toya, T. Kozaki, M. Hanada, T. Kikkawa, “Experimental Confocal
Imaging for Breast Cancer Detection Using Bow-tie Antenna Array,” Proc. of 2010 International
Symposium on Antennas and Propagation, (Macao, China, November, 23-26, 2010), pp. 483-486.
[48] Y. Kayaba, K. Kohmura, H. Tanaka, Y. Seino, T. Ohdaira, S. Chikaki, and T. Kikkawa, “Infrared
spectroscopic analysis of siloxane network modification of mesoporous silica film by silylation and cesium
doping” Thin Solid Films 519, (2), pp. 674-680, 2010
7HOHSKRQH)DFVLPLOHDQGHPDLO
ࠝ˓ǹǿȃȕᡲዂέ
7HOHSKRQH
,QWHUQDWLRQDO'RPHVWLF
Ჩ‫׎‬ϋ
)DFVLPLOH
,QWHUQDWLRQDO'RPHVWLF
Ჩ‫׎‬ϋ
HPDLODGGUHVV
NLNNDZDW#KLURVKLPDXDFMS
'LUHFWRUᲩ
Ჩ৑ᧈ
3URI7DNDPDUR.LNNDZD Ӵ߷ π᰽ ૙੉ 1DQRLQWHJUDWLRQ5HVHDUFK'LYLVLRQᲩȊȎᨼᆢᅹ‫ܖ‬ᄂᆮᢿᧉ
3URI7DNDPDUR.LNNDZD
Ӵ߷ π᰽ ૙੉
NLNNDZDW#KLURVKLPDXDFMS
3URI6KLQ<RNR\DPD
್‫ ૼ ޛ‬૙੉ \RNR\DPDVKLQ#KLURVKLPDXDFMS
$VVRF3URI.HQWDUR6KLEDKDUD
ᑮҾ ͤ‫ٽ‬ᢹ ϱ૙੉
NVVKLED#KLURVKLPDXDFMS
$VVRF3URI$QUL1DNDMLPD ɶ޽ ‫ ྸܤ‬ϱ૙੉
DQDNDMLPD#KLURVKLPDXDFMS
$VVLVW3URI.L\RVKL2NX\DPD
‫ ޛښ‬ฌ ཎ˓я૙
NRNX\DPD#KLURVKLPDXDFMS
$VVLVW3URI7HWVXR7DEHL
ဋᢿʟ Ջ‫ پ‬ཎ˓я૙
WDEHL#KLURVKLPDXDFMS
,QWHJUDWHG6\VWHPV5HVHDUFK'LYLVLRQᲩᨼᆢǷǹȆȠᅹ‫ܖ‬ᄂᆮᢿᧉ
3URI+DQV-¾UJHQ0DWWDXVFK ȞǿǦǷȥ ȏȳǹ ȦȫDzȳ ૙੉
KMP#KLURVKLPDXDFMS
$VVRF3URI7HWVXVKL.RLGH ‫ݱ‬Ј Ջٟ ϱ૙੉
NRLGH#KLURVKLPDXDFMS
0ROHFXODU%LRLQIRUPDWLRQ5HVHDUFK'LYLVLRQᲩЎ‫܇‬ဃԡऴ‫إ‬ᅹ‫ܖ‬ᄂᆮᢿᧉ
3URI5\R0L\DNH
ɤ‫ ܡ‬ʰ ૙੉
UPL\DNH#KLURVKLPDXDFMS
3URI<DVXIXPL(QDPL
ಔඬ ࡍ૨ ཎ˓૙੉
\HQDPL#KLURVKLPDXDFMS
$VVRF3URI7RPRKLUR,VKLNDZD ჽ߷ ୓ࢀ ཎ˓ϱ૙੉
LVKLNDZD#KLURVKLPDXDFMS
$VVRF3URI<XML0XUDNDPL
஭ɥ ᘽʚ ཎ˓ϱ૙੉
\XML#KLURVKLPDXDFMS
1DQRWHFKQRORJ\1HWZRUN3URMHFWᲩȊȎȆǯȎȭǸȸȷȍȃȈȯȸǯ ȗȭǸǧǯȈ
3URI0DVDWDND)XNX\DPD
ᅦ‫ ޛ‬ദᨙ ܲՃ૙੉
IXNX\DPDP#KLURVKLPDXDFMS
5HVHDUFK,QVWLWXWHIRU1DQRGHYLFHDQG%LR6\VWHPV51%6+LURVKLPD8QLYHUVLW\
.DJDPL\DPD+LJDVKLKLURVKLPD+LURVKLPD-$3$1
࠼޽‫ܖٻ‬ȊȎȇȐǤǹȷȐǤǪᗡӳᅹ‫ܖ‬ᄂᆮ৑
Ƅ ࠼޽Ⴤ ி࠼޽ࠊ ᦟ‫ޛ‬ɠႸ 7HO)D[
HPDLO 51%6#KLURVKLPDXDFMS
85/ KWWSZZZ51%6KLURVKLPDXDFMS
GOCKN 40$5"JKTQUJKOCWCELR
74. JVVRYYY40$5JKTQUJKOCWCELR
4GUGCTEJ+PUVKVWVGHQT0CPQFGXKEGCPF $KQ5[UVGOU
*KTQUJKOC7PKXGTUKV[
-CICOK[COC*KICUJKJKTQUJKOC
*KTQUJKOC,#2#0
6GNGRJQPG FKTGEV
(CEUKOKNG ࠼޽‫ܖٻ‬
ȊȎȇȐǤǹȷȐǤǪᗡӳᅹ‫ܖ‬ᄂᆮ৑
Ƅ
࠼޽Ⴤ ி࠼޽ࠊ ᦟ‫ޛ‬ɠႸ ᩓᛅᲴ ȕǡǯǷȟȪᲴ
Fly UP