...

第1章 要 約 - JEITA Home

by user

on
Category: Documents
199

views

Report

Comments

Transcript

第1章 要 約 - JEITA Home
第 1章 要 約
1-1 はじめに
半 導 体 技 術 ロードマップ委 員 会 (STRJ)が 1998 年 11 月 に設 立 されて以 来 、日 本 の半 導 体 産 業
の今 後 の発 展 すべき方 向 への指 針 を与 えるための努 力 がなされてきた。STRJ 設 立 の契 機 は、96 年
以 降 の日 本 の半 導 体 の急 激 な落 ち込 みを受 け、それまでの汎 用 DRAM がテクノロジーの上 でも、ビ
ジネスの上 でもドライバーであった時 代 の終 焉 を業 界 として認 識 し、新 たなパラダイム変 化 を模 索 す
る必 要 が生 じたためである。現 在 の半 導 体 が抱 えている課 題 の整 理 と分 析 を行 い、解 決 策 候 補 を業
界 として模 索 し、関 連 分 野 にも広 く 展 開 することで産 官 学 にわたる英 知 を結 集 し、課 題 克 服 の促 進
と技 術 的 ブレークスルーを狙 ったものである。
また最 新 の最 先 端 半 導 体 技 術 開 発 は、難 度 や選 択 肢 の増 加 とともに、莫 大 な開 発 費 用 を伴 うも
のとなってきた。そのため国 際 的 な協 力 の気 運 も 盛 り上 がってきた。その現 われが米 国 半 導 体 復 活
の原 動 力 とも言 われる SIA で編 纂 されてきた「National Technology Roadmap for Semiconductors」
の国 際 化 という動 きであり、98 年 から呼 びかけが開 始 された。
STRJ で は 設 立 当 初 よ り 、 国 際 協 力 推 進 の 立 場 か ら 「 International Technology Roadmap for
Semiconductors (ITRS)」への協 力 を打 ち出 し、国 内 活 動 の傍 ら日 米 欧 台 韓 の5極 による ITRS 活 動
へも積 極 的 に参 加 した。世 界 共 通 の課 題 についての議 論 を深 め、日 本 の主 張 も大 きく取 り入 れられ
る中 、ITRS’98、ITRS’99 の実 現 に大 きく貢 献 をし、ITRS’99 は日 本 で発 表 が行 われた。
ITRS は半 導 体 技 術 ロードマップの国 際 的 指 針 として、各 国 共 通 の技 術 課 題 とその発 展 方 向 、解
決 策 候 補 を記 したもので、世 界 の半 導 体 製 造 装 置 メーカー、材 料 メーカー、産 官 学 の研 究 者 がこれ
らの共 通 の課 題 克 服 に邁 進 することで 、明 日 の半 導 体 の礎 を強 固 なものとし、日 本 の半 導 体 にとっ
ても大 きな利 点 となる共 通 財 産 となっている。
このような国 際 的 貢 献 や本 STRJ 活 動 を産 み出 すことができたのは、STRJ の組 織 する 11 の WG と
設 計 タスクフォース等 、日 本 の精 鋭 が結 集 し、不 断 の努 力 と献 身 的 熱 意 で行 った賜 物 である。 また、
これらの国 内 的 ・国 際 的 両 面 の活 動 を通 じ第 一 線 の研 究 者 が切 磋 琢 磨 を経 験 され、ネットワークを
築 かれたことはそれ自 身 得 難 い資 産 と言 える。
本 報 告 書 は、これらの諸 活 動 の集 大 成 に外 ならないものであり、ITRS に盛 り込 まれたものや、日 本
固 有 の条 件 から盛 り込 まれなかったものも含 まれている。また提 言 においては、ITRS の成 果 を日 本 に
展 開 するためのブレークダウンや日 本 の条 件 への適 合 性 を考 慮 した提 案 も行 っている。第 一 に日 本
の半 導 体 産 業 の復 活 のキーとされている SoC(System on a Chip)に着 目 したこと、第 二 にはテクノロ
ジーのシーズからではなくアプリケーションのニーズからのブレークダウンを試 みたこと(設 計 タスクフォ
ース)、三 番 目 はコストパフォーマンスを意 識 するよう努 力 したことである。いずれも現 段 階 では充 分 で
はなく、次 年 度 以 降 によりブラッシュアップし、必 要 により国 際 的 にも発 信 していく所 存 である。
半 導 体 技 術 のグローバル化 、最 先 端 技 術 の共 同 開 発 化 が進 み、半 導 体 事 業 構 造 においても市
場 においても急 激 なパラダ イム変 化 が進 む中 で、日 本 の半 導 体 の現 状 を革 新 し、日 本 の半 導 体 産
業 ・技 術 の復 活 のために心 を砕 かれている諸 氏 への座 右 の書 として、本 報 告 書 が活 用 されること を
願 うものである。
最 後 になりましたが、本 委 員 会 活 動 につき日 頃 ご指 導 ご支 援 をいただいております通 産 省 殿 はじ
め関 係 各 位 に対 して深 甚 なる感 謝 の意 を表 します。
P1-1
1999 年度組織とメンバー 半導体技術ロードマップ委員会
1−2 組織とメンバー 半導体技術ロードマップ委員会
2000/03/31現在
(社)日本電子機械工業会
敬称略・順不同
半導体技術ロードマップ委員会
諮問委員会
( 学界有識者 + 幹事会社11社 )
大見(東北大学)
廣瀬(広島大学)
鳳 (東京大学)
坂本(電総研)
水町(SEAJ)
中村(富士通)
久保(日立)
戸所(松下)
西村(三菱)
和泉(NEC)
上田(沖)
高須(ローム)
吉年(三洋)
崎山(シャープ)
小松(ソニー)
海野(東芝)
推進会議
委員長 :森野(NEC)
副委員長 :上田(財務担当、沖)
井入(国際担当、Selete)
関係組織 :小澤(STARC)・石谷(ASET) 岡部・植田(SIRIJ)
尾崎(EIAJ電子デバイス生産技術委員会)
進藤(EIAJ)
福島(国際担当、富士通)
オブザーバ:川本(通産省)
谷崎(NEDO) <リーダ>
―― 設計タスクフォース.....上田(沖)・[副]後藤(富士通)
―― WG−1:設 計....... 古井(ソニー)
―― WG−2:テスト....... 相京(富士通) ―― WG−3:フロントエンドプロセス.... 河村(富士通)
―― WG−4:配 線....... 西原(日立)
―― WG−5:リソグラフィ.... 笹子(松下) ―― WG−6:PIDS...... 遠藤(NEC)
―― WG−7:実 装....... 谷本(日立)
<研究員/事務局>
真弓・西塚・菊池・
河村・ニ斗蒔
(EIAJ)
―― WG−8:ファクトリインテグレーション... 児玉(東芝)
―― WG−9:ES&H...... 安斉(富士通)
―― WG−10:モデル化/シミュレーション... 小谷(三菱)
―― WG−11:メトロロジ/欠陥低減... 水野(日立) P1-2
図表1−2−2
半導体技術ロードマップ委員会 WGメンバー表
1999年度専門部会メンバー表
2000.03.31
設 計
タスクフォース
リーダー
サブリーダー
上田 潤
後藤 源助
幹事
E
I
A
J
幹
部
会
十
一
社
WG1
設計
古井 芳春
高橋 直哉
山田 明宏
富士通
後藤 源助
日立
内山 邦男
小林 和彦
松下
山口 聖司
村岡 道明
田口 浩文
三菱
今井 正紀
NEC
抜山 知二
今井 正紀
橘田 光弘
高橋 直哉
沖
上田 潤
宇都宮 雅彦
田中 紘資
田中 隆吉
門脇 智彦
三洋
シャープ
ソニー
東芝
ローム
Selete, ASET,
STARC, EIAJ
WG2
テスト
相京 隆
吉田 正昭
平瀬 潤一
相京 隆
相京 隆
徳山 三郎
畠山 一実
佐藤 正幸
平瀬 潤一
太田 光保
濱田 正紀
井下 順功
東野 直己
吉田 正昭
岩瀬 信和
山本 一郎
牛久保 政憲
古市 慎治
山内 貴行
古井 芳春
柿本 勝
永廣 雅之
小野寺 岳志
田胡 治之
吉田 憲司
樋渡 有
安藏 顕一
我毛 辰弘
高野 利紀
執行 倫永
藤井 敏文
小澤時典(STARC)
WG3
FEP
WG7
実装
笹子 勝
大塚 博
遠藤 伸裕
吉見 信
谷本 道夫
春日 寿夫
丹羽 正昭
河村 誠一郎
西原 晋治
古村 雄二
丸山 隆司
杉井 寿博
杉井 寿博
池田 修二
西原 晋治
寺澤 恒男
丹羽 正昭
小川 真一
笹子 勝
宮武 浩
大崎 明彦
池田 和子
磯部 晶
橋本 武夫
遠藤 伸裕
堀内 忠彦
松本 宗之
大野 守史
大塚 博
井田 次郎
武田 安弘
窪田 通孝
井上 恭典
粟屋 信義
青山 純一
久原 孝一
井上 雅史
守屋 茂
豊島 義明
早坂 伸夫
中川 義和
西村 勇
松本 功
青木利一郎(Selete) 石谷 明彦(ASET)
松井 安次(ASET)
岡崎 信次(ASET)
滝川 忠宏(ASET)
奥山 泰史(Selete)
山部 正樹(Selete)
堀池靖浩(東京大学)
今井正治(大阪大学)
岩崎一彦(都立大学)
特別委員
染谷 勤(I Kテクノロジ)
(SEAJ:アドバンテスト)
小嶋 英司
(SEAJ:安藤電気)
古賀 泉
(SEAJ:横河電機)
WG6
PIDS
西原 晋治
大崎 明彦
特別委員(大学)
小野 信任
(セイコーインスツルメンツ)
山田 明宏 (トッパン・
テクニカル・デザインセンター)
斯波 康祐 (メンター・
グラフィックス・ジャパン)
WG5
リソ
河村 誠一郎
丹羽 正昭
増田英司(STARC)
井手 敬一郎
WG4
配線
大西 豊一
(SEAJ:日新イオン機器)
国井 泰夫
(SEAJ:国際電気)
高桑 一雄
(SEAJ:日本真空)
木下 喜夫
(SEAJ:東京エレクトロン)
沼沢 陽一郎
(SEAJ:アネルバ)
WG9
ES&H
WG10
Model/Sim
WG11
Met./D.R.
安斉 修
金澤 守 小谷 教彦
西 謙二
水野 文夫
長田 俊彦
谷本 道夫
浜野 清治
児玉 祥一
谷本 啓介
本間 三智夫
児玉 祥一
渡辺 義雄
藤井 良昭(EIAJ)
安斉 修 福田 浩一
佐藤 成生
北島 洋
長田 俊彦
只木 芳隆
谷本 道夫
内野 敏幸
河合 直行
山本 秀一
水野 文夫
堀 敦
野津 誠
光井 章
黒田 敬司
堂前 伸一
小谷 教彦
石川 清志
松本 比呂志
池野 昌彦
北島 洋
福田 浩一
本間 俊廣
秋月 誠
青山 純一
藤原 秀二
藤井 克正
木村 光紀
国安 仁
金澤 守 天川 博隆
三好 元介
井上 靖朗
春日 寿夫
古室 昌徳(電総研)
岩崎 順次
樋野 邦央
本間 三智夫
早川 喜進
谷本 啓介
佐藤 淳一
小松 康俊
吉見 信
高橋 邦明
児玉 祥一
矢島 比呂海
井上 儀一
下野 一宏
藤井 良昭(EIAJ)
須賀唯知(東京大学)
宇都宮 久修
(JIEP:イースタン)
塩見 炯夫(SEAJ)
栗原 正英(JPCA)
井上 弘基(JSPMI)
西澤 誠夫
(SEAJ:アピックヤマダ)
佐々木 隆
(SEAJ:カイジョー)
植村 恒三郎
(SEAJ:ニコン)
吉成 秀樹
(SEAJ:キャノン)
田中 一光
(SEAJ:日本電子)
枝本 俊雄
(SEAJ:アドバンテスト)
赤羽 良三
(SEAJ:日立)
栗原 啓志郎
(SEAJ:コマツ)
西垣 寿彦
(SEAJ:東京エレクトロン)
WG8
F I
橋本 浩二
和田哲典(Selete)
市川 昌和(ATP)
中山範明(STARC)
西 謙二(Selete)
谷口研二(大阪大学)
畑 次郎
茂木 信博
(SEAJ:日本真空)
高橋 正則 (SEAJ:
小林 司(SEAJ:アネルバ)
セイコーインスツルメンツ)
島田 宏
(SEAJ:日本電子)
原田 明(SEAJ)
(SEAJ:東京エレクトロン)
注 1 当 初 の計 画 では上 記 の諸 委 員 会 の他 に、「設 計 連 絡 会 」「プロセス・デバイ ス連 絡 会 」「実 装 連 絡
会 」 など 、 複 数 の 技 術 分 野 に 跨 る問 題 を扱 う 委 員 会 の開 設 を予 定 し て い たが 、実 際 に は具 体 的 活 動 に
至 らず上 記 の諸 委 員 会 の活 動 で代 行 した。
注 2 「設 計 」と「SoC 設 計 」の違 い
「設 計 」は、主 として設 計 手 法 技 術 を扱 い、「SoC 設 計 」 は、主 として SoC の設 計 技 術 を対 象 とした。
なお、SoC(SOC)は、システム・オン・(ア)・チップ system-on-(a)-chip の略 語 である。
P1-4
1-3 調 査 結 果 の概 要
1-3-1 設 計 [要 旨 ]
(1) 背 景
半 導 体 微 細 化 技 術 は、これまで約 3 年 ごとに世 代 を更 新 しており、まもなく 1cm 2 当 たり 1000 万 を
超 えるトランジスタからなる回 路 をシリコンチップ上 に実 現 できるようになる。このような LSI 製 造 技 術 の
進 歩 を有 効 に活 用 して、どのようなシステムを構 築 して行 くかが、今 後 の半 導 体 産 業 の大 きな課 題 と
なっている。すなわち、現 在 の最 高 性 能 のマイクロプロセッサとメモリがすべて 1 チップに集 積 でき、さ
らにチップ面 積 に余 裕 があるような状 況 が生 まれると、「システム」そのものが一 つの LSI として実 現 で
きるようになり、どのようなシステムをシリコンチップ上 に構 築 するかという点 に問 題 の重 点 が移 ってくる。
システムオンチップ SOC(system on chip)と呼 ばれる製 品 が主 力 となる時 代 の新 しい LSI 設 計 手 法 の
確 立 が求 められている。
本 ロードマップでは、SOC 時 代 の設 計 技 術 が、今 後 どのような方 向 へ発 展 するのかを明 らかにする
ことを目 的 として、今 後 約 10 年 間 の SOC 像 をもとにした設 計 課 題 とそれに対 する解 決 候 補 技 術 を
分 析 する。すなわち LSI の高 性 能 化 、高 集 積 化 とともに低 消 費 電 力 化 、設 計 期 間 の短 期 化 という相
反 する要 求 と、これを満 足 するための EDA(electronic design automation)技 術 および設 計 フローを
分 析 する。従 来 の個 別 部 品 的 な LSI 設 計 手 法 から、異 なる手 法 で設 計 された機 能 ブロックを混 載 す
る SOC 設 計 手 法 への技 術 シフトを明 確 化 する。
本 ロ ー ド マ ッ プ が SOC 設 計 技 術 の未 来 に対 する指 針 となり、産 業 界 のみならず大 学 、国 立 研 究
機 関 を含 めた、わが国 の産 官 学 あげての新 たな取 組 みの起 点 となることを期 待 する。
(2) 範 囲
国 内 電 子 機 器 産 業 の強 みである情 報 家 電 分 野 を指 向 した SOC 設 計 を中 心 テーマとする。あわせ
て LSI に内 蔵 される MPU(micro-processor unit),メモリ,アナログ,RF およびソフトウエアに対 する
設 計 課 題 を調 査 分 析 する。
具 体 的 には、今 後 約 10 年 間 の SOC プロファイル、設 計 要 求 、EDA 技 術 課 題 などを明 確 化 する。
ワーキンググループ内 に、SOC 設 計 の重 要 課 題 である
1) 設 計 生 産 性
2) 低 電 力 設 計 技 術
3) DSM(deep submicron)設 計 技 術 :微 細 化 に伴 う signal integrity, manufacturability 設 計 技 術
を専 門 的 に分 析 する sub working group を設 置 し活 動 を行 った。
(3) 要 求 、課 題 、解 決 策 候 補
1) 設 計 生 産 性
SOC では複 数 機 能 の内 蔵 により設 計 規 模 の爆 発 的 な増 大 を招 く。このため設 計 レベルの
抽 象 化 とともに、IP(intellectual property)を活 用 した設 計 手 法 が不 可 欠 となる。SOC 設 計
における設 計 生 産 性 要 求 を IP 利 用 設 計 /新 規 設 計 に分 離 して分 析 する。
2) 低 電 力 設 計 技 術
P1-5
携 帯 情 報 通 信 機 器 向 け SOC では、消 費 電 力 の低 減 は極 めて重 要 課 題 である。各 世 代
のゲート規 模 ・動 作 周 波 数 ・電 源 電 圧 を指 標 としてチップ消 費 電 力 を分 析 する。これをもと
にした各 設 計 レベルでの革 新 的 な消 費 電 力 低 減 技 術 について報 告 する。
3) DSM 設 計 技 術
プロセス技 術 の微 細 化 に伴 なう設 計 上 考 慮 すべき物 理 効 果 を明 確 化 するとともに、設 計 パラメータ
に影 響 を与 える統 計 的 バラツキの分 析 による製 造 容 易 性 、信 頼 性 指 標 を設 定 する。これらの指 標 を
達 成 するための解 決 策 の候 補 技 術 について言 及 する。
(4) 提 言
1) 設 計 生 産 性
再 利 用 設 計 、新 規 設 計 技 術 の革 新 をベースとして、設 計 生 産 性 向 上 のための提 言 を、a)標 準
化 、b)上 位 化 、c)自 動 化 、の 3 点 に分 類 して述 べる。これらは、業 界 内 の標 準 化 団 体 との連 携 に加
えて産 官 学 が連 動 した取 り組 みが必 要 である。
2) 低 電 力 設 計 技 術
低 消 費 電 力 設 計 の技 術 課 題 解 決 を実 現 するために、a)システム全 体 (セット)の設計、b)SOC 設計、
c)製造プロセス、d)EDA(ツール)の 4 点に対 する施 策 を提 言 する。
3) DSM 設 計 技 術
DSM設 計 課 題 の克 服 の た め に は 個 々 の 要 素 技 術 の 解 決 策 に と ど ま ら ず 、 a)設 計 シ ス テ ム
の ベ ー ス と な る 標 準 化 の 推 進 、 b)要 素 技 術 を 最 適 に 設 計 効 率 向 上 と 派 生 効 果 対 策 に 結
び付 けるための設 計 システム上 の革 新 が必 要 である。
P1-6
1-3-2 テスト [要 旨 ]
(1) 背 景
半 導 体 技 術 の微 細 化 の進 展 に伴 い、製 造 可 能 な回 路 規 模 と設 計 可 能 な回 路 規 模 とのギャップが
広 がり、そのギャップを埋 めるため、設 計 技 術 は抽 象 度 を上 げるべくRTLを上 回 る高 位 記 述 や、既 設
計 資 産 (IP)の再 利 用 を推 進 している。テスト技 術 へのこの影 響 は大 きく、特 にIPのテストが問 題 となる。
そのようなIPを含 むシス テムオ ンチップ(SOC)と呼 ばれる製 品 が主 力 と なる時 代 の新 しいLSIのテス ト
技 術 の確 立 が求 められている。
(2) 検 討 範 囲 と項 目
SOC、メモリのテストを検 討 範 囲 とし、検 討 項 目 としては、故 障 モデル、テスト手 法 、DFT、BIST(組
込 み自 己 テスト)、標 準 化 、故 障 解 析 、ATE(自 動 テスト装 置 )とした。
(3) ニーズ
SOC のテストのニーズとしては、半 導 体 の微 細 化 、低 電 力 化 、大 規 模 化 、高 速 化 、あるいは、デジタ
ル/アナログ混 載 、ATE の高 価 格 化 ・テスト時 間 の増 大 という問 題 に対 して、所 望 する市 場 不 良 率 、
テストコスト を実 現 すべくテスト技 術 を準 備 ・確 立 することである。
(4) 課 題
SOCの登 場 により、それまで個 別 であったASIC・ DRAM・アナ ログ等 のテスト技 術 を統 合 する必 要 が
生 じており、DFTに新 たな課 題 を与 えている。テスト時 間 もデバイス性 能 の向 上 による高 速 なテストに
よっても補 うことができずに長 時 間 化 しており、コスト増 加 の大 きな要 因 となりつつある。テストの品 質
の面 からは、従 来 からの縮 退 故 障 モデルに基 づくテストだけでは不 十 分 となり、短 絡 故 障 モデルや遅
延 故 障 モデル、クロストークや電 源 ノイズの影 響 など、抽 象 度 を下 げ実 際 の故 障 により近 いモデルに
基 づくテストが必 要 とされてきており、ハイレベルに向 かうDFTとの乖 離 が進 んでいる。故 障 解 析 技 術
は微 細 化 および配 線 多 層 化 により深 刻 な問 題 に直 面 しており、何 らかのブレークスルーが必 須 であ
る。ATEの高 価 格 化 に対 して、低 価 格 のATEを用 いたテスト手 法 の確 立 も課 題 となる。
(5) 解 決 策 の候 補 技 術
テスト設 計 コスト削 減 の観 点 からは、LSI への各 種 IP コアの搭 載 数 増 加 、高 位 レベルの設 計 に対
応 する必 要 がある。これらに対 応 するためのポテンシャルソリューションとしては、IP コア内 またはチッ
プ全 体 のテスト戦 略 (制 御 統 合 化 ,テストスケジューリング)を行 う DFT 技 術 が挙 げられる。また、RTL
で動 作 する ATPG, 故 障 シミュ レータ、RTL より上 位 の動 作 レベ ルに DFT を考 慮 した高 位 合 成 、
HW/SW 協 調 設 計 段 階 から HW/SW 双 方 を使 用 して DFT を実 現 する技 術 が挙 げられ、これらの研
究 が必 要 となる。
製 造 時 テストコスト削 減 の観 点 からは、各 種 IP コアの搭 載 数 増 加 によるテスト時 間 増 大 に対 応 する
ために、アナログ/ミックスシグナルに対 しても実 現 可 能 で、同 測 テストが可 能 な BIST 手 法 が上 げられ
る。また、LSI の高 速 化 ,各 種 IP コア搭 載 によるテスタコスト増 加 に対 応 するために、on chip clock
generator に BIST または BOST を組 み合 わせた技 術 により、低 速 テスタによる高 速 テストを実 施 実 現
される必 要 がある。しかし、数 多 くの課 題 があり更 に研 究 が必 要 である。
P1-7
1999
2002
2005
2008
2011
2014
テストコスト削減
•低コスト混載メモリテスト
(BIST+ダイレクトアクセス)
•低速テスタによる高速テスト
(on chip clk generator + BIST)
(BOST)
•IPコアベース設計における
テスト戦略
(制御統合化,テストスケジューリング)
•RTLにおけるDFT
(故障シミュレーション,ATPG)
•高位レベルでのDFT
(テスト容易設計を考慮した高位合成/
システム設計、HW/SW協調設計段
階でのDFT)
•不良チップ救済
(メモリBISR)
(ロジックBISR)
•アナログ/ミックスシグナルBIST
(PLL/ADC等 限定)
(一般アナログ回路BIST自動生成)
Research Required
Development Underway
Qualification/Pre-Production
図 表 1-3-1 Potential Solutions(1)
(6) 他 のWG分 野 への依 存 性
複 雑 化 するテスト手 法 を SOC 設 計 に効 率 よく適 用 するためには、設 計 の後 工 程 としてテスト設 計 し
たのでは手 遅 れであり、設 計 の初 期 段 階 よりテスト設 計 の考 慮 を行 い、設 計 の中 にテストの要 件 ・ 最
適 性 を盛 り込 むことが重 要 となっており、設 計 (WG1)に対 して高 い依 存 関 係 を持 つ。
(7) 現 状 における着 手 レベル、目 標 に到 達 するための提 言
テスト設 計 コスト削 減 の観 点 から、各 種 IP を組 み込 んだ LSI に対 し、種 々の DFT の取 り込 みがなさ
れることを述 べた。その中 で、テスト 設 計 作 業 を効 率 よく行 うために、テスト回 路 、テストパタン等 の標
準 化 も必 要 である。 製 造 時 テストコスト削 減 の観 点 から、LSI の高 機 能 化 に伴 うテストパタン長 大 化 、
高 精 度 ・高 速 テストの要 求 に対 応 するために、テスタのコスト増 大 を押 さえるべく、種 々の DFT の取 り
組 みがなされることを述 べた。しかし、テスタのより一 層 のコスト削 減 努 力 も必 要 となる。
P1-8
1-3-3 フロントエンドプロセス [要 旨 ]
(1) 背 景 、意 義 、期 待 効 果
最 先 端 プロセス技 術 開 発 コストの急 激 な増 加 は、もはや世 界 規 模 での開 発 協 力 やリソースの投 入
無 しには、従 来 のトレンドを維 持 した半 導 体 技 術 の発 展 が不 可 能 な段 階 にまで到 達 している。半 導
体 技 術 ロー ドマップは、開 発 技 術 要 求 を明 確 化 、定 量 化 することによって、解 決 すべき技 術 課 題 を
共 通 認 識 として持 ち、あわせて効 率 的 な開 発 リソースの利 用 を可 能 にするものである。
(2) 検 討 範 囲 、検 討 項 目
フロントエンドプロセスの検 討 範 囲 としては、スターティングマテリアル(starting materials)から表 面
処 理 技 術 、ゲート絶 縁 膜 ・電 極 、拡 散 関 連 、各 工 程 エッチングプロセスを含 む、トランジス形 成 のシリ
サイド(silicidation)までとし、従 来 の SIA のロードマップの範 囲 に新 たに DRAM のキャパシタ関 連 の
ロードマップを付 加 する(添 付 ロードマップ表 参 照 )。
検 討 項 目 は原 則 的 には SIA/ITRS ロードマップと同 様 にする。すなわち、上 記 検 討 範 囲 に対 しノー
ド毎 の基 本 的 なプロセスパラメータ目 標 値 を含 んだ技 術 要 求 ロードマップ及 び現 状 で考 えられる 解
決 可 能 候 補 技 術 (potential solutions)を提 示 する。あわせてこれらの候 補 技 術 の到 達 レベルを、解
決 技 術 は既 に存 在 、現 在 開 発 中 、解 決 技 術 は未 定 、の三 段 階 で明 示 する。
全 体 を通 してデバイスのロードマップ(PIDS)との関 連 付 けに留 意 し、SoC に関 する課 題 、解 決 策 を
追 加 する。従 来 の SIA ロードマップに比 して「提 案 型 」のロードマップ(solution roadmap)を目 指 す。
(3) 各 項 目 別 の概 要
[スターティングマテリアル]
大 口 径 化 の時 期 を見 直 し 2002 年 130nm node から 300mm 化 とした。critical surface metals を
新 しいモデルに基 づき数 値 の見 直 しを行 った。SOI に関 する項 目 の全 面 的 見 直 しを行 い 、製 造 別
に SIMOX、Bonded に分 類 し、基 板 仕 様 に関 しては SoC 対 応 を考 慮 して、DRAM、MPU に分 類 した。
[表 面 処 理 技 術 ]
配 線 工 程 に於 ける particle size の SIA 数 値 を見 直 し、メタルピッチの半 分 の値 にした。
あわせて critical metal、organics/polymers の数 値 も現 実 に合 うように見 直 した。
[ゲート絶 縁 膜 ・電 極 ]
100nm node 以 降 から導 入 が必 須 となる high-K ゲート絶 縁 膜 の材 料 及 びゲート電 極 材 料 を導 入
時 期 と共 に提 案 した。今 まであま り明 確 でなかった、極 薄 ゲート 絶 縁 膜 の測 定 法 に関 しての提 案 を
行 った。
P1-9
[拡 散 関 連 ]
イオン注 入 技 術 への要 求 を明 確 化 、定 量 化 した。プロセス低 温 化 と不 純 物 活 性 化 のトレー ドオ フ
に 対 す る 解 決 策 の 提 言 を行 い 、 ソー ス ・ ド レイン 寄 生 抵 抗 ・ コ ン タ ク ト 抵 抗 ・ ゲ ー ト 抵 抗 の 数 値 目 標
(PIDS)に対 応 する材 料 、プロセス候 補 の提 案 を行 った。さらに Elevated 構 造 適 用 時 期 の提 案 も行
った。
[エッチングプロセス]
デバイス、キャパシタのロードマップに合 わせたゲートエッチングにおける選 択 性 とCDの必 要 条 件 の
提 示 、エッチング装 置 のハードの将 来 方 向 の予 測 、チャージダメー ジについての記 述 を行 った 。さら
に配 線 工 程 エッチングプロセスの課 題 と解 決 策 も提 示 した。
[DRAM キャパシタ膜 ]
従 来 SIA には無 かった、DRAM キャパシタ膜 のロードマップを作 成 した。キャパシタの構 造 候 補 に加
えて、DRAM のセルサイズ、キャパシタサイズ、換 算 膜 厚 、リーク電 流 、膜 成 長 温 度 の値 、下 部 電 極 、
上 部 電 極 材 料 の候 補 も提 案 した。
(4) 提 言
フロントエンドについて今 後 の鍵 を握 るのは新 材 料 開 発 と設 計 ・インテグレーションとの有 機 的 連 携
である。新 材 料 プロセス開 発 にあたっては、大 胆 なチャレンジ精 神 と共 に装 置 メーカ、材 料 メーカとの
協 力 が不 可 欠 であり、その際 には 21 世 紀 に相 応 しいプロセスとして環 境 ・安 全 に配 慮 した取 り組 み
も忘 れてはならない。またどんなに新 しいプロセス技 術 を開 発 しても、システムサイドからの要 求 とかけ
離 れたものであれば役 に立 たない。今 後 のプロセス開 発 は、設 計 ・ イン テグレーションを通 して、シス
テムサイドからの高 度 かつ困 難 な要 求 を満 たすことが益 々重 要 になる。そのような困 難 なロードマップ
上 の要 求 を達 成 するためには、産 官 学 の英 知 を結 集 した連 携 により、トータルな技 術 開 発 を早 期 に
おこなう必 要 がある。
P1-10
1-3-4 配 線 [要 旨 ]
(1) 背 景
デバイスの微 細 化 高 集 積 化 に伴 い、配 線 技 術 が占 める役 割 はますます重 要 になりつつあり、配 線
寄 生 容 量 、抵 抗 による信 号 遅 延 の影 響 が大 きな課 題 になっている。配 線 低 抵 抗 化 のため Al 配 線 か
ら Cu 配 線 へ、層 間 絶 縁 膜 では容 量 低 減 のため Low-k 化 が進 められる。Cu/Low-k 配 線 の課 題 や
製 品 からの配 線 技 術 への要 求 項 目 をまとめ、ロードマップを策 定 することは開 発 効 率 向 上 に有 効 で
ある。
(2) 配 線 技 術 の範 囲
配 線 技 術 ロードマップはウェハプロセスにおけるコンタクト形 成 工 程 からパッド開 口 工 程 までを範 囲
とした。対 象 とする製 品 は MPU、DRAM、SOC としそれぞれの配 線 に対 する目 標 値 を製 品 別 にまとめ
た。配 線 の使 用 目 的 別 に目 標 値 の設 定 を行 いロードマップとして活 用 しやすい形 にまとめた。
(3) 課 題
Cu/Low-k 等 新 しい材 料 を用 いた配 線 の電 気 的 、機 械 的 、熱 的 安 定 性 と信 頼 性 確 保 。高 アスペ
クトコンタクト形 成 やデュアルダマシン構 造 の確 立 。Cu/Low-k 配 線 以 降 の RF、光 配 線 技 術 の構 築
等 が困 難 な課 題 としてあげられる。
(4) 候 補 技 術
1) メタル
高 アスペクトコンタクトの埋 め込 み材 料 としては当 面 W が使 われるが、カバレッジの改 善 やコンタクト
部 の低 抵 抗 化 が重 要 課 題 となる。配 線 材 料 は Cu が主 流 になるもののコストの点 で Al も数 世 代 に渡
って使 われる。Cu 配 線 はデュアルダマシンが主 流 技 術 であり電 解 メッキ技 術 が当 分 使 われる。Cu シ
ード層 は遠 距 離 スパッタ、イオン化 スパッタから CVD-Cu に置 き換 わる。Cu バリア材 料 としては Ta 系 、
W 系 材 料 の他 、無 電 解 メッキによるバリアメタルも候 補 材 料 である。将 来 技 術 としては高 温 超 伝 導 材
も考 慮 しておく必 要 がある。
2) 絶 縁 膜
配 線 間 、層 間 の寄 生 容 量 低 減 のため絶 縁 膜 の誘 電 率 低 減 (Low-k 化 )要 求 はますます強 まってい
るが、量 産 に耐 えられる材 料 やプロセスの開 発 はハードルが高 く誘 電 率 は段 階 的 に下 げていかざる
を得 ない。k=2.2~2.7 ではフルオロカーボン、ポリシロキサン等 の候 補 材 料 がある。また Cu 拡 散 を
防 止 できる Low-k 材 料 開 発 も推 進 する必 要 がある。100nm ノード以 下 ではk<1.5 の要 求 があり、ポ
ーラスな材 料 の他 エアギャップ構 造 も 候 補 のひとつであるが、配 線 インテグレー ションは困 難 な課 題
である。さらに将 来 技 術 としは RF や光 配 線 が候 補 技 術 であり、光 導 波 路 用 絶 縁 膜 材 料 開 発 が要 求
される。
3) 平 坦 化 件 名
平 坦 化 技 術 は当 面 CMP が主 流 であり、欠 陥 低 減 やコスト削 減 等 さまざまな改 善 を進 める必 要 があ
る。メタルダマシン CMP ではディッシング、エロージョンを低 減 する必 要 があり、パッド改 善 やスラリー
P1-11
の最 適 化 が重 要 なポイントとなる。
4) システム
プロセスや材 料 のみの改 善 では配 線 の性 能 向 上 には限 界 があり、設 計 技 術 の改 善 を並 行 して進
めることが信 号 遅 延 対 策 として重 要 性 を増 してくる。
YEAR
TECHNOLOGY NODE
1999
180nm
2002
130nm
2005
100nm
MPU1/2 pitch
230
160
115
MPUgate length(nm)
140
85
Number of metal levels
6-7
2011
50nm
2014
35nm
80
55
40
65
45
32
22
7-8
8-9
9
9-10
10
0
2
2
3
4
4
5.8E5
9.6E5
1.4E6
2.1E6
3.7E6
4.6E6
Imax(mA)-via(at 105℃)
0.36
0.32
0.24
0.18
0.16
0.11
Local wiring pitch(nm)
500
365
265
185
130
95
Local wiring A/R(for Al)
2
2.1
-
-
-
-
Local wiring A/R(for Cu)
1.4
1.5
1.7
1.9
2.1
2.3
Intermediate wiring pitch(nm)
640
465
340
240
165
115
Intermediate wiring A/R(Al)
2.2
2.5
-
-
-
-
2.0/2.1
2.2/2.1
2.4/2.2
2.5/2.3
2.7/2.4
2.9/2.5
1050
765
560
390
275
190
2
2.3
-
-
-
-
2.5/2.7
2.7/2.8
2.8/2.9
2.9/3.0
3.0/3.1
3.3
-
-
-
-
2.2
2.2
1.8
<1.8
<1.8
13
10
0
0
0
2.7-3.5
1.6-2.2
1.5
<1.5
<1.5
3.0E-7
1.7E-7
1.0E-7
5.0E-8
2.5E-8
1.5E-8
7E-9
2E-9
1E-9
6E-10
3E-10
1.5E-10
Number of optional levels ground
planes/capacitors
Jmax (A/cm2)-wire(at 105℃)
Intermediate wiring dual damascene
A/R(Cu wire/via)
Minimum global wiring pitch(nm)
Global wiring A/R(Al)
Global wiring dual damascene A/R
2.2/2.4
(Cu wire/via)
Conductor effective resistivity (μΩ-cm)
3.3
Al wiring
Conductor effective resistivity (μΩ-cm)
2.2
Cu wiring*
Barrier/cladding thickness
17
(for Cu wiring) (nm)***
Interlevel metal insulator-effective
3.5-4.0
dielectric constant(k)
Specific contact resistance(Ω-cm2)
Specific via resistance(Ω-cm2)
2008
70nm
図 表 1-3-2 MPU 配 線 技 術 の目 標 値 (ITRS’99 Table 46a,46b に基 づき一 部 STRJ で改 訂 )
P1-12
図 表 1-3-3 メタル技 術 の有 望 解 (ITRS’99 Figure31,32,33 に基 づき一 部 STRJ で改 訂 )
P1-13
図 表 1-3-4 絶 縁 膜 材 料 の有 望 解 ((ITRS’99 Figure 30 に基 づき一 部 STRJ で改 訂 )
P1-14
1-3-5 リソグラフィ [要 旨 ]
(1) 背 景 、ロードマップの意 義 、期 待 する効 果 等
【背 景 】
我 が国 、半 導 体 産 業 の微 細 化 技 術 は、半 導 体 メーカ、装 置 ・ 材 料 メーカによる、インフラ基 盤 と 技
術 力 の根 源 によって、最 も 世 界 に誇 れる技 術 分 野 である。この分 野 の経 済 的 、技 術 的 競 争 力 を維
持 し、ひい ては世 界 エレクトロニクス産 業 に貢 献 すべく、我 が国 半 導 体 リソグラフィ 技 術 のロードマッ
プを策 定 するものである。さらに、半 導 体 産 業 のみならず、関 連 する微 細 加 工 分 野 へも普 及 し得 る長
期 的 な視 野 も含 めるものとする。
【ロードマップの意 義 】
半 導 体 メーカ、装 置 ・材 料 メーカの健 全 的 発 展 を基 本 に、我 が国 、産 業 界 、学 術 団 体 の協 力 を仰
ぎ、更 なる微 細 加 工 技 術 の発 展 の指 針 を作 成 することを意 義 とする。更 には、本 微 細 化 技 術 の発 展
が、裾 野 広 く、各 種 産 業 界 に有 益 であることを目 的 とする。
【期 待 する効 果 】
本 ロードマップにより、半 導 体 産 業 界 の基 本 的 な指 針 やナビゲータとなるとともに、明 確 にされた将
来 にわたる新 しい技 術 研 究 開 発 課 題 や 新 規 創 造 的 技 術 の発 信 源 になることを期 待 する。同 時 に、
大 学 等 を通 じて、今 後 の新 しい知 見 や技 術 、及 び人 材 の育 成 に役 立 つ事 を期 待 したい。
(2) 検 討 範 囲 、検 討 項 目
【検 討 範 囲 】
現 状 最 先 端 の 180nm から 100nm まで、また更 に 100nm より先 の限 界 までを明 らかにしたい。限 界
を明 確 にすることにより、検 討 範 囲 も明 らかにした。同 時 に、我 が国 、コンソーシアム、個 別 企 業 、大
学 の現 状 、将 来 検 討 予 定 のテーマを全 て盛 り込 むものとする。基 本 的 には、
需 要 と供 給 両 面 から、年 代 数 値 の根 拠 の明 確 化 を行 う。
旧 来 の枠 にとらわれた技 術 絞 り込 みは行 わず、幅 広 い意 見 に基 づいた方 針 とする。
後 年 度 になるに従 って、我 が国 得 意 技 術 を候 補 としてノミネートする。
個 別 企 業 ・団 体 の利 益 誘 導 を排 除 する。
【検 討 項 目 】
従 来 の 汎 用 メモ リに 加 え 、我 が 国 の 新 しいビ ジネ ス 分 野 であ る メモリ 、ロ ジッ ク 混 載 で あ るシス テム
LSI を主 にフォーカスした。基 本 ロードマップ目 標 は、リソグラフィインフラの整 備 の面 から 3 年 サイクル
の 70%微 細 化 路 線 を堅 持 し、2014 年 50nm 以 下 までを目 指 すこととする。年 代 標 記 はカレンダーイヤ
ーとした。
最 初 の製 品 出 荷 の年
テクノロジノード
1997
250nm
1999
180nm
2002
130nm
2005
100nm
2008
70nm
図 表 1-3-5 1999 年 リソグラフィ基 本 ロードマップ
P1-15
2011
50nm
2014
<50nm
(3) ニーズ、到 達 レベル、解 決 策 の候 補 、課 題 等
2005 年 、すなわち、100nm ノードまでの主 たる課 題 は、露 光 装 置 の開 発 加 速 及 び、マスク製 造 技
術 の開 発 であり、ついで、ゲート CD 制 御 及 びオーバーレイ制 御 の改 善 を挙 げた。
2005 年 以 降 の課 題 は、引 き続 き、主 として、露 光 装 置 の開 発 加 速 及 びマスク製 造 技 術 の開 発 であ
るが、これに加 えて、コスト管 理 /低 減 の為 の技 術 の開 発 が、重 要 な課 題 として挙 げられる。更 に、ゲ
ート CD 制 御 及 びオーバーレイ制 御 の改 善 と、これらの制 御 及 びマスク製 造 技 術 を対 象 とした、計 測
法 の開 発 が必 要 である。
また、50nm 以 細 のノードをターゲットと想 定 した、既 存 リソグラフィ手 法 の延 長 にはない、新 たなリソ
グラフィ手 法 の探 索 、及 び発 見 による、プロセス技 術 の新 たなブレークスルーを強 く期 待 した。
98 年 度 版 と同 様 130nm 世 代 を 2002 年 にした。理 由 はマスク開 発 課 題 と大 多 数 のチップメーカの出
荷 計 画 が既 に予 定 されており、装 置 メーカの ArF 出 荷 時 期 も考 慮 した。その後 の世 代 はインフラ整
備 の理 由 から、3 年 周 期 とした。チップサイズについては、レチクルサイズの推 移 、コストと SoC の観 点
からあえてリソグラフィの日 本 版 は削 除 した。ウェハサイズの 300mm 投 入 は 130nm 世 代 とした。日 本
特 有 として、低 消 費 低 リーク対 応 のシステム LSI ゲートを追 記 した。システム LSI ゲートは 2000 年 度
以 降 、議 論 を要 す。
また、50nm 世 代 の候 補 技 術 として、ナノコンタクトプリンティングを記 載 した。この手 法 に限 らず、ナ
ノテクノロジ及 び分 子 協 調 材 料 分 野 等 の領 域 からの新 しいアプローチ及 びそれによるブレークスルー
が必 要 とされる。図 表 1にはリソグラフィ要 件 の数 値 を掲 げたが、本 表 は ITRS99の世 界 合 意 以 前 に
決 定 されたもので、数 値 が異 なっている事 に注 意 願 いたい。重 要 なポイントはリソグラフィツールと補
助 手 段 が追 加 されていることである。
(4) 他 の分 野 への依 存 性
本 分 野 は他 の技 術 分 野 と相 互 交 流 が必 要 であり、整 合 を取 る必 要 がある。他 分 野 とは
モデリングとシミュレーション(レジスト、光 学 像 )
ES&H
PIDS(段 差 やデザインルール)
配 線 (焦 点 深 度 、反 射 防 止 技 術 や平 坦 化 技 術 )
設 計 (OPC、システム LSI デザインルール)
ファクトリーインテグレーション(大 口 径 化 )
(5) 現 状 における着 手 レベル、目 標 に達 成 するための提 言
【着 手 レベル】
具 体 的 に、各 種 露 光 技 術 のクリティカルレベル、レジスト技 術 の解 決 法 、マスク技 術 の解 決 法 、計
測 技 術 の解 決 法 、そして新 世 紀 創 世 のための技 術 を記 述 している。
P1-16
最 初 の製 品 出 荷 の年
1997
1999
2002
2005
130nm
100nm
テクノロジノード
250nm
180nm
緻 密 Line (DRAM Half
250
180
130
100
Pitch)
形状の
250
180
130
100
最 小 システム LSI Gate(上 段 ),
Pitch(下 段 )
550
430
300
210
サイズ
孤 立 Line (MPU Gate,参
200
140
85
65
(nm)
考値)
コンタクト
280
200
140
110
ゲート CD 制 御 (nm, Etch 後 , 3σ)
20
14
8.5
6.5
オーバーレイ (nm, 平 均 , 3σ)
100
70
50
35
22×22 25×32 25×34 25×36
視 野 サイズ (mm×mm)
484
800
850
900
視 野 面 積 (mm 2 )
焦 点 深 度 (µm, 注 1)
0.8
0.7
0.6
0.5
欠 陥 密 度 (m - 2 , 注 2)
100 @ 80 80 @ 60 60 @ 40 50 @ 30
マスクサイズ (mm, 注 3)
152
152
230(100) 230(100)
マスク倍 率
×5
×4,5
×1,4,5 ×1,4,5
ウェハサイズ(mm, 直 径 )
200
200
300
300
リソグラフィツール(波 長 順 )
KrF
KrF
ArF
ArF
EBDW
EBDW
KrF
VUV
PXL
EBDW
EBDW
PXL
EBST
リソグラフィ補 助 手 段
BARC
BARC
BARC
BARC
TARC
TARC HT-PSM HT-PSM
HT-PSM HT-PSM
OPC
OPC
OPC
OAI
OAI
OAI
Alt-PSM Alt-PSM
2008
70nm
70
2011
50nm
50
2014
<50nm
35
70
150
50
50
105
35
35
75
25
80
55
45
5
3
2.5
30
20
15
25×40
不明
不明
1000
0.5
0.5
不明
40 @ 20 30 @ 15 25 @ 10
230(100) 230(100)
不明
×1,4,5 ×1,4,5
不明
300
450
450
VUV
VUV
EUV
EUV
EUV
nCP
PXL
PXL
EBDW
EBDW
EBST
EBST
TSI
TSI
TSI
HT-PSM
TLR
OPC
OAI
TLR
Alt-PSM
注 1:±10%の露 光 の全 視 野 で使 用 可 能 の焦 点 深 度 。注 2:nm サイズ欠 陥 の層 当 たりの密 度 、リソグラフィ起
因 のみ。
注 3: 括 弧 内 の値 は、等 倍 マスクについて。
nCP: ナノコンタクトプリンティング。EBST: EB ステッパ。
解 決 策 あり
解決法究明中
既 知 の解 決 法 無 し
図 表 1-3-6 生 産 クリティカルレベルのリソグラフィ要 件 と解 決 策
【提 言 】
1 次 世 代 リソグラフィ候 補 の多 数 育 成 とタイムリーな絞 り込 み
2 ROI を考 慮 したリソグラフィ装 置 、プロセスコストの低 減 と標 準 化
3 継 続 的 、国 家 レベルの研 究 開 発 支 援
4 国 際 協 調 とその具 現 化
5 大 学 、国 研 と産 業 界 による創 造 的 新 規 テーマの発 掘
6 科 学 と工 業 の融 和
7 新 規 創 造 ビジネスへの転 換
P1-17
1-3-6 デバイス [要 旨 ]
(1) 背 景 ・ロードマップの意 義 ・期 待 する効 果
半 導 体 LSI は、スケーリング則 によって集 積 度 と性 能 ・機 能 を飛 躍 的 に向 上 させてきたが、実 際 の
性 能 は主 にプロセス・インテグレーションのボトルネックとなる技 術 で決 まる。従 来 はそれが微 細 加 工 、
特 にリソグラフィ技 術 であったが、今 後 は基 本 トランジスタ構 造 のゲート絶 縁 膜 や配 線 を形 成 する材
料 ・プロセス技 術 まで広 がる。技 術 ロードマップの意 義 は、デバイスの性 能 向 上 を維 持 するためにボト
ルネックを論 理 的 に抽 出 し、それを解 決 するための候 補 技 術 と必 要 とする時 期 とを整 合 させることに
ある。その結 果 、技 術 開 発 の効 率 化 や投 資 のタイミングを図 ることができ、さらに日 本 の技 術 競 争 力
を向 上 させることが期 待 される。
(2) 検 討 範 囲 ・検 討 項 目
検 討 すべきデバイスとして、メモリ、ロジック、アナログ・ミックストシグナル・RF デバイスおよび今 後 期
待 される SOC デバイスを挙 げ、デバイスの信 頼 性 とプロセスの欠 陥 制 御 も加 えた。メモリでは、代 表
的 な DRAM、フラッシュメモリのほか、FeRAM を検 討 の対 象 にした。ロジックでは、今 後 2極 分 化 する
高 速 化 と 低 電 力 化 デバイスそれぞれに対 する主 要 パ ラメー タを検 討 し、アナログ分 野 では、高 速 デ
ータ通 信 応 用 を達 成 するための能 動 素 子 、受 動 素 子 およびアナログ回 路 の性 能 仕 様 を検 討 した。
SOC デバイスでは、大 規 模 集 積 化 を可 能 とするロジック回 路 を主 として、メモリやアナログを混 載 する
ための技 術 項 目 を検 討 範 囲 とした。
(3) ニーズ・到 達 レベル
メモリでは、画 像 機 器 向 けにますます高 集 積 化 され、セルサイズの一 層 の縮 小 が必 要 であり、容 量
膜 やトンネル膜 の薄 膜 化 や限 界 を打 破 する新 材 料 の導 入 が必 須 とされる。ロジックでは、さらなる高
性 能 化 に向 けてゲート 絶 縁 膜 の薄 膜 化 や多 層 配 線 技 術 等 のプロセス技 術 に加 え 、駆 動 能 力 を劣
化 させないトランジスタ構 造 の最 適 化 が必 要 とされる。アナログ関 連 については、NF を改 善 しつつ、
数 10GHZ の高 周 波 および 2V 以 下 の低 電 圧 化 をいかに達 成 するかがポイントである。SOC では半
導 体 機 能 コアの IP 化 およびその流 通 が不 可 欠 であるので、そのインフラの整 備 と低 コストのプロセス
混 載 技 術 の構 築 が注 目 される。
(4) 課 題
ロジックでは、キャリアの速 度 飽 和 やしきい値 の非 スケーリング性 による高 性 能 化 限 界 が厳 しくなり、
また原 子 レベルのゆらぎによる統 計 的 ばらつきも問 題 化 すると推 測 される 。一 方 すべてのデバイスに
共 通 する課 題 は、絶 縁 膜 の薄 膜 化 や配 線 の低 抵 抗 化 が留 まることなく要 求 され、それを実 現 するた
めの新 材 料 の導 入 、新 機 能 を有 する材 料 の開 発 、ブレークスルーが強 く要 請 されることである。さら
に、新 材 料 や新 技 術 の採 用 には、維 持 されるべきデバイスの信 頼 性 を確 保 する必 要 があり、迅 速 な
信 頼 性 評 価 技 術 の確 立 も大 きな課 題 である。
(5) 解 決 策 の候 補
メモリでは、高 誘 電 率 キャパ シタ、セルファクタを縮 小 可 能 なオープンビット線 方 式 やクロスポイント
セル、多 値 論 理 などが解 決 策 の候 補 である。ロジックでは、ゲートリークを改 善 するために高 誘 電 率
P1-18
ゲート絶 縁 膜 や金 属 ゲート電 極 、配 線 遅 延 を改 良 するための低 誘 電 率 層 間 絶 縁 膜 、可 変 しきい値
電 圧 を用 いた回 路 方 式 や SOI の適 用 が候 補 である。アナログ系 では、より大 きな Q 値 をもつ受 動 素
子 の開 発 がキーとなる解 決 策 である。
(6) 他 WGとの関 連
デバイスに関 する解 決 策 候 補 の多 くは、材 料 を含 むフロントエンドプロセスや配 線 、リソグラフィの各
WGの検 討 結 果 に強 く依 存 し、大 規 模 LSIのプロセスインテグレーションは工 場 の自 動 化 や欠 陥 低
減 ・評 価 診 断 WGの進 展 状 況 に関 わる。SOC は応 用 分 野 を考 慮 した設 計 WG、テストWGや実 装 W
Gとの関 連 が強 まる。トラン ジスタの高 速 化 と低 電 力 化 の限 界 は見 えてきて、LSIトータルとしての性
能 を上 げることが重 要 になり各 WGの連 携 が必 須 である。
(7) 提 言
これまでのデバイスは、技 術 開 発 によって性 能 ・コスト 比 が大 幅 に向 上 したため、その普 及 が加 速
度 的 に進 んだ。しかし、0.1μm以 下 のゲート寸 法 になると、統 計 的 なば らつきや各 種 のトレードオフ
に直 面 するなど技 術 バリアがますます高 くなる上 に、開 発 コストが膨 らみ 、性 能 ・コスト比 の向 上 は厳
しくなる傾 向 にある。一 方 、ロ ジックデバイスの構 造 、プロセス、材 料 の選 択 肢 が次 第 に狭 まりつつあ
り、それだけで付 加 価 値 を付 けることが難 しい時 代 となる 。競 合 する領 域 と協 調 する領 域 を見 極 め 、
コンセンサスをもって回 路 ・プロセス・材 料 および実 装 技 術 も含 めたデバイス開 発 への取 り組 みがます
ます必 要 である。
3
2.5
Ioff [nA/µm]
MPU Gate Length [nm]
Vdd, Tox
MPU Gate Length, Ion, Ioff , CV/I
Ion (NMOS) [µA/µm]
2
1.5
Tox equivalent [nm]
CV/I [ps]
1
Vdd [V]
0.5
2010
2005
2000
図 表 1-3-7 ロジックにおける主 要 パラメータの要 求 年 代 推 移
Cell factor
Cell size shrink = 0.55 / 2 years = 0.74 / year
(h.p.= half pitch)
1000
8
800
(128G)
6.4
600
400
200
Year
(h.p.)
5.6
1G
(2G)
(8G)
16G
4.4
4G
3.8
introduction
(32G)
256G
64G
3.2
2.9
2.5
2.4
(x0.62)
(x0.39)
‘99
01
(180nm 150
03
120
05
100
(x0.24)
07
80
09
63
11
50
13
40
15
30 )
図 表 1-3-8 DRAM におけるチップサイズとセルファクタの要 求 年 代 推 移
P1-19
1-3-7 実 装 [要 旨 ]
(1) 背 景
1) 電 子 機 器 の小 型 、軽 量 、薄 型 、高 性 能 化 、通 信 、ネ ットワーク化 が急 速 に進 展 しつつある。 又
製 品 開 発 期 間 の短 縮 が強 く求 められている。一 方 環 境 保 護 の高 まりから材 料 、製 造 プロセスにも配
慮 が必 要 になって来 た。このため機 器 のコンセプト、デザイン立 案 と同 時 に機 器 構 造 、強 度 、高 周 波
化 に伴 う信 号 伝 播 、不 要 輻 射 防 止 のプリント板 までを含 めた回 路 設 計 、放 熱 、寿 命 、環 境 負 荷 など
への配 慮 等 広 範 囲 の実 装 技 術 に関 する検 討 を急 速 、かつ 並 列 に行 わなければならなくなって来 て
いる。
2) QFP に代 表 される表 面 実 装 技 術 を第 1 の変 革 期 とすると、現 在 は周 辺 端 子 から格 子 端 子 を主
流 とする CSP と総 称 される半 導 体 パッケージが急 激 に開 発 ・導 入 され実 装 技 術 は第 2 の変 革 期 にあ
る。
(2) 範 囲
実 装 の範 囲 は上 述 の様 に広 いので半 導 体 パッケージと半 導 体 用 サブストレート(プリント基 板 )に限
定 した。
(3) 要 求
各 種 電 子 機 器 メーカ数 十 社 にアンケートを出 し機 器 の各 アイテムに関 し現 状 と、2005、2010 年 の
予 測 及 びそれ を実 現 するには実 装 分 野 に何 を要 求 するかを回 答 してもらいそれを分 析 した。これは
EIAJ から 99 年 8 月 に発 行 の「1999 年 日 本 実 装 技 術 ロードマップ」として纏 められている。今 回 この
中 から半 導 体 とサ ブストレ ートに対 して要 求 されてい る項 目 を取 り上 げた 。要 求 項 目 の代 表 例 は 製
品 厚 さ、重 量 、半 導 体 パッケージ形 態 、外 形 寸 法 、パッド数 、パッドピッチ、リード表 面 処 理 などであ
る。
(4) 課 題 と解 決 策 候 補
2005 年 までに解 決 すべき課 題 と解 決 策 候 補 を(
)内 に下 記 する。
1) 小 型 ・薄 型 ・高 密 度 化
・
パッケージの 3 次 元 構 造 化 (両 面 I/O 端 子 付 きパッケージ又 はベアチップ、Si 薄 型 化 技 術 、高
度 ワイヤボンディング技 術 etc)
・
小 型 BGA の多 端 子 化 ;FBGA→800,1000 ピン (ボールピッチ 0.8mm→0.4mm)
・
P-BGA の多 端 子 化 :→2800 ピン(ボールピッチ 1.27mm→0.8mm)
2) 高 速 伝 送 ・低 消 費 電 力 化
・
信 号 伝 送 回 路 の CR 成 分 の低 減 (低 誘 電 率 基 板 材 料 ε4.5~5.0→2.0)
・
チップ Cu 配 線 化 対 応 (Cu パッドへの直 接 ボンディング、バンプ形 成 )
・
耐 不 要 輻 射 、耐 ノイズ性 向 上 (シミュレーション技 術 )
3) 信 頼 性
・
車 載 用 の信 頼 性 確 保 (フリップチップ用 アンダフィル材 料 改 善 )
P1-20
4) 地 球 環 境 保 護
・
Pb フリ-ハンダ対 応 (各 メーカ、研 究 機 関 で開 発 中 、耐 熱 部 品 開 発 )
・
難 燃 材 のハロゲンフリー化 (サブストレート、モールド樹 脂 材 料 開 発 )
5) 開 発 期 間
・
設 計 ・製 造 総 合 技 術 力 の向 上 による開 発 期 間 の短 縮 (電 気 特 性 、熱 、機 械 強 度 、応 力 等 の総
合 シミュレ-ション技 術 の開 発 )
(5) 提 言
今 後 は信 号 が高 周 波 信 号 になるので半 導 体 デバイスだけでなく、半 導 体 パッケージ、プリント基 板 全
体 を捉 えて全 体 がうまく作 動 するように、シミュレーションを駆 使 して開 発 することが益 々重 要 になる。
これには上 記 の開 発 期 間 の所 にも記 したが総 合 シミュレー ション技 術 が今 後 特 に必 要 になると思 わ
れる。この一 歩 として NEC 遠 矢 氏 が提 唱 している基 板 までを含 んだ新 統 合 設 計 技 術 開 発 (11/下 の
補 正 予 算 で認 可 )を更 に推 進 することが重 要 と思 われ 、12/上 以 降 も国 の予 算 をつけ多 くの研 究 者
を集 め短 期 間 で推 進 することを提 案 する。
第 2次 変 革 期
第 1次 変 革 期
高密度化
表面実装
(周 辺 端 子 型 )
挿入実装
表面実装
(格 子 端 子 型 )
FCBG
FBGA
(CSP)
QF
TSO
DI
T
Transistor
Trans istor
IC
1970
LSI
VLSI
1980
1990
2000
2005
図 表 1-3-9 半 導 体 パッケージングにおける変 革
P1-21
2010
1-3-8 ファクトリインテグレーション [要 旨 ]
(1) 検 討 範 囲 、課 題
基 本 ニーズは工 場 生 産 性 、すなわちコスト低 減 、変 化 への柔 軟 な対 応 、信 頼 性 と有 用 性 の改 善 、
工 期 の短 縮 等 の追 求 である。今 回 は、半 導 体 製 造 工 程 の内 の、ウェハプロセスラインに焦 点 を絞 り、
ロードマップ検 討 の視 点 として、製 造 技 術 を包 括 する意 味 で対 象 を量 産 工 場 とし、製 品 構 成 につい
ては少 品 種 、多 品 種 のライン対 応 についてそれぞれ検 討 を行 った。
検 討 すべき課 題 として、「複 雑 さへの対 応 」がある。異 なる工 場 、多 くの新 製 品 や新 技 術 の導 入 、
新 規 プロセスなどの要 素 とこれらの関 係 のマネージメントである。これらの要 素 はその数 が急 速 に増
大 し続 けるために工 場 のマネージメントの重 要 性 と困 難 さが増 大 してきている。この前 提 のうえに、限
定 された資 源 のもとで、コスト低 減 と工 期 短 縮 を図 るための「工 場 の最 適 化 」と、多 世 代 の製 品 や多
様 な品 種 展 開 や、生 産 規 模 の拡 大 などに対 する「汎 用 性 /拡 張 性 」を課 題 として検 討 した。
技 術 的 要 求 を検 討 することは前 述 した課 題 の達 成 に必 要 である。工 場 を互 いに関 連 し合 う機 能 か
ら、①工 場 運 営 :工 場 内 の生 産 を制 御 する運 用 方 針 と手 順 、②製 造 装 置 :検 査 /プロセス装 置 と工
場 における他 の構 成 要 素 と装 置 のインタフェース、③搬 送 システム:工 場 内 における材 料 の移 送 、保
管 、認 識 、ト ラッキング、④工 場 システム:コンピュータのハードウェア、ソフトウェア及 び、製 造 関 連 の
支 援 システム、スケジューラ、装 置 /材 料 管 理 、プロセス制 御 など、⑤ファシリティ:建 家 のインフラスト
ラクチャ、用 力 、モニタリングシステム、の5つの技 術 項 目 に分 類 し、課 題 への解 決 策 を検 討 した。
検 討 に当 たっては「工 場 運 営 」を工 場 全 体 を統 括 す る概 念 と 位 置 づ けし、製 品 工 期 、ラ イン稼 動
率 などの要 求 をまとめた。 次 に「工 場 システム」、「製 造 装 置 」、「搬 送 システム」、「ファシリティ」 な
どの具 体 的 な技 術 分 野 への要 求 事 項 へ展 開 した。
年度
Technolo gy Nod e
ウェハ径
少 品 種 量 産 ライン
通 常 ロットのマスクレイヤ
当 たりの工 期
ホットロットのマスクレイヤ
当 たりの工 期
キャリア内 ロット数
他 品 種 量 産 ライン
通 常 ロットのマスクレイヤ
当 たりの工 期
ホットロットのマスクレイヤ
当 たりの工 期
キャリア内 ロット数
共通要求事項
工 場 建 設 、立 ち上 げ工
期
工 場 オペレータ総 数
製 品 /プロセス変 更 工 期
Solution s Exist
1999
180 nm
200 mm
2002
130 nm
300 mm
2005
100 nm
300 mm
2008
70 nm
300 mm
2011
50 nm
300 mm
2014
35 nm
450 mm
1.75
1 .75 d ays
1.5
1 .5 d ays
1.4 d ays
1.3 d ays
1.2 d ays
1.1 days
1.2
1 .2 d ays
1.0
1 .0 d ay
1.0 d ay
1.0 d ay
1.0 d ay
1.0 day
On e lo t
One lot
One lot
One lot
One lot
One lo t
1.8
1 .8 days
d ays
1.6
1 .6 days
1.4 days
1.3 days
1.2 days
1.1 days
0.9 days
0.85 days
0.8 days
0.75 days
0.7 days
0.65 days
Single lo t [4] Multiple
Multip le lo ts Mu ltip le lo ts Mu ltip le lo ts Mu ltip le lo ts Mu ltip le lots
< 18 mon th s < 16 months
N
12 weeks
0 .9 ×N
10 weeks
< 14 months < 12 months < 11 months < 10 months
0.8 ×N
8 weeks
0.7 ×N
6 weeks
Solution s Be ing Pu rsued
図 表 1-3-10 工 場 運 営 の要 求 項 目
P1-22
0.6 ×N
5 weeks
No Known So lution s
0.5 ×N
4 weeks
(2) 解 決 策
解 決 策 も要 求 項 目 に合 わせ、工 場 運 営 、製 造 装 置 、搬 送 システム、工 場 システム、及 びファシリテ
ィで区 分 される。この中 で今 年 度 は、特 に、搬 送 システムについての検 討 を主 体 として行 った。以 下
に搬 送 システムについての検 討 概 要 を示 す。
拡 張 性 /汎 用 性 /スケーラビリティの観 点 から、搬 送 システムにもクリーンルームへのフレキシブルな
対 応 がより小 さなインパクトで実 現 されることが要 求 される。これは据 付 /立 ち上 げ/拡 張 を簡 単 に行
える事 であり、コンポーネント化 ・モジュール化 により固 定 方 法 に関 する制 約 を減 らし、調 整 を極 力 無
くす方 式 が必 要 とされる。また、導 入 前 に事 前 テストがモジュール毎 に実 現 できることも、調 整 時 間 短
縮 には有 用 である。さらに、簡 単 に拡 張 できる機 構 も重 要 である。そのためには、ハード構 成 によらな
いソフト構 造 が求 められる。ハードによって変 わる必 要 のある項 目 はパラメータ化 しておく必 要 があり、
自 動 調 整 ができる技 術 も開 発 しなければならない。そしてソフトのアップグレード等 のためにシステム
稼 働 を止 めないですむシステム構 築 も望 まれる。その他 トラブルの自 動 モニタリングや解 析 が出 来 、
自 動 予 防 保 全 、自 動 復 旧 ができるよう技 術 開 発 も必 要 である。
搬 送 システムのもう一 つの課 題 は製 造 装 置 の稼 働 に時 間 的 ロスが発 生 しない様 にロットを供 給 する
ことやロットの搬 送 ・保 管 時 間 を最 短 にすることを最 小 のコストで実 現 することである。これには工 程 間
と工 程 内 あるいはストッカを含 めて、標 準 化 されたインタフェースをもってダイレクト搬 送 ができる装 置
構 成 とその次 に搬 送 車 が直 接 装 置 から装 置 へ搬 送 する完 全 ダイレクト搬 送 が要 求 される。そのため
にも、工 程 間 と工 程 内 を統 合 して制 御 できるシステムがまず必 要 である。実 際 の状 況 に合 わせてスケ
ジューリングできたり、予 測 配 膳 ができたりするシステムが必 要 となる。仕 掛 かりを考 えて搬 送 能 力 を
予 測 し、ロットの移 動 を最 適 化 するシステムも望 まれる。
集中ストッカ
図 表 1-3-11 ダイレクト搬 送 システム
ダイレクト搬送機器
(3) 提 言
今 回 、ファクトリインテグレーションWGでは生 産 性 に関 わる技 術 各 分 野 に渡 って、可 能 解 まで提 案
したが、多 くはまだ、技 術 要 求 のレベルである。半 導 体 産 業 を今 後 、継 続 するためには、これ ら各 用
件 に対 し、具 体 的 なアクションプランにまでブレイクダウンし、解 決 策 を用 意 しなければならない。米 国
を中 心 とした諸 外 国 と競 合 していくためには、個 別 の要 素 技 術 だけで なく、これらを組 み合 わせる技
術 についても研 究 ・開 発 も進 めていかなければならない。ファクトリインテグレーション技 術 、その中 で
もプロセスインテグレーション、装 置 インテグレーション等 の技 術 を積 極 的 に研 究 ・開 発 していく 必 要
がある。
従 来 、日 本 ではこの分 野 の具 体 的 施 策 の検 討 は個 別 企 業 (デバイスメーカ、サプライヤ)の範 囲 内
に限 られていた。これらの研 究 開 発 を促 進 するためには、産 業 界 の協 力 関 係 の構 築 が重 要 であるば
かりでなく、官 公 庁 、大 学 等 の研 究 機 関 の協 力 も必 要 である。
P1-23
1-3-9 ES&H(Environment Safety and Health) [要 旨 ]
(1) 背 景 (背 景 、ロードマップの意 義 、期 待 する効 果 )
半 導 体 産 業 は従 来 から環 境 、安 全 、健 康 (ESH)の問 題 について、先 進 的 な取 り組 みを行 い、この
分 野 でも世 界 のリーディング産 業 としての地 位 を築 い ている。しかし、半 導 体 産 業 において数 多 くの
化 学 物 質 が使 用 され 、環 境 中 に排 出 され ている ことも 事 実 で あり、 産 業 規 模 の急 速 な拡 大 や 微 細
化 技 術 の進 展 、また、ウェーハの大 口 径 化 にともなって、新 たな化 学 物 質 が使 用 され、エネルギー消
費 量 が増 大 していく傾 向 は、解 決 されなければならない課 題 である。
本 ロードマップの意 義 は、設 計 、ウェーハプロセス、実 装 などの技 術 の発 展 にともない、ESH に関 し
てどのような問 題 が新 たに発 生 するのかを分 析 し、その解 決 のために必 要 な技 術 やマネージメント シ
ステム を提 案 す ることに より 、半 導 体 産 業 に 関 わ る技 術 者 、研 究 者 の 共 通 認 識 を構 築 す ることで あ
る。
本 ロードマップによって、半 導 体 産 業 に関 わるすべての人 々が、現 在 と将 来 の ESH 問 題 に対 する
認 識 を深 め、それぞれの分 野 でその解 決 に努 力 して、半 導 体 産 業 の長 期 にわたるバランスのとれた
発 展 が実 現 されることが期 待 される。
(2) 範 囲 (検 討 範 囲 、検 討 項 目 )
半 導 体 技 術 に関 わるすべての ESH 問 題 を横 断 的 に検 討 し、主 要 なテーマをピックアップして、各
分 野 の専 門 家 の協 力 を得 て解 決 案 を提 案 する。
環 境 の側 面 からは、省 エネルギー、省 資 源 、化 学 物 質 管 理 などについて検 討 する。安 全 ・健 康 面
からは、製 造 装 置 と工 場 設 備 の安 全 性 評 価 、および有 害 物 質 が作 業 者 におよぼす影 響 についての
安 全 性 評 価 やリスクアセスメントの方 法 について検 討 する。また環 境 ツールとモデリングについては、
危 険 性 モデルやコストモデルの作 成 と適 用 について検 討 する。
(3) 要 求 (技 術 ニーズ、到 達 すべきレベル)
ウェーハの大 口 径 化 や微 細 化 技 術 の進 展 がエネルギー使 用 量 や純 水 ・薬 品 ・ガス等 の資 源 の使
用 量 を増 大 させる傾 向 にあり、省 エネ、省 資 源 技 術 の検 討 が必 要 である。また新 技 術 の発 展 に伴 い、
新 規 化 学 物 質 が採 用 され る可 能 性 が 高 く、それ らの管 理 手 法 を確 立 する 必 要 がある 。また設 備 や
有 害 物 質 が 、環 境 か ら作 業 者 に至 るま で、及 ぼす影 響 や 危 険 性 を評 価 し、設 計 時 からそれ らを 回
避 できるよう、モデリングやリスク評 価 手 法 を確 立 するべきである。
(4) 課 題 (技 術 課 題 )
これまで、半 導 体 工 場 の省 エネルギーについては、ファシリ ティ中 心 に対 策 が行 なわれて来 たが、
製 造 設 備 につ いても 対 策 す る必 要 がある 。超 純 水 の使 用 量 増 加 はコ スト アップと 環 境 負 荷 増 大 の
要 因 であり、その使 用 量 削 減 と製 造 コストの削 減 を実 現 すべきである。
既 存 および新 規 の化 学 物 質 のデータベースを充 実 し、半 導 体 プロセスに適 応 したリスクアセスメント
手 法 の 開 発 が 急 が れ る 。ま た、半 導 体 工 場 か ら 排 出 される 環 境 負 荷 物 質 を削 減 す る必 要 が あ り 、
PRTR(pollutant release and transfer resister:環 境 汚 染 物 質 排 出 移 動 登 録 )に対 応 した環 境 マネ
ジメントシステムを構 築 しなければならない。
ウェー ハの大 型 化 に とも ない設 備 も大 型 化 し てい るため 、設 備 稼 働 部 分 の 人 体 へ の干 渉 防 止 対
P1-24
策 が必 要 である。また有 害 物 質 への曝 露 対 策 を確 立 しなければならない。
半 導 体 産 業 の急 速 な技 術 革 新 に対 応 した環 境 施 策 を実 施 するため、その評 価 体 系 の構 築 が必
須 であり、モデリング技 術 を応 用 した環 境 対 策 評 価 ツールの確 立 が求 められる。
(5) 解 決 策 候 補 (解 決 策 の候 補 技 術 )
1) 省 エネルギー・省 資 源
半 導 体 製 造 装 置 の省 エネルギーには、プラズマ、イオンビーム等 の高 効 率 化 とともに、低 発 熱 化 や
排 気 回 収 等 クリンルーム熱 負 荷 の低 減 対 策 が必 要 である。省 資 源 については、高 効 率 洗 浄 技 術 の
開 発 による純 水 使 用 量 の削 減 や薬 品 使 用 量 の削 減 が必 要 である。また、半 導 体 産 業 のゼロエミッシ
ョンを実 現 するためのシステム作 りが求 められる。
2) 化 学 物 質 管 理
半 導 体 産 業 で資 料 される物 質 のデータベースを充 実 することが必 要 である。新 規 材 料 ・化 学 物 質
の安 全 性 ・環 境 負 荷 性 評 価 につい ては、そのデータを取 得 するシス テムを構 築 する。また、半 導 体
産 業 に対 応 したリスクアセスメント手 法 を確 立 する。
環 境 負 荷 物 質 の削 減 については、PRTR と連 携 した管 理 を行 なうとともに、設 計 段 階 から環 境 を意
識 した設 計 アルゴリズムの確 立 が必 要 である。
3) 安 全 ・健 康
新 規 化 学 物 質 の影 響 や従 業 員 のメンタルヘルス、またX線 被 爆 などの問 題 については、専 門 の研
究 機 関 との共 同 研 究 が必 要 である。また地 震 や火 災 に対 する安 全 対 策 を構 築 するとともに、エルゴ
ノミクスを適 用 した設 備 設 計 手 順 を確 立 する。
4) 環 境 ツールとモデリング
環 境 施 策 の評 価 手 法 を確 立 するため、危 険 性 モデル・費 用 モデルについて研 究 する必 要 がある。
5) 他 分 野 依 存 性 (他 の WG 分 野 への依 存 性 )
半 導 体 に関 係 するすべての技 術 は、それぞれ固 有 の ESH 問 題 を含 んでおり、特 にフロントエンドプ
ロセス、配 線 、リソグラフィ、実 装 、ファクトリインテグレー ションの分 野 において関 連 が深 く、省 資 源 、
省 エネルギー、化 学 物 質 管 理 等 の面 ではこれらの技 術 に関 連 する事 項 が多 い。
また、設 計 、テスト、シミュレーション等 の技 術 についても、今 後 ESH を念 頭 においた課 題 の解 決 を計
っていくことが肝 要 になる。
(6) 提 言 (現 状 における着 手 レベル、目 標 に到 達 するための提 言 )
半 導 体 産 業 の ESH 問 題 の解 決 には製 造 装 置 メーカや材 料 メーカとの協 力 が不 可 欠 であり、また、
国 家 の支 援 による税 制 優 遇 制 度 などのインセンティブの付 与 、学 界 との協 力 による技 術 開 発 などが
期 待 される 。安 全 ・健 康 の分 野 では医 学 界 との協 力 も必 要 と考 え られる。更 に、半 導 体 産 業 がグ ロ
ーバルな産 業 であり、環 境 問 題 もまた全 地 球 的 な問 題 であることか ら、国 際 協 調 による問 題 解 決 が
望 まれる。
温 室 効 果 ガスであるPFCの排 出 削 減 についてはすでに国 家 研 究 がスタートしてお り、また国 際 的
にも協 力 の枠 組 みが確 立 しているが、今 後 、ESH の他 の分 野 でも同 様 の体 制 を展 開 していくことが
必 要 である。
P1-25
1-3-10 モデリング&シミュレーション [要 旨 ]
最 先 端 のLSIを開 発 ・生 産 するために必 要 な技 術 のなかで、モデリング&シミュレーション技 術 は開
発 ・生 産 効 率 を高 める技 術 として、100nm のテクノロジーノードが見 えてくるにあたり、一 層 重 要 になっ
てきた。
製 造 装 置 の性 能 向 上 によってノウハウは次 第 に装 置 に組 み込 まれるようになり、デバイスメーカにと
って製 造 技 術 自 体 での技 術 の差 別 化 は困 難 になりつつある。一 方 、デバイス構 造 はさらに微 細 化 が
進 んでおり、物 理 的 な限 界 に 近 づくにつれ、従 来 の単 純 なモデルや理 論 では解 釈 できない 現 象 が
現 れ、これを理 解 し設 計 や製 造 プロセスに反 映 させるためには、メゾスコピックなモデリングが不 可 欠
になってきた。再 びサイエンス 、そしてそれらをベースとするモデリング&シミュレーションが技 術 発 展
の原 動 力 となる時 代 になってきた。
モデリング&シミュレーション技 術 では、
・ シリコンLSI開 発 ・生 産 に関 わる物 理 ・化 学 モデル
・ モデルに基 づき計 算 する、シミュレーション技 術 、計 算 技 術
・ シミュレータのキャリブレーションも含 めたシミュレーションの応 用 技 術
・ 統 計 解 析 、マン-マシンインターフェースを含 めた計 算 環 境
を扱 い、今 後 のモデリング&シミュレーション技 術 に対 する要 求 事 項 の拡 大 と高 度 化 、およびそれら
を具 体 化 するための技 術 選 択 肢 の見 通 しを示 した。さらに、技 術 発 展 の結 果 として、本 来 デバイス メ
ーカが求 めている開 発 コスト削 減 、TAT(turn-around time)短 縮 についても検 討 した。
モデリング&シミュレーションは、第 一 にデバイス/プロセスの物 理 ・化 学 メカニズムを明 らかにし、開
発 方 針 の決 定 や技 術 を選 択 する場 合 に強 力 な判 断 材 料 を提 供 する。第 二 には、机 上 実 験 により必
要 最 小 限 の試 作 条 件 に絞 り込 むことによって、開 発 ロット/マスク改 訂 数 の削 減 を可 能 とし、TAT が
短 縮 され、開 発 費 が削 減 される。
表 および図 はその削 減 予 測 と全 体 像 を示 したものである。一 方 、メカニ ズム把 握 という“知 識 ”を得
ることによる技 術 の進 展 や効 率 化 は、相 当 程 度 まで実 現 されていると考 えられるが、数 値 化 が困 難 な
ため省 いている。
100nm のテクノロジーノードで削 減 率 が上 昇 しているのは、バックエンドプロセスシミュレーションの発
展 によるところが大 きい。これにより、現 在 困 難 であるエッチングやデポジションなどの化 学 反 応 のメカ
ニズムが理 解 可 能 になるため、個 別 プロセス開 発 におけるバラツキも含 めた最 適 製 造 条 件 をシミュレ
ーションで絞 り込 む、あるいは不 具 合 の起 きるメカニズムを解 明 することが可 能 になる。
設 計 分 野 に対 しては、プロセスインテグレーションが完 了 する以 前 に、設 計 用 のコンパクトモデルパ
ラメータを提 供 可 能 になるため、開 発 初 期 からライブラリーの設 計 ができ、プロセス・設 計 のコンカレン
ト開 発 が実 現 する。これは設 計 も含 めた SOC 開 発 期 間 全 体 を大 幅 に短 縮 する。
最 後 には、我 が国 がサイエンスやアルゴリズムをベースとした SOC の基 礎 ・基 盤 技 術 力 を獲 得 し、
かつ国 際 協 調 を通 して世 界 に貢 献 するために解 決 すべき課 題 と方 策 も提 言 した。
P1-26
開発に対する効果
Technology node
コスト削減
TAT短縮
250nm
1997
180nm
1999
130nm
100nm
70nm
50nm
35nm
総合
基本プロセス
展開プロセス
要素プロセス
15%
20%
50%
0%
20%
30%
50%
0%
25%
40%
60%
2%
35%
50%
60%
10%
40%
60%
60%
20%
50%
70%
60%
30%
50%
70%
60%
30%
基本プロセス
10%
15%
20%
30%
40%
50%
50%
展開プロセス
45%
45%
50%
50%
50%
50%
50%
工場展開での寄与
(バックエンドプロセスシミュレータで装置コスト・統計的プロセス設計機能でTr等の特性バラツキ削減で)
Technology node
250nm
1997
180nm
1999
130nm
100nm
70nm
50nm
35nm
装置立ち上げコスト・期間
2%
10%
20%
30%
30%
プロセス導入期間短縮
10%
15%
20%
25%
30%
プロセス導入初期歩留向上(90になるまでの期間)
3months
2months 1.5months 1months 0.5months
6months
6months
6months
6months
6months
10%
15%
15%
20%
20%
設計関連寄与
コンカレント開発
-
-
チップ面積縮小
(当該ルール内で設計マージン縮小による)
図 表 1-3-12 コスト削 減 、TAT短 縮 効 果
マスク改訂数低減
先行者
EDA
ロット数低減
利益
コンカレント開発
短TAT
開発
フロントエンドプロ
セスシミュレータ
バックエンドプロ
セスシミュレータ
デバイス・回路
シミュレータ
歩留まり向上
シミュレーション統合環境
(パラメータラン,RSM,バラツキ解析)
工程バラツキ
低減
スペックバラ
ツキ低減
LSIサイズ縮小
コスト
低減
図 表 1-3-13 Modeling&Simulationと短 TAT&コスト低 減
P1-27
1-3-11 計 測 技 術 [要 旨 ]
計 測 技 術 は半 導 体 産 業 を支 える基 盤 技 術 の一 つである。プロセスおよび装 置 の開 発 、製 品 あるい
はラインの立 ち上 げ、量 産 など、全 ての局 面 において高 度 な計 測 技 術 が不 可 欠 である。しかし、計 測
に要 求 される分 解 能 ・精 度 ・感 度 が高 くなるとともに、その要 求 に応 えることが困 難 になりつつある。半
導 体 計 測 技 術 の未 熟 さが、半 導 体 生 産 技 術 の発 達 ひいては半 導 体 産 業 の発 展 を妨 げる隘 路 とな
り兼 ねない。
到 達 レベルから見 て、隘 路 となり兼 ねない特 に重 要 な項 目 を挙 げると、2002 年 130nm ノードでは、
デバイス構 造 の微 細 化 や微 細 化 に伴 って派 生 する高 アスペクト比 化 に対 応 することが主 なニーズで
あり、180 nm ノードから引 き続 いての課 題 である微 細 構 造 や高 アスペクト比 構 造 の高 解 像 度 観 察 、
ドーパントプロファイルの高 空 間 分 解 能 計 測 に加 え、極 薄 ゲート酸 化 膜 や極 薄 容 量 絶 縁 膜 の高 精
度 膜 厚 測 定 が課 題 となる。2005 年 100nm ノードでは、パターン微 細 化 の推 進 を図 ることが主 なニー
ズとなり、ウエハパターンやホトマスクパターンの寸 法 ・パターン位 置 を高 精 度 に測 定 することが課 題 と
なる。一 方 、全 ノードを通 した 課 題 として、第 一 に 、プ ロセス材 料 およびコンタミネ- ションをさらに 精
密 に制 御 することが必 要 であり、微 粒 子 や微 量 不 純 物 を高 感 度 で検 出 できるようにすることが挙 げら
れる。第 二 に、プロセスやプロセス装 置 をより精 密 に制 御 するために、高 性 能 なプロセス監 視 センサ、
プロセスモニタそして装 置 パラメータ計 測 センサの開 発 が求 められる。
このような課 題 に対 応 するため、
① デバイス/プロセスの研 究 ・開 発 に際 しては、計 画 段 階 か ら計 測 ニー ズを明 確 にするようにし、
適 確 なニーズを計 測 技 術 の研 究 ・開 発 に反 映 させなければならない:計 測 技 術 はプロセス装 置 の開
発 /プロセスの開 発 /新 材 料 の導 入 検 討 と言 った、先 行 的 研 究 ・開 発 の時 期 に必 要 とされることが
多 い。また、計 測 ニーズは新 材 料 ・新 デバイス構 造 ・新 プロセスの導 入 などに左 右 されるが、計 測 に
携 わる人 達 にとって、それらの技 術 開 発 方 向 が明 確 に見 えているわけではない。デバイス/プロセス
の要 求 に応 えるためには、計 測 ニーズを適 確 に捉 え、開 発 技 術 の焦 点 を絞 り、早 期 に開 発 着 手 する
ことが必 要 である。
② 半 導 体 メーカ、計 測 装 置 メーカ、および公 的 研 究 機 関 は、一 丸 となって、センシング技 術 および
計 測 装 置 の開 発 を行 わねばならない:計 測 装 置 メーカは、比 較 的 小 規 模 であることが多 く、先 行 開
発 や装 置 試 作 の費 用 負 担 に耐 えることが難 しい。
③ デバイスおよびプロセスの設 計 に際 しては、‘より余 裕 度 のある設 計 ’を課 題 として、研 究 ・開 発
を進 めねばならない。例 えば、CDばらつき、ゲート絶 縁 膜 /容 量 絶 縁 膜 の膜 厚 ばらつきなどに鈍 感
なデバイスおよびプロセスを設 計 ・開 発 することが必 要 である:計 測 の精 度 /分 解 能 /感 度 は原 子 レ
ベルの極 限 に近 づきつつあり、計 測 性 能 がデバイス/プロセスの物 理 限 界 で制 約 されることも予 測 さ
れる。仮 に計 測 の技 術 開 発 が精 力 的 に進 められるとしても、必 ず しも期 待 されるような性 能 ・ 機 能 が
実 現 されない恐 れもある。
④ ‘日 本 の半 導 体 技 術 を牽 引 す べき、旗 印 としてのS oC’を明 確 にすると ともに、各 要 素 技 術 の
課 題 ・目 標 と して詳 細 化 することを急 がねばならない:SoCということでは、混 載 / 短 TAT/ 少 量 多
品 種 /マスク製 作 などをキーワードとし、これら課 題 に対 応 した計 測 技 術 を開 発 することになる。しか
し、SoCの意 味 するところは広 く、‘SoCのための計 測 ’の目 標 が必 ずしも明 確 になってはいない。
P1-28
製 品 の出 荷 開 始 年
テクノロジノード
1999
180 nm
2002
130 nm
2005
100 nm
2008
70 nm
2011
50 nm
2014
35 nm
微 細 形 状 の観 察 分 解 能
(nm, P/T=0.1)
1.4
1.0
0.7
0.5
0.35
0.25
ホール底 部 観 察 分 解 能
(nm, P/T=0.2)
2.8
2.0
1.4
1.0
0.7
0.5
パターン寸 法 の測 定 再 現 性
緻 密 ライン/孤 立 ライン/ホール
(nm, 3σ, P/T=0.2)
3.6
2.8
4.0
2.6
2.0
3.0
2.0
1.4
2.6
1.4
1.1
1.6
1.0
0.8
1.1
0.70
0.6
0.80
実 微 粒 子 の検 出 感 度
(nm, パターン上 )
90
65
50
35
25
17
4.5×10 9
3.0×10 9
2.0×10 9
1.5×10 9
1.1×10 9
微 小 領 域 における表 面 クリテイ
カル金 属 の検 出 感 度
9.4×10 9
2
(at/cm , 各 金 属 , P/T =
0.1)
表 面 有 機 物 の検 出 感 度
2.0×10 1 1 1.4×10 1 1 9.8×10 1 0 6.8×10 1 0 4.8×10 1 0
(at/cm 2 , 各 有 機 物 , P/T =
0.1)
3.4×10 1 0
ゲート絶 縁 膜 膜 厚 測 定 精 度
(nm, 3σ, P/T=0.1)
0.0076
0.0060
0.0077
0.021
0.015
0.013
容量絶縁膜膜厚測定精度
(nm, 3σ, P/T=0.1)
0.046
0.049
0.11
0.11
0.092
0.066
ドーパントプロファイル測 定 の
空 間 分 解 能 2-D/3-D (nm)
10/-
7/15
5/10
4/7
3/5
2/3.5
図表1−3−14 半導体計測の期待される到達レベル
( ITRS’99か ら 記 載 内 容 の 一 部 を 引 用 )
P1-29
1 − 3 − 1 2 欠 陥 低 減 [要 旨 ]
半 導 体 ビジネスの成 功 は、歩 留 の垂 直 立 上 げを実 現 し、高 歩 留 の安 定 生 産 を維 持 することに掛 っ
ている。歩 留 りは、チップ面 積 に依 存 しない成 分 の‘システム歩 留 ’とチップ面 積 に依 存 する成 分 の
‘ランダム歩 留 ’の積 として表 される。現 在 の半 導 体 製 造 では、量 産 初 期 の歩 留 をシステム歩 留 が決
定 し、量 産 最 盛 時 の歩 留 をランダム歩 留 が決 定 している。システム歩 留 を向 上 させるためには、デバ
イス構 造 微 細 化 ・プロセス複 雑 化 の進 展 を考 慮 した正 確 な歩 留 モデルを作 ることが、当 面 の課 題 で
ある。一 方 、ランダム歩 留 は‘見 える欠 陥 ’に因 って決 められるものであり、その改 善 は ‘欠 陥 を検 出 ・
分 類 し、歩 留 ヘの影 響 が大 きいも のから低 減 ・削 除 して行 く能 力 ’ に掛 ってい る 。ランダ ム歩 留 を 向
上 させるため、プロセス起 因 欠 陥 および装 置 起 因 欠 陥 を低 減 するには、高 い欠 陥 検 出 感 度 とスルー
プットを併 せ持 った欠 陥 検 査 装 置 、および正 確 かつ迅 速 に欠 陥 をレビュー・分 類 するための技 術 が
求 められる。
一 方 、① 製 品 の世 代 毎 に 、量 産 初 年 では約 60%の歩 留 り、歩 留 り飽 和 時 期 では85%から95%
の歩 留 りを達 成 する ことが 求 められ てい る、② デバ イス寸 法 の縮 小 に比 例 して ‘問 題 となる 欠 陥 寸
法 ’が小 さくなって行 く、と言 った背 景 があり、欠 陥 を低 減 するために、不 断 の努 力 が必 要 とされる。
しかも、欠 陥 発 生 源 を同 定 する技 術 、欠 陥 の致 命 率 を求 める技 術 、欠 陥 が不 良 になる メカニズムを
解 明 する技 術 の開 発 ・実 用 化 に際 しては、経 済 性 を第 一 に考 慮 しなければならない。
このような課 題 に効 果 的 な対 応 をするため、蓄 積 したノウハウに基 づく現 場 努 力 に依 存 した歩 留 向
上 /欠 陥 低 減 の手 法 を、科 学 的 に裏 付 けされた 合 理 的 なやり方 に切 換 え て行 こうとする意 見 が 大
勢 をしめるようになって来 ている。そして、それらの意 見 を速 やかに現 実 のものとするためには、各 社
の協 調 が前 提 となる。その成 果 が幾 つかの共 通 認 識 として具 現 された。例 えば、
① プロセスチャンバ壁 からのフレークの剥 離 ・落 下 そしてウェハ上 への付 着 と言 った現 象 は、どのメ
ーカのどの装 置 も同 じメカニズムに拠 っているものと考 えられる。その解 決 策 を見 出 すため、各 メ
ーカに共 通 した課 題 として取 り挙 げ得 る。
②
必 要 以 上 に純 度 の高 いプロセス材 料 を、「心 配 だから」と言 う感 触 だけで、使 用 している恐 れ
がある。このために不 要 なコスト増 を招 いているとすれば、大 きな無 駄 である。現 在 の純 度 が妥
当 なものか否 かを、TEGを用 いた実 験 にて、早 急 に検 証 しなければならない。
③
現 状 の欠 陥 検 査 手 法 では、高 い欠 陥 検 出 感 度 と大 きな処 理 速 度 を両 立 させることができない。
高 速 ・高 解 像 度 の検 査 手 法 を早 急 に開 発 しなければならない。
④
欠 陥 レビューSEMは、高 速 ・高 解 像 度 であることに加 えて、正 確 な自 動 欠 陥 分 類 機 能 を有 す
ることが必 要 である。
⑤
製 造 装 置 と検 査 装 置 から成 る装 置 群 を構 成 して、欠 陥 を自 動 で検 出 ・排 除 できるようにしなけ
ればならない。
⑥
欠 陥 検 査 の標 準 化 を進 めるためには、実 用 的 な欠 陥 標 準 試 料 が必 須 であり、その開 発 を急
がねばならない。
今 後 の進 め方 としては、
① 歩 留 モデル/装 置 欠 陥 モデル/分 子 汚 染 モデル/故 障 解 析 モデルなど各 種 のモデルを作 る
必 要 がある。モデル作 成 に際 しては、高 度 な数 学 を扱 わねばならず、学 界 からの参 加 が望 まれ
る。モデルの 検 証 に際 しては、公 的 機 関 の手 で 製 造 された TEGが必 須 である。モデルを高 精
P1-30
度 化 するためには、多 くの装 置 メーカ/デバイスメーカが使 い 込 むことに依 って、モデル←→検
証 を繰 返 すことが必 要 である。
② 装 置 起 因 欠 陥 の 低 減 につい ては 、公 的 な支 援 の 下 に、産 官 学 が一 体 と なって 欠 陥 低 減 手
法 を開 発 するとともに、共 通 の財 産 して開 示 することが必 要 である。
③
欠 陥 検 査 装 置 /欠 陥 レビュー技 術 については、緊 急 の課 題 であり、検 査 装 置 メーカの一 層 の
開 発 努 力 に期 待 する。なお、装 置 開 発 に際 しては、デバイスメーカとの緊 密 な連 携 が必 須 であ
る。
④
検 査 標 準 については、欠 陥 データの規 格 の標 準 化 を推 進 することと、公 的 研 究 機 関 との協 同
開 発 に依 る欠 陥 標 準 試 料 の開 発 が必 要 である。
⑤
薬 液 の高 純 度 化 については、デバイス メーカと連 携 して、適 正 レベルにすることを進 めなけれ
ばならない。純 度 標 準 化 に拠 るコスト低 減 効 果 は、極 めて大 きいものと思 われる。
⑥
製 造 装 置 ・検 査 装 置 群 の自 動 制 御 については、各 デバイスメーカが主 体 となって推 進 するの
は当 然 としても、ソフトの共 通 化 /イン ターフェ イスの共 通 化 など、標 準 化 の メリットを生 かす 必
要 がある。
⑦
製 造 装 置 の高 知 能 化 にあたっては、長 期 の展 望 に 立 って取 り組 む 必 要 があり、 産 学 共 同 の
開 発 体 制 が必 要 である。
⑧
SoCにおける欠 陥 低 減 では、‘混 載 時 /少 量 多 品 種 /ミニラインなどでの欠 陥 低 減 策 を如 何 に
方 向 づけるか’を検 討 して行 かねばならない。そのためには、‘日 本 の半 導 体 技 術 を牽 引 すべき、
旗 印 としてのSoC’を明 確 にするとともに、各 要 素 技 術 の課 題 ・目 標 として詳 細 化 することを、急
がなければならない。
年
技 術 ノード
1999
180nm
2000
2001
2002
130nm
2003
2004
2005
100nm
2008
70nm
2011
50nm
2014
35nm
MPU
MPU/ASIC1/2 ヒ ゚ ッ チ
nm
問 題 欠 陥 寸 法 nm
230
210
180
160
145
130
115
81
58
41
115
105
90
80
73
65
58
41
29
21
170
170
170
191
214
224
235
269
308
354
1742
1742
1742
1550
1384
1322
1260
1101
961
836
1117
1117
1117
994
887
848
808
706
616
536
23
23
23
24
24
24
25
27
28
29
49
49
49
42
37
35
32
26
22
18
チップ面 積 mm 2
電気的全欠陥密度
Do(不 良 数 /㎡)
問題欠陥寸法以上
の不 良 数
ランダム欠 陥 起 因 Do
(不 良 数 /㎡)
マスク層 数
ラン ダ ム 欠 陥 起 因 不
良 数 /マスク層 数
図 表 1-3-15 MPU歩 留 モデルと目 標 装 置 許 容 欠 陥 数
(ITRS’99 Table 76に基 づき一 部 STRJで改 訂 )
P1-31
年
技 術 ノード
DRAM
DRAM1/2ピッチ nm
問 題 欠 陥 寸 法 nm
1999
180nm
2000
2001
2002
130nm
2003
2004
2005
100nm
2008
70mm
2011
50nm
2014
35nm
180
165
150
130
120
110
110
71
50
35
90
83
75
65
60
55
50
35
25
18
チップ面 積 mm 2
電気的全欠陥密度
Do(不 良 数 /㎡)
問題欠陥寸法以上
の不 良 数
ランダム欠 陥 起 因 Do
(不 良 数 /㎡)
マスク層 数
ラン ダ ム 欠 陥 起 因 不
良 数 /マスク層 数
132
138
145
152
159
166
174
199
229
262
1249
1193
1140
1089
1040
994
950
828
723
630
2833
2709
2579
2460
2352
2252
2149
1879
1633
1427
20
20
20
21
21
21
22
24
25
26
142
135
129
117
112
107
98
78
65
55
図 表 1-3-16 DRAM 歩 留 モデルと目 標 装 置 許 容 欠 陥 数
(ITRS’99 Table 77 に基 づき一 部 STRJ で改 訂 )
P1-32
1-3-13 SoC 設 計 [設 計 タスクフォース活 動 報 告 要 旨 ]
(1) 設 計 タスクフォースの役 割
LSI のうち、特 に SOC に着 目 して設 計 全 般 に関 する技 術 課 題 や解 決 策 を日 本 の半 導 体 業 界 およ
び関 連 業 界 の視 点 から検 討 し、日 本 の半 導 体 および関 連 産 業 の発 展 に寄 与 できる独 自 のロードマ
ップを示 す。特 定 テーマに関 する個 々の設 計 上 の技 術 課 題 については、担 当 WG での検 討 に委 ね
る。
(2) 設 計 課 題 の抽 出
集 積 度 や性 能 、テクノロジのトレンドは念 頭 において、これによって実 現 される SOC を実 現 する上 で
大 きな設 計 上 の変 革 点 (ターニングポイント)を予 測 する。例 えばコア・ベース設 計 なら組 み込 むコアの
質 的 な変 化 を考 え、これから生 じる複 雑 度 の増 大 といったネガティブな影 響 も同 時 に予 測 する。SOC
ロードマップの具 体 例 を示 しながら、ターニングポイントの時 期 とその解 決 方 策 の方 向 性 を明 かにして
ゆく。
(3) ロードマップ作 成
SOC の応 用 分 野 を高 性 能 高 機 能 分 野 、低 価 格 短 納 期 分 野 、小 型 低 電 力 分 野 の 3 つに分 類 し、
それぞれの分 野 で代 表 的 な応 用 例 に対 する SOC のロードマップを作 成 する方 針 で臨 んだ。
高 性 能 高 機 能 分 野 では、グラフィックス処 理 に優 れたハイエンド組 み込 み SOC を想 定 してロードマ
ップを作 成 した。CPU クロック周 波 は一 般 の組 み込 み CPU の 2 倍 、搭 載 総 素 子 数 も約 2 倍 になると
した。処 理 の高 速 化 の面 から、DRAM 混 載 の必 然 性 についても指 摘 した。
低 価 格 短 納 期 分 野 では、この分 野 のベースとなる汎 用 組 み込 みプロセッサとその周 辺 機 能 を組 み
込 んだシステムについて、1 チップ化 の動 向 を考 察 した。組 み込 みプロセッサが現 在 使 用 している周
辺 機 能 を全 部 チップ内 に取 り込 む時 期 は 2005 年 以 降 ということになっている。2011 年 の時 点 では、
周 辺 機 能 も含 めて必 要 メモリもすべてオンチップ化 され、SOC への新 たな組 み込 みブロックは、シス
テム毎 に異 なる専 用 処 理 エンジンのようなものとなる。SOC 設 計 におけるIPベース設 計 の重 要 性 に
ついても一 般 論 としてまとめた。
小 型 低 電 力 分 野 では、特 定 の応 用 を意 識 した SOC ではなく、 汎 用 組 み込 みプロセッサについて
の消 費 電 力 モデルを考 察 し、電 力 面 からのロードマップを作 成 した。組 み込 みシステム向 け SOC の
動 作 時 の消 費 電 力 モデルによると、2002 年 には消 費 電 力 が 10W 近 くになり、2005 年 には 20W を
超 えるため、特 に携 帯 用 機 器 の消 費 電 力 削 減 ヘの取 り組 みが不 可 欠 になってくることを指 摘 した。
SOC の待 機 時 の消 費 電 力 モデルでは SOC として性 能 向 上 を目 指 すために 2008 年 には高 性 能 向
けのモデル値 に到 達 する。その間 は各 世 代 で約 7倍 になるモデルを仮 定 している。
(4) ターニングポイントの分 析
ハイエンド SOC では、1999 年 時 点 で、スクラッチからの場 合 、3,400 人 月 かかる計 算 となる。2005
年 までに集 積 度 は、ハイエンド SOC の場 合 、1.4 倍 /年 で向 上 すると予 測 しているが、設 計 効 率 向 上
はそれ以 下 (21%/年 向 上 )なので、同 じ開 発 手 法 を続 けると、現 在 よりもさらに開 発 工 数 が増 えること
になる。このことから、ハイエンド SOC でもIPの高 度 利 用 が不 可 欠 となってくる。このほかに、 消 費 電
力 削 減 技 術 、高 速 DRAM混 載 技 術 、クロック手 法 についても言 及 した。
P1-33
汎 用 志 向 の組 み込 みシステム用 SOC については、 DRAM やフラッシュ混 載 などのプロセスの複 合
化 、マルチ電 源 供 給 、電 源 電 圧 の動 的 制 御 、電 源 供 給 系 統 の強 化 などの電 力 削 減 と関 連 した電
源 の複 合 化 、さらには閾 値 制 御 技 術 、非 同 期 設 計 技 術 、クロック供 給 技 術 などの回 路 技 術 の進 化
および消 費 電 力 見 積 り・解 析 技 術 を含 むその他 の技 術 課 題 について論 じた。
項番 暦年
(単 位 )
1999
2000
2002
2005
2008
2011
μm
0.25
0.18
0.15
0.11
0.07
0.05 0.035
1 チップサイズ
mm 2
107
114
123
131
161
197
241
295
2 CPU クロック周 波 数
MHz
200
300
400
500
800
1100
1400
1700
3 利用可能素子密度
MTr/cm 2
14
17
21
24
40
64
100
160
4 搭載総素子数
MTr
12.0
15.5
20.7
25.2
5 CPU 論 理 素 子 数
MTr
3.1
4.1
5.5
7.3
9.7
12.9
17.2
22.8
6 キャッシュメモリ
MTr
3.2
4.8
6.4
8.0
12.8
17.6
22.4
27.2
7 組 み込 みブロック
MTr
5.7
6.6
8.8
9.9
29.0
70.4 153.2 327.6
8 DRAM 混 載 容 量
Mbit
0
0
0
0
128
9 DRAM のロジック換 算
MTr
0.0
0.0
0.0
0.0
16.0
48.0 128.0 288.0
10 組 み込 み論 理 ブロック
MTr
5.7
6.6
8.8
9.9
13.0
22.4
+ DSP + GE
+ EM
0 ゲート長 Lg
2001
2014
[SOC 展 開 ]
11 組 み込 みブロック種 類
NB
NB + SB
51.5 100.9 192.8 377.6
384
1024
25.2
2304
39.6
+ 専 用 エンジ
ン
12 CPU 標 準 クロック周 波 数
MHz
150
240
330
420
700
1000
1300
1600
1 3 ブロッククロック周 波 数
MHz
150
240
330
420
700
1000
1300
1600
1 4 ブロック標 準 クロック周 波 数 MHz
113
180
248
315
600
900
1200
1500
図 表 1-3-17 組 み込 みシステム向 け SOC の展 開 モデル
P1-34
1-4 国 際 対 応 活 動
1-4-1 序
今 後 益 々高 度 化 する半 導 体 技 術 の先 進 的 かつ効 率 的 な開 発 のためには、 今 まで以 上 に半 導
体 業 界 と 大 学 ・国 研 ・サプ ライア・関 係 機 関 等 との協 力 ならびに半 導 体 メーカ間 の協 力 が不 可 欠 で
あり、技 術 に対 する要 求 や課 題 を共 通 に認 識 するためのレファレンスと しての「ロードマップ」の必 要
性 が高 まっている。
従 来 のSIAロードマップは、取 り上 げている半 導 体 製 品 がハイエンドMPUに偏 る等 、わが国 の半 導
体 メーカとしては十 分 なレファレンスとは言 えないものであったが、世 界 中 で事 実 上 の標 準 的 なロード
マップとみなされ使 用 されてきた。
今 回 、わが国 半 導 体 メーカの第 一 線 の技 術 者 が国 際 的 な協 力 体 制 のもとに参 加 ・貢 献 した国 際
半 導 体 技 術 ロードマップ(ITRS’99)が完 成 した。 グローバルに事 業 ・研 究 を展 開 するわが国 の企 業
や研 究 者 にとって、わが国 半 導 体 メーカの意 見 がベースとなり国 際 的 に認 知 されたロードマップの有
用 性 は大 きいものと考 えられ、今 後 の積 極 的 な活 用 が期 待 される。
以 下 に 1999 年 における国 際 対 応 活 動 の主 要 な内 容 を記 す。
1-4-2 概 要
(1) ITRS会 議 への参 加
98年 12月 サンフランシスコ 18名 12/10-11
99年 4月 ミュンヘン 18名 4/12-13
99年 7月 サンタクララ 22名 7/7-9
その他 に個 別 WGの国 際 会 議 (テレコンファレンス含 む)、各 種 レベルでのEmail会 話 を行 った。
(2) ITRS’99の内 容 に対 するインプットと執 筆
ITRS’99の内 容 に対 するWGからのインプットについては、次 項 1-4-3 「ITRS’99 概 要 及 び 日
本 の視 点 」を参 照 願 いたい。 ITRS‘99の実 際 の執 筆 にあたっては、
1)"Technology Node Challenges"を全 面 執 筆 した他
2)各 ITWGでの執 筆 に参 加 した。
(3) 理 解 ・習 得 がすすんだ内 容 、その他 のゲイン
1)意 義 、目 的 、有 用 性
2)作 成 手 法
3)ロードマップのコンテンツそのもの
4)作 成 インフラ(今 回 の作 成 インフラは米 国 に依 存 )
5)国 際 的 な技 術 者 間 のコミュニケーション
(4) ITRS’99報 告 会 (99年 11月 30日 )の開 催
99年 1 1月 30日 、ITRS’99 年 版 の公 開 報 告 会 を初 めて 日 本 で開 催 しその 成 果 を世 に問 うた 。 予
P1-35
定 をはるかに上 回 る 、500名 の 参 加 者 に来 ていただき成 功 裡 に終 了 でき た。 下 記 1 -4-3項 に 、
EIAJからの報 告 を転 載 する。
(5) ITRS東 京 会 議 の開 催 (99年 12月 1日 )
ITRS2000に向 けての方 針 の検 討 を行 った。
主 な検 討 事 項 は以 下 のとおり。
1)赤 、黄 、白 の色 の定 義
2)クロスWG活 動
3)コストについての議 論
4)サプライアの参 加
1-4-3 国 際 版 半 導 体 技 術 ロードマップ1999(ITRS’99)報 告 会 について
平 成 11年 12月
(社 )日 本 電 子 機 械 工 業 会
電 子 デバイス部
当 工 業 会 「 半 導 体 技 術 ロー ドマップ委 員 会 」(STRJ、委 員 長 : 森 野 明 彦 ・NEC半 導 体 事 業 グルー
プ主 席 技 師 長 )では 11月 30日 ( 火 )に 表 記 の国 際 会 議 を主 催 し、国 内 外 より予 定 の300人 を大 き く
上 回 る約 500人 の参 加 を得 て成 功 裡 に終 了 した。 産 官 学 関 係 者 各 位 の絶 大 なご協 力 の賜 物 と深
く感 謝 している。
日 本 では初 めての大 会 であり関 係 各 方 面 から多 大 の関 心 を寄 せていただき、特 に半 導 体 製 造 装
置 業 界 からの参 加 者 が30%と半 導 体 産 業 自 身 からの46%に次 いで多 かった。 今 回 の報 告 会 につ
いて会 場 での参 加 者 へのアンケートにより、総 合 評 価 点 で5段 階 評 価 で4、5が過 半 (53%)を占 め る
高 い評 価 を得 た他 、幾 多 の貴 重 な助 言 をいただくことができた。
出 席 者 内 訳 11/30
アンケート回 収 件 数
268人
官 庁 関 係 者 (NEDO含 む)
15
5(Ou tstand in g)
17
学界関係者
18
4(Excellen t)
127
117
関連業界
145
3(Good)
半導体業界
226
2(Fair)
7
1(Poor)
0
(内 、STRJメンバー98 人 )
報道関係
17
招待
11
海外
58
合 計
490人
なお、翌 日 に は ITRS国 際 委 員 会 東 京 会 議 を 開 催 し、ITRS委 員 のみのク ローストミー ティングで 主
に2000年 以 降 の委 員 会 の活 動 方 針 を討 議 した。
1) 開 催 日 時 1999年 11月 30日 (火 )
2) 会 場 ロイヤルパークホテル
P1-36
3) 英 語 名 称 ITRS '99 Japan Conference
注 : ITRS= International Technology Roadmap for Semiconductors
4) 主 催 EIAJ半 導 体 技 術 ロードマップ委 員 会 (STRJ)
5) アジェンダ
5.1 森 野 STRJ委 員 長 から、5極 代 表 (日 EIAJ、米 SIA、欧 EECA、台 TSIA、韓 KSIA)を紹 介
5.2 来 賓 祝 辞 : 白 井 電 子 デバイス幹 部 会 委 員 長 、
G.Scalise米 SIA専 務 理 事 (代 理 :J.Matisoo)、
窪 田 通 産 省 電 子 機 器 課 課 長
5.3 P.Gargini ITRS委 員 長 から、ITRS'99の概 略 を説 明 : 100nm付 近 に大 きな障 壁 がある。
5.4 主 プログラム:
12の技 術 分 野 別 の国 際 WG(ITWG)代 表 (今 回 は日 本 から7名 、米 国 から6名 )がITRS'99 に開
示 された、将 来 予 測 せねばならない半 導 体 関 連 の技 術 課 題 のハイライトを説 明 し質 疑 。
5.5 昼 食 時 に5極 合 同 記 者 会 見 を開 催
5.6 主 プログラム: 午 後 も継 続
5.7 夕 刻 : レセプションにポスタセッションを併 設 開 催 、活 発 な討 論 を展 開
6) 経 緯
国 際 版 半 導 体 技 術 ロードマップ ITRS は、世 界 の半 導 体 産 業 の発 展 を目 指 し、将 来 技 術 ニーズと
それに基 く技 術 課 題 と その解 決 策 候 補 を予 測 す るもので、半 導 体 業 界 のみならず装 置 ・材 料 等 の
関 連 業 界 、大 学 や研 究 機 関 、関 係 諸 機 関 にとり有 益 な情 報 の提 供 を意 図 する。
背 景 としては、限 界 技 術 の見 極 めとその解 決 策 の実 用 化 までには一 社 では到 底 賄 えない超 大 なリ
ソースを要 し、グローバルな提 携 と大 学 レベルでの早 期 研 究 着 手 が不 可 欠 になった事 態 がある。
技 術 ロードマップは元 来 、米 国 半 導 体 工 業 会 (SIA)が創 始 し、1992 年 に初 版 を発 行 したが、産 業
の世 界 的 拡 大 と共 に欧 州 (EECA)・日 本 (EIAJ)・韓 国 (KSIA)・台 湾 (TSIA)の各 工 業 会 が加 わり、合
計 5極 で共 同 し初 の国 際 版 である ITRS '99 の作 成 に至 った。 日 本 は 1998 年 後 半 から組 織 的 に参
加 し、積 極 的 に且 つ選 択 的 に多 大 の貢 献 を果 たしている。今 回 の東 京 大 会 開 催 はその寄 与 が世 界
的 に認 められた証 拠 であり、これが成 功 裡 に終 わったことの意 義 は大 きい。
日 本 のロードマップ委 員 会 (STRJ)は昨 年 1998 年 11 月 に設 立 、当 時 のロードマップを見 直 しつつ、
日 本 の状 況 に即 したアプローチ(コンスーマ市 場 、システム・オン・チップ(SOC)、経 済 性 をバランス良
く重 視 、トレンド数 値 予 測 をより実 態 に即 し改 良 、リソグラフィ技 術 の過 大 な加 速 の抑 制 、等 )を提 起
し、ITRS の抜 本 的 改 善 に取 組 んできた。
その集 大 成 が今 回 の ITRS'99 といえる。 現 在 は原 文 の英 文 版 のみであるが、EIAJ サービスセンタ
で頒 布 している(消 費 税 込 、本 :10,000 円 、CD-ROM:5,000 円 、本 と CD-ROM:12,000 円 )。日 本
語 訳 版 は、来 年 3 月 発 行 予 定 である。
なお、ITRS'99 の提 起 する諸 課 題 を日 本 の立 場 から再 評 価 し、優 先 順 位 をつけて提 言 に結 びつけ
てやはり来 年 3 月 に報 告 する予 定 である。
以 上
P1-37
1-4-5 ITRS’99 の概 要 と日 本 の視 点
以 下 本 項 の 各 ペ ージの 上 段 部 分 は ITRS’99 「Technology Node Challenges 」か ら 引 用 し てあ
る。
(0) 全 般
ITRS’99 では、Digital Communication 等 の Consumer Electronics に用 いられることが予 想 される
SOC デバイスを検 討 対 象 に加 えた。
技 術 の程 度 を示 す指 標 として、DRAM half pitch の 180,130,100,70,50,35nm を Technology Node
として定 義 した。それぞれの node は前 の node に対 し約 70%の縮 小 reduction であり、主 要 な技 術 進
歩 の存 在 を想 定 している。
それぞれの Technology node で量 産 が開 始 される(月 1 万 個 を出 荷 )最 初 の年 として以 下 の年 を設
定 した。
Technology node(nm)
180
130
100
70
50
35
Year
1999
2002
2005
2008
2011
2014
Technology Node を各 Working Group(WG)で共 通 に用 いることにより、ロードマップ roadmap の理
解 がより容 易 になることを期 待 している。
この項 では、WG 毎 の検 討 結 果 を、可 能 な範 囲 で Technology node 毎 に整 理 したうえで、簡 易 で平
易 なサマリとして読 者 に提 供 しようとするものである。
__________________________
日 本 の視 点
ITRS '99 への日 本 からの input の反 映 状 況
1) SoC 追 加
2) Technology Node 定 義
3) Technology Timing
以 下 に、各 WG 報 告 の概 要 と日 本 の視 点
1) 日 本 からの input の反 映 状 況 、 2) ITRS'99 の内 容 ・数 値 の妥 当 性
についてのコメントを列 記 する。
P1-38
(1) WG1 Design
SOC の 設 計 は 、従 来 の メモ リ 、 MPU 、ASIC 単 独 の 設 計 か ら、 アナ ログ 、ミ ッ ク スドシグ ナ ル、 Radio
Frequency(RF)、Micro Electronic Mechanical System(MEMS)などの混 成 ブロックから構 成 される
LSI 設 計 への革 新 を意 味 する。すなわち
1) 微 細 化 に伴 う素 子 数 増 大 、寄 生 効 果 増 大 による Signal Integrity 設 計 の複 雑 化
(Silicon Complexity)
2) ソフトウエアを設 計 対 象 に含 めることによる複 雑 化
(System Complexity)
3) 混 載 ブロックによる設 計 フローの複 雑 化 、
(Design Procedure Complexity)
4) 設 計 検 証 の複 雑 化
(Verification & Analysis Complexity)、
5) テスト設 計 の複 雑 化
(Test/Testability Complexity)
などの設 計 課 題 が顕 在 化 する。
マーケット要 求 から、SOC を Cost-Driven SOC と Performance-Driven SOC に大 別 し課 題 と解 決 技
術 候 補 を分 析 した。 マーケット要 求 に応 えるためには、純 粋 に設 計 技 術 の視 点 のみならず、TimeTo-Market、コストなど経 済 性 に立 脚 した LSI 設 計 の重 要 性 が増 大 する。 このために Core Base 設
計 すなわち Design Reuse を中 心 とした LSI 設 計 生 産 性 Design Productivity の革 新 が不 可 欠 な要
素 となる。
__________________________
日 本 の視 点
1) ITRS'99への日 本 からのinputの反 映 状 況
○ ロードマップの主 眼 を SOCに置 く。
○ 技 術 的 チャンピオンのみでなくビジネス観 点 からの SOC設 計 をテーマとする。
× WG1が作 成 し ITRS TWG会 議 で提 示 した requirement table
2) ITRS'99の内 容 ・数 値 の妥 当 性
詳 細 レベルでの意 見 交 換 は行 えていないが、 基 本 的 には妥 当 と判 断 している。
P1-39
(2) WG2 Test
基 本 的 なニーズはテストの信 頼 性 向 上 (低 市 場 不 良 率 )と低 テストコストである。
100nm 以 上 の Node でも既 に配 線 微 細 化 に伴 うクロストーク Cross Talk のような新 たな故 障 モードを
テストする対 応 が必 要 となっており、これについての研 究 の促 進 が望 まれる。混 載 デバイスにおけるア
ナログ/デジタルミックス回 路 のテスト、ならびに高 速 デバイスを安 価 な低 速 テスタを用 いてテストする
技 術 も 100nm 以 上 での主 要 な Challenge であり、いずれもチップ内 部 でテストパターンの発 生 と結 果
の保 持 が行 える Built-In-Self-Test(BIST)が Potential Solution である。
100nm 未 満 では SOC のテストが課 題 であり、高 位 レベルでのテスト容 易 化 設 計 Design-For-Test
(DFT)が必 要 となる。 100nm 未 満 ではテスト工 程 におけるメモリ、ロジックデバイスの Built-In-SelfRepair による不 良 チップの救 済 も検 討 される。
___________________________
日 本 の視 点
1) ITRS'99への日 本 からのinputの反 映 状 況
以 下 の項 目 に対 して日 本 からのinputが反 映 されている。
・
Table12a,b : SoC Test Technology Requirements
・
Table 15 : Test and Test Equipment Difficult Challenges
Test development の一 部 (Test standards, Reuse of core)
・Table 22a,b : Commodity DRAM Test Requirements
・Table 24a,b : Embedded DRAM Test Requirements
・Table 25a,b : Embedded Flash Memory Test Requirements
・Table 26a,b : DFT-BIST Device Test Requirements
スキャンチェーン数 の増 大 等
2) ITRS'99の内 容 ・数 値 の妥 当 性
基 本 的 には妥 当 と判 断 している。
P1-40
(3) WG3 Front End Processes
比 例 縮 小 (Scaling)による微 細 化 を継 続 するためには、従 来 の材 料 ・技 術 が物 理 的 限 界 に到 達 す
るため、材 料 ・プロセス面 からこれを打 破 するような技 術 が必 要 である。
MOSFET のゲート SiO2 膜 の直 接 トンネル電 流 によるトランジスタ正 常 動 作 不 能 に対 しては高 誘 電
率 材 料 の採 用 、ポリシリコン電 極 の空 乏 化 による動 作 速 度 の遅 延 およびポリシリコン電 極 から基 板 Si
へのホウ素 突 き抜 けに対 しては金 属 材 料 ゲート電 極 の 採 用 、トランジスタ性 能 の 向 上 に対 しては極
浅 ・低 シート抵 抗 pn接 合 の形 成 方 法 等 が課 題 である。
また寸 法 が微 細 化 すると共 に、Metal Gate、Dielectric に新 材 料 を使 用 することにより、Etching プ
ロセスへの要 求 が非 常 に困 難 なものになる。 CD 均 一 性 、選 択 比 Selectivity、Etch profile に加 え
Line edge roughness の制 御 がトランジスタの性 能 維 持 のために重 要 なものとなる。
100nm までは MOSFET の Gate Stack は Equivalent oxide thickness 1nm として nitride、Al2O3 ま
たは Ta2O5 の絶 縁 膜 を使 う Gate Stack が、また Ultra Shallow Junction には Raised Source/Drain、
Plasma Doping、 Laser Annealing が、また DRAM Storage Cell Scaling には Dielectric としては BST、
電 極 としては Ru、RuO2 の採 用 が候 補 である。
100nm 未 満 の MOSFET では絶 縁 膜 には BST または STO、電 極 には double work function metal
の採 用 が、DRAM の新 しい Cell Architecture としては Open-Bit-Line-Cell、Cross-Point-Cell、
Multi-State-Circuits が候 補 である。 更 なる微 細 の Transistor 構 造 としては Vertical MOS、または
低 寄 生 抵 抗 が期 待 できる Double-Gate SOI が候 補 である。
___________________________
日 本 の視 点
1) ITRS'99への日 本 からのinputの反 映 状 況
日 本 側 で作 成 したDRAMキャパシタのロードマップ、及 びそのテキスト部 分 については、ほぼ100%受
け入 れられた。
ゲ ー ト 絶 縁 膜 の 厚 さ ( リ ー ク と 消 費 電 力 の 議 論 ) に つ い て は 、 日 米 の 隔 た り 大 き く 、 時 間 切 れ で
99ITRSで は 、米 国 案 が 掲 載 され た 。2000 年 改 定 に 向 けて12 月 に 合 同 会 議 を開 催 し、 日 本 側 か ら
high-performance logic, low operating power logic, low standby power logic, embedded DRAM
に分 類 して記 述 することを提 案 。この件 については、4月 、7月 のITRS会 議 の場 で引 き続 き 議 論 する
ことで合 意 した。
SOIの分 類 については、FDとPDを分 けずに、数 値 で範 囲 を明 示 することで妥 協 。
埋 め込 み酸 化 膜 厚 さについては、日 本 側 の主 張 が受 け入 れられた。
BEOLのparticle sizeについては、日 本 側 の主 張 (M1ピッチの半 分 )を理 解 はしてくれたが、ロード
マップには反 映 されていない。完 全 には納 得 していない様 子 。2000年 改 定 で再 度 主 張 する。
2) ITRS'99の内 容 ・数 値 の妥 当 性
Surface Preparationで、Organics/polymersの基 準 値 が、日 本 側 提 案 に対 して、米 国 側 は1桁 以
上 多 い。米 国 側 は測 定 が難 しいことを理 由 に挙 げている。
上 述 のBEOLのparticle sizeについても日 本 側 は納 得 がいかない。2000年 改 版 で再 度 主 張 。
一 方 、critical metalsについては、米 国 側 と日 本 側 では削 減 ペースが異 なる。
P1-41
(4) WG4 Interconnect
配 線 への基 本 的 なニーズはデバイスの高 速 化 と微 細 化 への対 応 である。 MOS トランジスタの高 速
化 がすすむに従 い、配 線 間 の容 量 Capacity による遅 延 Delay がデバイスの動 作 速 度 を支 配 する要
因 となる。
配 線 材 料 としては、従 来 からの Al に比 べ、比 抵 抗 Resistivity が小 さいこと、相 対 的 に配 線 膜 厚 が
薄 くできるために配 線 間 の容 量 を小 さくできることから Cu が使 用 される。 配 線 の層 間 絶 縁 膜 InterMetal Dielectric に は 配 線 回 路 に お け る 遅 延 を 最 小 に す る た め に 従 来 の SiO2 か ら Dielectric
Constant(k)の低 い膜 への変 更 がすすむ。
100nm では k=1.6~2.2 程 度 の材 料 の開 発 が必 要 であり、ポーラスなポリマー、SiOH、SiOHCH3
(Methyl Siloxane)等 が候 補 材 料 である。 Cu 配 線 と絶 縁 膜 間 の反 応 Interaction を防 止 するために
10nm の厚 さのバリアメタル Barrier Metal 開 発 が必 要 である。 さらにコンタクトホール contact hole
は微 細 化 のために高 いアスペクト比 high aspect ratio を有 するものとなりこれにメタルを埋 め 込 む
filling 技 術 が必 要 であるが、メタル CVD、イオン化 スパッタ Ionized sputtering、高 圧 埋 め込 み high
pressure filling 等 が有 望 である。
70nm ではバリアメタルの使 用 は困 難 であり Cu の拡 散 diffusion を防 止 できるような k=1.5 の材 料 開
発 が必 要 である。 50nm 以 下 では k=1.5 以 下 が必 要 で、中 空 配 線 air gap interconnect が候 補 で
ある。 また LSI 配 線 の一 部 は Cu 配 線 に代 わり高 周 波 radio frequency または光 通 信 技 術 が使 われ
ると考 えられる。
_________________________
日 本 の視 点
1) ITRS'99への日 本 からのinputの反 映 状 況
・ ITRS'99 に対 する日 本 側 の意 見 は比 較 的 よく受 容 された:
信 頼 性 :指 標 として Jmax 使 用 を日 本 から提 言 し採 用 された。
Al、W の継 続 した改 善 開 発 を 2007 年 まで延 長 。
MPU/SOC/DRAM分 野 別 に配 線 目 標 値 設 定 。
2) ITRS'99の内 容 ・数 値 の妥 当 性
・配 線 のディッシング、エロージョンの目 標 値 の妥 当 性 不 明 確 であるため再 計 算 を要 求 している
・Cu のバリアメタル膜 厚 は日 本 側 で再 計 算 し、数 値 見 直 しをかけた
P1-42
(5) WG5 Lithography
リーゾナブルなコストを維 持 しつつ、上 記 の Technology Timing に合 う微 細 加 工 を達 成 していくこと
がニーズである。 これまでは露 光 光 源 の短 波 長 化 、光 学 系 の高 NA 化 、ハーフトーン型 位 相 シフト
や輪 帯 照 明 のような弱 い超 解 像 技 術 (RET)の実 用 化 、およびレジストの高 性 能 化 によって3年 で 約
0.7 倍 の微 細 化 を達 成 してきた。
今 後 は、露 光 光 源 にさらに波 長 の短 い F2 レーザー(157nm)等 の真 空 紫 外 光 を用 いる VUV 露 光
技 術 とレベンソン型 位 相 シフトマスク等 の強 い超 解 像 技 術 を実 用 化 することによりさらに光 リソグラフィ
技 術 を延 長 していくか、もしくは EUV(Extreme UV)、EPL(Electron Beam Projection Lithography)、
PXL(Proximity X-ray Lithography)等 の新 しい原 理 のリソグラフィ技 術 (NGL)を実 用 化 する必 要 が
ある。
VUV 光 は酸 素 や有 機 材 料 での吸 収 が大 きいため、酸 素 フリーの露 光 装 置 や新 規 レジスト材 料 /
プロセスが必 要 となる。
NGL は従 来 使 用 されてきた光 リソグラフィ技 術 とは原 理 が異 なり、光 源 ・光
学 系 ・マスク・レジスト等 ほぼすべてのコンポーネントに対 し技 術 革 新 が必 要 となる。
Critical Dimension の制 御 、Overlay、Defect Density が各 Node 共 通 の Difficult Challenges で
ある。これは単 に相 対 的 な微 細 化 が継 続 されるためだけによるのではなく、100nm 以 下 の Node では、
レジスト分 子 の大 きさや感 光 や現 像 に必 要 な物 理 距 離 が加 工 寸 法 に近 い、寸 法 ・位 置 ならびに欠
陥 等 の現 行 の計 測 技 術 は(Metrology と Defect Reduction の項 で述 べられるように)困 難 な領 域 に
入 る、装 置 の構 造 材 の熱 や 振 動 による変 位 が無 視 できなくなる、等 の絶 対 的 な制 約 によ り困 難 さが
一 層 増 大 することに起 因 する。 それぞれの Node での Potential Solution は下 記 のとおりである。
180nm: KrF
130nm: KrF+RET, ArF
100nm: ArF+RET, F2, EPL, PXL, IPL
70nm: F2+RET, EPL, EUV, IPL, EBDW
50nm: EUV, EPL, IPL, EBDW
35nm: EUV, IPL, EPL, EBDW, Innovative Technology
_______________________
WG5 日 本 の視 点
1) ITRS'99-2ndドラフトへの日 本 からのinputの反 映 状 況
・ 基 本 的 に3年 サイクル,2002年 130nmが合 意 され満 足 。
・ リゾリューションに関 して,日 本 案 が合 意 され満 足 。
2) ITRS'99-2ndドラフトの内 容 ・数 値 の妥 当 性
・ 基 本 的 に米 国 案 の詳 細 数 値 に全 て合 意 したが,日 本 案 数 値 とは異 なる所 も多 い。
但 し,詳 細 数 値 は米 国 案 に修 正 を求 めることはしなかった(ITRS99)。
主 な疑 問 点 は,米 国 側 に2000年 版 改 定 時 に考 慮 するように申 し入 れした。
・ マスクサイズ,マスク倍 率 ,SoCチップサイズ等 々もっと議 論 は必 要 かもしれない。
なお、米 国 のリーダーとは過 去 より親 しく連 絡 は密 に行 っている。
P1-43
(6) WG6 Process Integration、 Devices & Structures
DRAM のチップサイズは、過 去 にはビット容 量 が 4 倍 増 加 する度 に 1.4 倍 増 加 する傾 向 があった。
この傾 向 が今 後 とも継 続 するとチップサイズが過 大 となり Lithography の露 光 エリアや Package の大
きさに支 障 が発 生 するので、今 回 はビット容 量 が 4 倍 大 きくなる度 にチップサイズが 1.2 倍 大 きくなる
モデルを提 案 した。 このモデルは、メモリ容 量 が 2 年 で2倍 ずつ増 大 する傾 向 と一 致 している。 この
モデルによるチップサイズの従 来 傾 向 からの抑 制 は、デザインルールに対 しセル面 積 の小 さい
Open-Bit-Line-Cell、Cross-Point-Cell などの新 たなセル構 造 実 現 の必 要 性 を高 める。
MOSFET の微 細 化 については、Front End Processes で述 べられているゲート絶 縁 膜 と浅 い接 合
の問 題 を解 決 しながら、特 性 バラツキの少 ない高 性 能 MOSFET のインテグレーションが大 きな課 題
である。 100nm node のチャネル形 成 技 術 としてハロードーピングや高 易 動 度 SiGe エピ層 の導 入 が
Potential Solution として考 えられる。 50nm 以 降 の node では不 純 物 の量 や位 置 の統 計 的 なゆらぎ
が顕 著 となる領 域 に入 り、量 子 ドット、単 一 電 子 トランジスタなどの新 スイッチングデバイスが可 能 解 と
なり得 る。 メモリでは不 揮 発 性 RAM である FeRAM や MRAM などの新 しいデバイスが解 として考 えら
れる。アナログミクストシグナルに関 しては、低 電 圧 (2.0-1.5 volt)化 に伴 うアナログ回 路 のノイズ対 策
が重 要 で、高 Q値 をもつ受 動 素 子 の開 発 がキーとなる。 また、微 細 化 におけるキャパシタの容 量 の
確 保 や寄 生 容 量 の最 小 化 が技 術 課 題 となり、前 者 では高 誘 電 体 膜 の導 入 、後 者 では Cu 多 層 配
線 、SOI 基 板 、3次 元 構 造 化 などの採 用 が可 能 な解 となる。
メモリ、ロジック、アナログ素 子 などが混 載 される SoC においては、デジタル・アナログ間 ノイズなど
異 種 回 路 ブロック間 の干 渉 を抑 制 すると共 に、工 程 数 やチップサイズの増 大 の抑 制 などコストパフォ
ーマンスに優 れるプロセスインテグレーションが重 要 な課 題 である。
________________________
日 本 の視 点
1) 日 本 側 からの input 反 映 状 況
◆ IRC: DRAM 及 び MPU で日 本 から提 案 したチップサイズモデル(増 加 率 x1.2/4 年 )が認 知 。
・DRAM ではセルファクター、セル面 積 効 率 、2倍 集 積 度 など統 一 したコンセンサスが採 られ、1999
年 400mm2 でスタート(FEP、リソWGとの整 合 ): 表 1の3~9
・MPU でも x1.2/4 年 の増 加 率 が 2001 年 から採 用 され、1999-2001 年 はフラットサイズ。
先 方 は「High-performance」と「Cost-performance」に分 けそれぞれ 450mm2、340mm2 でスタート
・MPU チップサイズモデルの根 拠 :(2001 年 以 降 )Tr の増 加 =2.8/3 年 、 設 計 ・プロセス改 善 係 数
=0.84/3 年 、チップサイズの増 加 =2.8x0.7x0.7x0.84=1.15/3 年 =1.2/4 年
◆ PIDS/ITWG:DRAM の Soft Error Rate の変 更 、2005 年 以 降 の DRAM Retention Time の変
更 、Gate Delay Metric(CV/I) の挿 入 などを主 張 した日 本 案 が採 用 された(4 t h ITRS Meeting)
◆ SoC デバイスはテーマとして WG 共 通 の部 分 が多 く、別 章 立 てとして記 述 することで本 WG の当
初 からの主 張 した SoC の重 要 性 が認 知 された。
◆ 反 映 され ない も の : ロ ジッ ク デ バ イ ス の ゲ ー ト 絶 縁 膜 の 物 理 膜 厚 か ら電 気 的 膜 厚 表 示 へ の 変
更 、ゲートリーク電 流 の追 加 は見 送 られた。
2) ITRS’99 の内 容 ・数 値 の妥 当 性
・DRAM セルサイズのスケーリングは従 来 構 造 (8F2)方 式 の大 きな転 換 を示 唆
・ゲート絶 縁 膜 (物 理 膜 厚 )の電 界 は 8MV/cm で、信 頼 性 は今 後 の課 題
・ゲートリーク電 流 をTrの off-current 以 下 とする暗 黙 の定 義 は今 後 の課 題
P1-44
(7) WG7 Assembly & Packaging
基 本 的 なニーズは実 装 全 体 の小 型 化 と放 熱 対 策 である。
800 ピンを超 えるようなロジックデバイスでは、チップの外 周 部 にだけ端 子 を設 けた従 来 方 式 は、チ
ップ面 積 が端 子 を設 けるためだけに大 型 化 してしまうため、チップ 全 面 に格 子 配 列 の端 子 を設 けた
Area array 方 式 の採 用 が必 須 となる。 パッケージの小 型 化 ならびに低 コスト・高 密 度 実 装 化 を実 現
するため、Ball Grid Array(BGA)への Flip Chip 接 合 が期 待 される。
サブストレートは従 来 のセラミックスから低 コストの有 機 材 料 への変 更 が求 められ、要 求 材 料 特 性 に
は、吸 湿 性 が低 い、熱 膨 張 係 数 がチップのそれに近 い、(環 境 保 全 のために Pb フリーのはんだを採
用 するのにともない)ガラス転 移 点 (固 体 から液 体 化 )温 度 が高 い、などがある。 サブストレート上 の
配 線 は、接 続 端 子 およびファンアウト配 線 のいっそうのファインピッチ化 が必 要 である。
アンダーフィル材 料 には接 合 の機 械 的 強 度 を確 保 するための濡 れ性 、接 着 性 と信 頼 性 保 証 のた
めの耐 湿 性 の改 善 が必 要 である。 またチップの発 熱 量 に応 じ、放 熱 にすぐれたパッケージ・実 装 を
シミュレーション・設 計 する技 術 や、高 密 度 サブストレートおよびパッケージの品 質 ・信 頼 性 を、プロー
ブを使 わない等 、信 頼 性 高 く試 験 する方 法 の開 発 が求 められる。
さらなる小 型 化 には、CSP(Chip Size Package)の Fine Pitch Ball Grid Array(FBGA)への Flip
chip 接 合 技 術 の確 立 が求 められ、ファンアウト配 線 のいっそうの微 細 化 が必 要 となる。
______________________
日 本 の視 点
1) ITRS'99 への日 本 からの input の反 映 状 況
・高 密 度 実 装 技 術 開 発 の手 順 (候 補 )を提 案 (Figure 47)
・その他 のORTCの数 値 等 、「1999 年 日 本 実 装 技 術 ロードマップ」に基 づく実 装 関 係 のユー
ザニーズを反 映
P1-45
(8) WG8 Factory Integration
基 本 ニーズは工 場 生 産 性 、すなわちコスト低 減 、変 化 への柔 軟 な対 応 、信 頼 性 Reliability と有 用
性 Availability の改 善 、工 期 の短 縮 等 の追 求 である。 今 回 は、半 導 体 製 造 工 程 の内 のウエーハ処
理 Wafer Processing を対 象 とし、High-volume/high-mix と High-volume/low-mix のラインについ
て検 討 した。
検 討 の前 提 として、多 くの新 製 品 や新 技 術 の導 入 、プロセスの多 様 化 、大 口 径 ウエーハの導 入 、
自 動 化 や 工 場 システムへの依 存 性 増 加 、など「複 雑 さへの対 応 」がある。 これらの前 提 のうえに、コ
スト低 減 と工 期 短 縮 との「最 適 化 」と、多 世 代 の製 品 や規 模 の拡 大 に対 する「汎 用 性 Flexibility/
拡 張 性 Extendability」を課 題 として検 討 した。
「ファクトリーオペレーション」を全 体 を統 括 する概 念 と位 置 づけし、製 品 工 期 、ライン稼 動 率 などの
要 求 をまとめた。 High volume/High mix ラインにおける Technology Node 毎 の Mask layer 当 たり
工 期 の要 求 を以 下 のように設 定 した。
Technology Node(nm)
180
130
100
70
Non Hot Lot 工 期 (日 )
1.8
1.6
1.4
1.3
Hot Lot 工 期 (日 )
0.9
0.85
0.8
0.75
50
1.2
0.7
35
1.1
0.65
次 に「工 場 システム」、「製 造 装 置 」、「搬 送 」、「ファシリティ」を個 々の技 術 分 野 とした。「搬 送 」へは
ダイレクト搬 送 /枚 葉 搬 送 とリアルタイムディスパッチへ要 求 と検 討 を行 い、100nm Node におけるダ
イレクト搬 送 の実 現 を、「 製 造 装 置 」には非 生 産 (ダミー、コンディショニング、テスト)ウエーハの削 減
を求 めた。
__________________________
日 本 の視 点
1) ITRS'99 への日 本 からの input の反 映 状 況
99年 度 版 については、全 体 構 成 から ITRS/STRJ 共 同 で見 直 していた。特 に、自 動 化 関 連 につ
いては日 本 側 からの提 案 を基 に作 成 されてお り、装 置 /システムに関 しても互 いに提 案 し、整 合 協
議 によりまとめた。
協 議 されていない項 目 として、ファシリティに関 しては地 域 差 、日 本 側 の対 応 メンバ不 足 もあり、今
回 の整 合 協 議 からは外 し、ITRS'99 では注 記 としてその旨 を明 記 することとした。 また、ファクトリオ
ペレーションの中 での解 決 策 としての各 評 価 ツールについては、検 討 不 十 分 であるが、必 要 な項 目
との認 識 で ITRS'99 の中 に取 り入 れた。
2) ITRS'99 の内 容 ・数 値 の妥 当 性
図 表 を主 体 として整 合 しており、記 載 されている数 値 については、ITRS 参 加 メンバー間 の協 議 で
各 メンバーの平 均 的 な要 求 値 を取 ってお り決 めたが、裏 付 けが十 分 と は言 えず 、今 後 も 引 き続 き定
期 的 な見 直 しが必 要 と考 えている。 日 本 版 RM のまとめに関 して、図 表 内 容 は ITRS’99 のものを使
用 し、本 文 並 びに補 足 説 明 に日 本 独 自 の内 容 を盛 り込 んだ。
P1-46
(9) WG9 ES&H
Chemicals Materials and Equipment Management は、技 術 者 に新 化 学 物 質 や新 材 料 の使 用 以
前 にそれらの ESH に関 する情 報 を提 供 し、新 技 術 や新 製 品 の完 成 後 に ESH 問 題 が発 生 することを
防 ぐ。
Climate Change Mitigation は、半 導 体 工 場 や設 備 等 での使 用 エネルギーを削 減 し、更 に地 球 温
暖 化 効 果 の大 きい物 質 の排 出 を削 減 する。
Worker Protection は、工 場 、設 備 、保 護 具 、教 育 ・訓 練 等 を発 展 させて安 全 と健 康 を確 保 する。
Resource Conservation は水 ・エネルギー・化 学 物 質 ・材 料 等 の使 用 料 を削 減 し、有 害 物 質 の代
替 え物 質 を開 発 し、産 業 廃 棄 物 の再 資 源 化 を促 進 する。
ESH Design and Management Method は、ESH に関 し最 も負 荷 の少 ない材 料 とプロセスを決 める
方 法 論 を確 立 する。
特 に 70nm 以 降 においては、プロセスに新 化 学 物 質 を使 用 する可 能 性 が高 まるので、これらを総 合
的 に評 価 し、環 境 負 荷 情 報 を迅 速 に提 供 する 方 法 論 の確 立 が必 要 である。 また、気 候 変 動 や資
源 保 護 に対 する社 会 的 な要 請 がより強 化 されるため、環 境 負 荷 の少 ない代 替 物 質 やリサイクル技 術
の確 立 が必 要 である。
__________________________
WG9 日 本 の視 点
1) ITRS'99 への日 本 からの input の反 映 状 況
ESH は横 断 技 術 であり、他 の要 素 技 術 (FEP,配 線 その他 )において関 連 する内 容 が多 くある。
日 本 からは、ロードマップの構 成 上 、読 者 に対 しその関 係 が明 確 に理 解 されるよう、ESH の章 の
後 半 に各 要 素 技 術 に関 連 する内 容 を記 載 するよう提 案 し、採 用 された。
また、Scope, Difficult Challenges, ESH Technology Requirement and Potential Solutions 部
分 のテキストは、ほぼ日 本 側 が記 述 した内 容 である。
2) ITRS'99 の内 容 ・数 値 の妥 当 性
記 載 内 容 、数 値 については ITWG において協 議 の結 果 決 まったもので、見 解 の相 違 もかなり
あったが、最 終 的 には合 意 に到 ったものである。但 し目 標 数 値 の裏 付 けについては、今 後 引 き
続 き検 討 する必 要 がある。
P1-47
(10) WG10 Modeling & Simulation
Modeling & Simulation のニーズは開 発 効 率 の向 上 、生 産 効 率 の向 上 である。 プロセス、デバイス
の電 気 的 動 作 、熱 的 ダメージ、信 頼 性 等 を理 論 モデルに基 づき計 算 し、プロセス/デバイス/回 路
を効 率 よく最 適 化 する。 それによって、130nm Node において 25%、100nm Node において 35%のコス
ト削 減 を可 能 とする。
微 細 化 に伴 い、100nm までに、従 来 モデルの高 性 能 化 に加 えてリソグラフィ、エッチング、CVD 等
のプロセスに対 する新 たなモデル開 発 が必 要 となる。 プラズマ、ウエーハ表 面 での反 応 、レジストの
露 光 ・現 像 反 応 等 の複 雑 な反 応 の解 明 が必 要 である。 計 算 精 度 や時 間 を向 上 するために、グリッ
ド(メッシュ)発 生 や数 値 計 算 アルゴリズムの開 発 も必 要 である。
100nm 未 満 では新 しく導 入 されるゲート材 料 モデルや絶 縁 物 の誘 電 率 、極 めて薄 いゲート絶 縁 膜
のトンネル現 象 や信 頼 性 等 の予 測 技 術 が要 求 される。 ナノメータデバイスでは量 子 効 果 や不 純 物
原 子 の分 布 が離 散 的 になる効 果 が顕 著 になるため、原 子 レベルでの正 確 なモデル化 が必 要 となる。
解 決 策 としては、100nm 以 上 では物 質 を連 続 体 と 仮 定 したモデルが主 であったのに対 し、原 子 レ
ベルでの正 確 な物 質 の振 る 舞 いや材 料 特 性 の予 測 のために、原 子 や 電 子 を粒 子 として扱 うモンテ
カルロ法 等 の離 散 モデルや、量 子 力 学 を土 台 として原 子 の振 る舞 いを直 接 計 算 する第 一 原 理 計 算
により経 験 的 なパラメータを極 力 排 した計 算 を行 うことが挙 げられる。
__________________________
日 本 の視 点
1) ITRS'99-2nd ドラフトへの日 本 からの input の反 映 状 況
2) ITRS'99-2nd ドラフトの内 容 ・数 値 の妥 当 性
米 側 が項 目 をリストアップし、日 本 側 はその項 目 を加 減 修 正 (例 :「TCAD」によるコスト削 減 )した上
で各 項 目 の数 値 を供 給 した。
従 って出 来 あがった ITRS'99 には当 方 の意 図 を全 て反 映 した。
P1-48
(11) WG11 Metrology
180 nm node においても、デバイス製 造 工 程 で要 求 される検 査 スピードと精 度 を考 えた時 、メトロロ
ジは多 くの検 査 対 象 について、現 存 する検 査 手 段 の能 力 限 界 に達 している。
130 nm node ではデバイス構 造 の微 細 化 や微 細 化 に伴 って発 生 する高 アスペクト比 化 に対 応 する
ことが主 なニーズであり、180nm node に引 き続 いての課 題 である微 細 構 造 や高 アスペクト比 構 造 で
の高 解 像 度 観 察 、ドーパントプロファ イルの高 空 間 分 解 能 計 測 に加 え、極 薄 ゲート 酸 化 膜 ・極 薄 容
量 絶 縁 膜 の高 精 度 膜 厚 測 定 が Difficult Challenges である。
100nm node ではパターン微 細 化 の推 進 を図 ることが主 なニーズとなり、ウエーハパターン、マスクパ
ターンの寸 法 およびパターン位 置 を高 精 度 に測 定 することが課 題 となる。
一 方 全 node を通 して、プロセス材 料 およびコンタミネーションをさらに精 密 に制 御 することが必 要 と
なり、微 粒 子 や微 量 不 純 物 の 高 感 度 検 出 が課 題 である。また、精 密 にプロセスを制 御 す るために、
高 性 能 なプロセス監 視 センサーやモニターの開 発 が求 められる。
_________________________
日 本 の視 点
1) ITRS'99-2nd ドラフトへの日 本 からの input の反 映 状 況
・微 粒 子 検 出 感 度 :Dense Line を基 準 とする 提 案 が了 解 され修 正 された。そして、Minimum
Particle Size for Compositional Analysis には on dense line の注 記 が加 えられた。
・微 量 金 属 検 出 感 度 :プロセス許 容 値 は総 量 であるが、検 出 に関 しては各 々の元 素 に対 する
数 値 を記 載 する。そのことが明 確 になるような記 載 方 法 に変 更 された。
・寸 法 測 定 :Measurement Bias の意 味 を欧 米 がパターン形 成 工 程 依 存 性 との理 解 をしている
のに対 し、日 本 では装 置 間 差 に関 連 と理 解 されることが多 い。脚 注 を付 記 するように求 めた
が、反 映 されなかった。
・on-line という表 現 に対 して、データの自 動 処 理 と混 同 され易 いため、on-machine を提 案 した
が、結 論 は出 なかった。
2) ITRS'99-2nd ドラフトの内 容 ・数 値 の妥 当 性
特 に、妥 当 性 を欠 く箇 所 はない。
P1-49
(12) WG11 Defect Reduction
半 導 体 技 術 の基 本 指 標 である歩 留 まりを高 く確 保 するために、欠 陥 低 減 はどの Node においても
共 通 の永 遠 の課 題 である。
Node が進 むにつれてデバイスの複 雑 さが増 し、欠 陥 源 を突 き止 めるために解 決 しなければならな
いデータ量 は 180 nm Node に対 して 50 nm Node では 80 倍 にもなる。 このため欠 陥 検 査 装 置 に対
する要 求 を始 め、欠 陥 を解 析 するシステムに対 する要 求 は厳 しさを増 し、欠 陥 低 減 は更 に困 難 な課
題 となっている。
従 来 方 式 の UV 光 を用 いたパターン付 きウエーハの欠 陥 検 査 装 置 の検 査 速 度 は 130 nm node か
ら既 に量 産 時 の要 求 に達 しなくなる。 高 アスペクト比 パターンの欠 陥 検 査 を行 える検 査 装 置 もなく、
欠 陥 の分 類 速 度 や扱 える欠 陥 数 、元 素 分 析 の速 さも不 十 分 であり、欠 陥 源 の同 定 が極 めて困 難 な
状 態 になる。 欠 陥 低 減 要 求 に合 致 するような新 たな欠 陥 検 査 装 置 の開 発 が急 務 である。
__________________________
日 本 の視 点
1) ITRS'99-2nd ドラフトへの日 本 からの input の反 映 状 況
・DRAM の Defect Budget は、セル部 のリダンダンシーを考 慮 し、周 辺 (チップの 35~40%)部
分 だけを計 算 の対 象 とすることになった。
2) ITRS'99-2nd ドラフトの内 容 ・数 値 の妥 当 性
特 に、妥 当 性 を欠 く箇 所 はない。
以上
P1-50
Fly UP