...

サインオフシステム R1.9.1 コマンドリファレンス

by user

on
Category: Documents
71

views

Report

Comments

Transcript

サインオフシステム R1.9.1 コマンドリファレンス
ASIC デザインマニュアル
サインオフシステム R1.9.1
コマンドリファレンス
2000
当社は品質、信頼性の向上に努めていますが、一般に半導体製品は誤作動した
り故障することがあります。当社半導体製品をご使用頂く場合は、半導体製品の
誤作動や故障により、他人の生命・身体・財産が侵害されることのないように、
購入者側の責任において、装置の安全設計を行うことをお願いします。
なお、設計に際しては、最新の製品仕様をご確認の上、製品保証範囲内でご使
用頂くとともに、考慮されるべき注意事項や条件について「東芝半導体製品の取
り扱い上のご注意とお願い」、「半導体信頼性ハンドブック」などをご活用くだ
さい。
また、ソフトウエア製品の使用または、使用不能により生ずる付随的な損害(事
業利益の損失、事業の中断、事業情報の損失、またはその他の金銭的損失を含む
がこれらに限定されない)に関して当社は一切の責任を負いかねます。
本資料に掲載されている製品のうち外国為替および外国貿易法により、輸出または海外への提供が
規制されているものがあります。
本資料に掲載してある技術情報は、製品の代表的動作・応用を説明するためのもので、その使用に際
して当社および第三者の知的財産権その他の権利に対する保証または実施権の許諾を行うものでは
ありません。
本資料の掲載内容は、技術の進歩などにより予告なしに変更されることがあります。
990316DTA1
© TOSHIBA CORPORATION 1999
All Rights Reserved
はじめに
このマニュアルは当社がサポートしているサインオフシステムを使って、当社
の ASIC 用の回路を設計しようとする論理回路設計者を対象にしています。この
マニュアルは、サインオフシステムで使うコマンドをアルファベット順に説明
します。
このマニュアルの内容は印刷時における最新の情報に基づいています。このマ
ニュアルの正確さについては、当社で見直しましたが、万一不明瞭な点、ご理
解いただけない点がある場合は当社のデザインセンターにお問い合わせくださ
い。
参考マニュアル
♦ VSO/VCSSO R1.9.1 ユーザーガイド
♦ VITALSO R1.9.1 ユーザーガイド
♦ PrimeTime サインオフシステム R1.9.0 ユーザーガイド
♦ Gemini サインオフシステム R1.9.1 ユーザーガイド ( 計画中 )
♦ Voyager サインオフシステム R1.9.1 ユーザーガイド ( 計画中 )
♦ High-Speed Simulation (HSS) システム R1.9.0 ユーザーガイド
♦ Chrysalis デザインキット R1.9.0 ユーザーガイド
サインオフシステム R1.9.1 コマンドリファレンス
i
はじめに
商標
ii
•
Verilog、Verilog-XL、NC-Verilog および Leapfrog は Cadence Design
Systems 社の商標です。
•
PrimeTime、VCS、VSS および Design Compiler は Synopsys 社の商標です。
•
Gemini および Voyager は IKOS Systems 社の商標です。
•
ModelSim は Model Technology 社の商標です。
•
UNIX は UNIX System Laboratories が開発し、ライセンスしている OS
です。
•
その他の製品などの固有名詞は各メーカーの商標です。
サインオフシステム R1.9.1 コマンドリファレンス
.....
表記規則
このマニュアルで使用する表記規則を以下に示します。
・・・( 省略記号 )
省略記号の前にくるものは何回でも繰り返せます。
[A]
任意選択の引数を示します。大カッコは入力しないでく
ださい。
[A|B]
選択肢の中からどれか1 つを選択できることを示します。
{A|B}
選択肢の中からどれか 1 つ選択しなければならないこと
を示します。
下線 |B
2 つ以上の選択肢がある場合に下線はデフォルトのオプ
ションを示します。デフォルトの条件を選ぶ場合は、そ
のオプションを指定する必要はありません。
コマンドやオプションの説明箇所でそれらの一部分に下線が引いてある場合、
下線部分だけを入力してもそのコマンドやオプションを実行できることを示し
ます。
「,」、
「:」
、
「/」、
「\」、
「"」
、
「’」
、
「‘」など、その他の句読記号はすべて指示され
たとおりに入力してください。
サインオフシステム R1.9.1 コマンドリファレンス
iii
はじめに
iv
サインオフシステム R1.9.1 コマンドリファレンス
目次
1章
CLKEMU . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
CLKEMU の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
CLKEMU の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
CLKEMU 実行前と実行後の SDF ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2章
1
1
2
3
4
5
5
6
6
COMP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
COMP の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
COMP の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
3章
CONFIGURE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
CONFIGURE の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
CONFIGURE の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
4章
DCAL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19
はじめに . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
遅延計算の特長 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
状態依存パス遅延 (SDPD) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
非線形遅延モデル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
DCAL の特長 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
RC 遅延のバックアノテーション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
クロック遅延の見積もり . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
フロアプランにもとづく遅延計算 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I/O インタフェースパラメータの指定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ゲートイーティング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
セルグループとネットウエイト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
遅延クロスリファレンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
DCAL の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
遅延条件の選択方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
PSEGLEN ファイル使用上の注意事項 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
DCAL の起動方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
IOPARAM ファイルを使った I/O インタフェースパラメータの指定 . . . . . . . . . . . . . . . . . . . . .
NOEAT ファイルを使ったゲートイーティングしないセルの指定 . . . . . . . . . . . . . . . . . . . . . . .
セルグループとネットウエイト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
GROUPS ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
19
20
20
21
22
23
23
24
26
26
27
27
27
28
30
32
32
33
34
36
42
43
45
46
46
サインオフシステム R1.8.0 コマンドリファレンス
v
目次
CLKINFO ファイルを使ったクロック遅延の補正 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
CLKINFO ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
GK_TEMPLATE ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行リスティングファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
配線容量計算情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
K ファクタリスティング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
遅延クロスリファレンスファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ネットクロスリファレンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
パス遅延クロスリファレンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
タイミング制約クロスリファレンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5章
56
56
58
58
58
60
61
62
63
65
DV . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69
DV の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69
DV の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
6章
DVER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71
DVER の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
情報・ワーニング・致命的エラーメッセージ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
DVER の使用時期 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73
ゲートイーティング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73
電気的デザインルールチェック . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74
ドライブチェック . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77
標準のドライブチェック . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77
エレクトロマイグレーションを考慮したドライブチェック . . . . . . . . . . . . . . . . . . . . . . . . . 79
ドライブチェック結果の出力 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80
I/O ソフトマクロセルのチェック . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80
LVDS セルのチェック . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81
物理的な製品化チェック . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82
ネットワークサマリ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82
ゲートカウントサマリ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83
ゲート数の計算のみの実行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83
クロスリファレンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83
階層ツリーマップ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83
ネーミングルールチェック . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83
VPPA ファイルの出力 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87
COMFRQ ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88
NOEAT ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89
DVER の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
実行リスティングファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
VERSUM ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
ネットワークサマリ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
ゲートカウントサマリ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104
VERREF ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105
セルタイプクロスリファレンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105
パッドクロスリファレンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106
ネットクロスリファレンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107
TREE ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109
DRVLIST ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109
vi
サインオフシステム R1.8.0 コマンドリファレンス
.....
ドライブチェック結果ヒストグラム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ドライブチェック結果一覧 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
RDTLIST ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
CTSLIST ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
CLOCKLIST ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
7章
EDP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115
EDP の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
EDP の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シミュレーション結果リスティング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SIGNALS ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
信号名リストファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
8章
115
115
116
117
117
117
118
119
119
121
121
IOPARAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123
IOPARAM の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
IOPARAM の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
9章
110
111
112
113
114
123
123
124
124
124
124
125
126
LD2CL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127
LD2CL の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
LD2CL の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
メガセル・特殊セルライブラリ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
127
128
128
128
130
10 章 MDLGEN (VSO/VCSSO/VITALSO のみ ) . . . . . . . . . . . . . . . . . . 135
MDLGEN の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
MDLGEN の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
メガセルモデルの生成フロー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
MDLGEN を使用しモデルを生成する方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
MASTER ファイルの更新 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
135
135
136
137
137
137
138
139
139
139
140
11 章 MEMT2V(VSO/VCSSO/VITALSO/GEMINISO のみ ) . . . . . . . . . . 141
MEMT2V の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142
サインオフシステム R1.8.0 コマンドリファレンス
vii
目次
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
MEMT2V の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
変換例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行リスティングファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
142
143
143
143
144
144
147
12 章 MEMVER2T (VSO/VCSSO のみ ) . . . . . . . . . . . . . . . . . . . . . . . . 149
MEMVER2T の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
MEMVER2T の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
変換例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行リスティングファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
149
149
150
150
150
150
151
152
152
154
13 章 MKLIBNAME (VSO/VCSSO のみ ) . . . . . . . . . . . . . . . . . . . . . . . 157
MKLIBNAME の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
MKLIBNAME の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
157
157
157
158
14 章 MODLIBGEN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159
MODLIBGEN の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
MODLIBGEN の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行リスティングファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
159
159
160
160
161
161
162
162
163
15 章 NETMOD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165
NETMOD の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
サブクロックバッファの挿入 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
タイミングの最適化・低消費電力化による回路変更 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
スキャンチェーンリオーダリングによる回路変更 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
テスト容易化設計にともなうネットリスト変換 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SEGLEN ファイルのマージ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Design Compiler とのインタフェース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
NETMOD の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
NETMODCOM ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
viii
サインオフシステム R1.8.0 コマンドリファレンス
165
165
167
167
168
168
168
169
170
171
172
172
174
176
178
.....
CLKBUF ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
CHGCIR ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
HDLM ファイルに関する注意点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ヘッダー情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
HDL 記述 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
NETMODXREF ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
178
180
183
183
184
186
16 章 NPINMRG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189
NPINMRG の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
NPINMRG の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
NPINMRGLST ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
189
189
190
190
190
190
191
191
192
17 章 PNA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193
PNA の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
PNACOM ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ファイルのシンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
PNACOM ファイルの例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
FORWARD コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
BACKWARD コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TERMINAL コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
READ コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
DSP コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
HELP コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
END コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
QUIT コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
PNA の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
対話形式とバッチ処理形式 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
対話形式 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
バッチ処理形式 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行リスティングファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
193
193
194
194
194
195
195
195
195
196
196
197
197
197
197
198
198
199
199
200
200
201
201
18 章 PRESRA (VSO/VCSSO のみ ) . . . . . . . . . . . . . . . . . . . . . . . . . . . 205
PRESRA の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SRACOM ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ファイルの記述形式 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コマンドクイックリファレンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TSTL2 で複数のタイミングが設定されている場合の検査 . . . . . . . . . . . . . . . . . . . . . . . . . .
SRACOM ファイルの例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
信号名・モジュール名置換 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
205
206
206
207
207
207
208
209
209
210
サインオフシステム R1.8.0 コマンドリファレンス
ix
目次
信号名・モジュール名の記述 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
CYCLE コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
FROM コマンド・TO コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
COMPARE コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
MARGIN コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
CONFLICT コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
FLOAT コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SPIKE コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SWINDOW コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
MAXDLY コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
MAXCHG コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SETUP コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
HOLD コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
PREFIX コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SHMASK コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
UINITX コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
DSPCOM ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ファイルの記述形式 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コマンドクイックリファレンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
DSPCOM ファイルの例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
PREFIX コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SELECT コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
END コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
PRESRA の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
211
212
212
212
213
213
214
215
215
216
216
218
219
219
220
221
221
221
222
222
222
223
224
224
224
226
226
19 章 PRETSO (VSO/VCSSO のみ ) . . . . . . . . . . . . . . . . . . . . . . . . . . . 229
PRETSO の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
PRETSO の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
229
229
230
230
231
231
232
233
20 章 PWR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 235
PWR の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
消費電流の要因 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
中間出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
PWR の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ROW ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
消費電流ヒストグラム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ROWLST ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
x
サインオフシステム R1.8.0 コマンドリファレンス
235
235
237
237
238
239
239
239
239
242
243
244
247
.....
21 章 SIM (VSO/VCSSO/VITALSO のみ ) . . . . . . . . . . . . . . . . . . . . . . . 249
SIM の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249
SIM の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251
22 章 SRA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 253
SRA の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
安定領域 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
安定領域のチェックの目的 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SRA の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
安定領域の計算方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
安定領域の小さい箇所の見付け方 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コンフリクトとフローティング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コンフリクトとは . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
フローティングとは . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コンフリクトとフローティングの発生タイミング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SRA によるコンフリクトとフローティングの検出方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ピンの同時スイッチング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
セットアップ・ホールド時間のチェック . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SRA の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
遅延条件の選択方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SRALST ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
検出されたエラー数の総括 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ピンごとのエラー数リスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
不一致リスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
スパイクリスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コンフリクトリスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
フローティングリスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
最大遅延時間リスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
同時スイッチングリスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
セットアップ時間違反リスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ホールド時間違反リスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
初期値以外の「X」検出リスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
安定領域ダイヤグラム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コンフリクト領域ダイヤグラム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
フローティング領域ダイヤグラム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
セットアップ・ホールドマージンダイヤグラム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
253
254
254
255
256
256
256
257
258
259
259
260
261
262
263
263
265
265
265
267
268
269
269
270
270
271
272
272
273
273
274
274
275
275
277
278
278
23 章 T2IROM (GEMINISO/VOYSO のみ ) . . . . . . . . . . . . . . . . . . . . . . 281
T2IROM の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
T2IROM の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
変換例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
281
281
282
282
282
283
283
283
サインオフシステム R1.8.0 コマンドリファレンス
xi
目次
24 章 TDGS2TDGS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 285
TDGS2TDGS の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TDGS2TDGS の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
HINFO ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
THROUGHNETLST ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行リスティングファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
285
287
287
288
288
288
289
289
290
290
293
25 章 TDLGEN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 297
TDLGEN の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TDL 変換時の注意点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TDLGEN の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
XREF ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行リスティングファイルの例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
297
297
302
302
302
302
303
304
305
306
26 章 TFO (VSO/VCSSO のみ ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 309
TFO の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TFO の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
309
310
310
310
311
311
312
313
27 章 TNC (VSO/VCSSO/GEMINISO のみ ) . . . . . . . . . . . . . . . . . . . . . 315
TNC の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ネットリストの制約に関するチェック . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ネットリストの制約違反の自動修正 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TNC の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ネットリスト指定ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TDGS データベースファイルの分割生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
制約事項 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
PARTISINFO ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
xii
サインオフシステム R1.8.0 コマンドリファレンス
315
316
318
322
322
323
323
323
324
325
327
327
327
328
329
330
330
.....
実行リスティングファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 330
28 章 TOG・TOGMRG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 335
TOG・TOGMRG の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TOG・TOGMRG の入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TOG の入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TOGMRG の入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
エレクトロマイグレーションを考慮したドライブチェック . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TOG の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TOGCOM ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TOGMRG の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TOGMRGCOM ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
FRQLST ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TOGMRG の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行リスティングファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
補足説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
335
336
336
339
340
342
342
343
345
345
347
347
347
348
348
349
350
350
353
29 章 TRACEGEN(GEMINISO/VITALSO/VOYSO のみ ) . . . . . . . . . . . 355
TRACEGEN の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SRACOM ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ファイルの記述形式 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コマンドクイックリファレンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TSTL2 で複数のタイミングが設定されている場合の検査 . . . . . . . . . . . . . . . . . . . . . . . . . .
SRACOM ファイルの例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
信号名・モジュール名置換 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
信号名・モジュール名の記述 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
CYCLE コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
FROM コマンド・TO コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
COMPARE コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
MARGIN コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
CONFLICT コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
FLOAT コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SPIKE コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SWINDOW コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
MAXDLY コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
MAXCHG コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SETUP コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
HOLD コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
PREFIX コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SHMASK コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
UINITX コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
DSPCOM ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ファイルの記述形式 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コマンドクイックリファレンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
DSPCOM ファイルの例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
355
355
356
357
357
357
358
358
359
360
361
362
362
363
363
364
364
365
366
366
367
368
369
370
371
372
373
373
373
373
サインオフシステム R1.8.0 コマンドリファレンス
xiii
目次
PREFIX コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SELECT コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
END コマンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TRACEGEN の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
374
374
376
376
376
377
378
30 章 TSC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 379
TSC の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
中間出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TSC の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
DT 波形に関するオプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
init オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
setx オプション・setz オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
WAV ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
WAVES ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
REGS ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
PATTERN ファイルと DRIVE ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
VSO/VCSSO/GEMINISO 用 PATTERN ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
VITALSO/VOYSO 用 PATTERN ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
VSO/VCSSO/GEMINISO 用 DRIVE ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
VITALSO 用 DRIVE ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
パラレルロードシミュレーション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
スキャンテストの手順 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
パラレルロードシミュレーション用入力ファイルの生成例 . . . . . . . . . . . . . . . . . . . . . . . .
scanstbw オプション・scanstbq オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
scanin オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行リスティングファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TSTL2 ソースリスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
パターンカウントリスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
テスタピンリスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力タイミングリスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力タイミングリスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
379
380
381
382
382
384
384
386
390
391
391
391
393
394
395
396
396
397
398
399
400
400
403
414
415
415
416
416
416
417
419
31 章 TSG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 421
TSG の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
WAVCONF ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TSG 使用上の注意 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力タイミング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力タイミング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
双方向タイミング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
システムシミュレーションの結果から ASIC 用
テストパターンを抽出するときの WAVCONF ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . .
TSG の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
xiv
サインオフシステム R1.8.0 コマンドリファレンス
421
422
422
423
423
424
425
426
426
430
431
.....
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行リスティングファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TSTL2 テストデータファイルのテンプレート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
431
432
433
433
434
32 章 TST . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 437
TST の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
中間出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TIF ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
タイミング定義ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
PULSE 文 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
REMARK 文に関する注意事項 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TST 使用上の注意 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力タイミング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力タイミング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
双方向タイミング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TST の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TST を再実行する場合の注意 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
norbreak オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
REPEAT ブロックに対する作用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SUBPATT ブロックに対する作用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
nostrict オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
dcgen オプション・dcall オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
パターンアドレスとは . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
DC テストの項目 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
dcgen オプションと dcall オプションの相違点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
サーチ条件 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
最適な DC 測定アドレス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
双方向信号のコンフリクトとフローティング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コンフリクト・フローティングとは . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コンフリクトの発生タイミング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
安定領域 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
安定領域のチェックの目的 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TST の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
安定領域の計算方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行リスティングファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプションリスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
タイミングエラーリスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
抽出パターンリスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I/O タイミングリスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
安定領域ダイヤグラム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コンフリクト領域ダイヤグラム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
フローティング領域ダイヤグラム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
エラーカウントリスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ピン名クロスリファレンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
DCL ファイル (DC 測定情報リスト ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
IDDSLST ファイル (IDD(S) 測定情報リスト ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
NPIN ファイル (DC 未測定情報リスト ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
437
438
440
441
441
442
442
444
444
445
445
446
446
447
447
447
449
456
456
456
457
458
459
460
460
461
461
466
467
467
468
469
469
469
470
471
471
475
475
476
478
479
481
482
482
482
483
485
サインオフシステム R1.8.0 コマンドリファレンス
xv
目次
33 章 TVHDL (VITALSO/VOYSO のみ ) . . . . . . . . . . . . . . . . . . . . . . . . 487
TVHDL の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ネットリストの制約に関するチェック . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TVHDL の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ネットリスト指定ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
実行リスティングファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
487
488
489
490
490
491
491
493
494
495
495
34 章 VERUN (VSO/VCSSO のみ ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 497
VERUN の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
VERUN の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
自動的に設定されるオプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
497
497
497
498
35 章 VSIGN (VSO/VCSSO/VITALSO のみ ) . . . . . . . . . . . . . . . . . . . . . 499
VSIGN の機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
VSIGN の実行方法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シンタックス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
vsign.spec ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TOSHIBA.vsignlst ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ヘッダー情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
エラー数リスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TSTL2 テストデータ情報リスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ドライブリミットチェック結果リスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
期待値チェック結果リスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
IOPARAM ファイル情報リスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
K ファクタサマリリスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
テスタ制約チェック結果リスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TST の検査結果リスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
検査結果サマリリスト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
同時スイッチング検査結果リスト (detail=ON) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コンフリクト検査結果リスト (detail=ON) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
フローティング検査結果リスト (detail=ON) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
安定領域検査結果リスト (detail=ON) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
エレクトロマイグレーション検査結果リスト (detail=ON) . . . . . . . . . . . . . . . . . . . . . . . . . .
オプションリスト(detail=ON). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
499
499
500
500
501
501
501
502
503
503
504
504
505
505
506
506
508
509
509
510
510
511
511
512
512
付録 A コンフィグレーションファイル . . . . . . . . . . . . . . . . . . . . . . . . . 515
用途 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ファイルの構成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
記述形式 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ファイルの例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
オプションの優先順位 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
*COMMON に分類される必須のオプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
xvi
サインオフシステム R1.8.0 コマンドリファレンス
515
516
516
516
517
517
.....
*COMMON に分類される必須でないオプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 519
*WCAP に分類されるオプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 528
個別プログラムのオプション . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 529
付録 B ファイル名一覧 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 531
サインオフシステム R1.8.0 コマンドリファレンス
xvii
目次
xviii
サインオフシステム R1.8.0 コマンドリファレンス
CLKEMU
.....
....................................
1
この章では CLKEMU について以下のことを説明します。
♦ CLKEMU の機能
♦ 入出力ファイル
♦ CLKEMU の実行方法
♦ CLKEMU 実行前と実行後の SDF ファイル
CLKEMU の機能
..................................................
CLKEMU はレイアウトで合成されたクロックツリーの遅延を、Synopsys 社の
論理合成システム Design Compiler にバックアノテートするためのファイルを出
力します。CLKEMU はレイアウト後のシミュレーションで回路が期待どおり
に動かなかったときに、Design Compiler の IPO (in-place optimization) 機能を
使って回路を再最適化するために使用します。Design Compiler で変更した回路
は、レイアウトを初めからやり直さなくても、ECO 機能を利用することによ
り、レイアウトに反映させることができます。CLKEMU の入力ファイルであ
る Design Compiler 用の SDF ファイルは、DCAL 実行時に systemselect オプ
ションで DC を指定して生成します。この SDF ファイルは NETMOD により生
成された、クロックツリー挿入後のネットリストに基づいて生成したものでな
ければなりません。
CLKEMU はレイアウトによって挿入されたサブクロックバッファを SDF
ファイルから削除して、レシーバセルの入力ピンにクロックツリー全体の遅延
を再度割り付け直します。また、同時にネット容量を指定する DCWIRECAP
ファイル ( 回路名 .dcwirecap) を修正します。
入出力ファイル
..................................................
図 1–1 に CLKEMU の入出力ファイルを示します。
サインオフシステム R1.9.1 コマンドリファレンス 1
1
CLKEMU
入出力ファイル
図 1–1 CLKEMU の入出力ファイル
Design Compiler 用
SDF ファイル
Design Compiler 用
ネット容量の指定
dcsdf
dcwirecap
DCAL で生成
clkpath
DCAL で生成
NETMOD で生成
CLKEMU
Design Compiler 用
SDF ファイル
dcsdf
Design Compiler 用
ネット容量の指定
clkemulst
dcwirecap
実行リスティング
ファイル
Design Compiler
入力ファイル
CLKEMU の入力ファイルは以下のとおりです。
♦ 回路名 .dcsdf
NETMOD で作成した、HDLM ファイル ( クロックツリーを含むネットリ
スト ) をもとに DCAL のオプション (systemselect=DC) を使って作成
した Design Compiler 用の SDF ファイルです。
♦ 回路名 .dcwirecap
NETMOD で作成した HDLM ファイルをもとに DCAL のオプション
(systemselect=DC) を使って作成した Design Compiler 用のネット容量
を指定するファイルです。
♦ 回路名 .clkpath
NETMOD で作成したクロックツリーの情報が格納されているファイルで
す。NETMOD を実行すると作成されます。
DCSDF ファイルは NETMOD を実行し、クロック
バッファを挿入した後のネットリストにもとづい
て生成しなければなりません。この DCSDF ファイ
ルを作成するために、NETMOD を実行して TDGS
データベースファイルを更新してください。その後、DCAL を
実行します。
2 サインオフシステム R1.9.1 コマンドリファレンス
入出力ファイル
出力ファイル
CLKEMU の出力ファイルは以下のとおりです。
♦ 回路名 .dcsdf
CLKEMU により修正された DCSDF ファイルです。クロックツリー部分
は削除されていますが、ディレイ値は、レシーバセルに割り付けられて
います。詳細は、6 ページの「CLKEMU 実行前と実行後の SDF ファイ
ル」を参照してください。
♦ 回路名 .dcwirecap
CLKEMU により修正された DCWIRECAP ファイルです。クロックツ
リー部分が削除されています。
♦ 回路名 .clkemulst
実行リスティングファイルです。
CLKEMU では、DCSDF ファイルと DCWIRECAP
ファイルのデフォルトの入出力ファイル名はそれ
ぞれ同じになります。入力ファイルに上書きしたく
ない場合は、オプション overwrite=OFF を指定して
ください。また、Design Compiler を使って IPO を実行する
ときは、NETMOD を実行するまえのネットリストを使ってく
ださい。
図 1–2 に、レイアウトで合成されたクロックツリーの遅延情報を Design
Compiler にバックアノテートするフローを示します。
サインオフシステム R1.9.1 コマンドリファレンス 3
.....
CLKEMU
1
CLKEMU
CLKEMU の実行方法
図 1–2 クロックツリー遅延情報の Design Compiler へのバックアノテートフロー
レイアウト前の
ネットリスト
TNC/TVHDL
clkbuf
TDGS
データベース
seglen
NETMOD
seglenm
TDGS
データベース
DCAL
dcsdf
dcwirecap
clkpath
CLKEMU
dcsdf
dcwirecap
Design Compiler
CLKEMU の実行方法
..................................................
この項では CLKEMU のシンタックスとオプションについて説明します。
4 サインオフシステム R1.9.1 コマンドリファレンス
CLKEMU の実行方法
シンタックス
CLKEMU を実行するには、UNIX のシェルプロンプトで以下のコマンドを入
力します。
clkemu オプション ...
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *CLKEMU 部分にも記述できます。これらのオプションについては
「付録 A コンフィグレーションファイル」を参照してください。
simulator =
[VERILOG|VCS|GEMINI|MODELSIM|LEAPFROG|VSS|VOYAGER]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
tmpdir = パス名
paragraph = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------msout = [ON|OFF]
*CLKEMU に分類されるオプション
以下は CLKEMU で使用するオプションであり、tsb.config ファイルの
*CLKEMU 部分に記述できます。
{clkpath|clkpathdir|clkpathext} = ファイル名
{dcsdf|dcsdfdir|dcsdfext} = ファイル名
{dcwirecap|dcwirecapdir|dcwirecapext} = ファイル名
{list|listdir|listext} = ファイル名
overwrite = [ON|OFF]
tmpdir = ディレクトリ名
オプション
以下に *CLKEMU に分類されるオプションについて説明します。
サインオフシステム R1.9.1 コマンドリファレンス 5
.....
CLKEMU
1
CLKEMU
CLKEMU 実行前と実行後の SDF ファイル
{clkpath|clkpathdir|clkpathext} = ファイル名
CLKEMU で使用する CLKPATH ファイルの名前を指定しま
す。
{dcsdf|dcsdfdir|dcsdfext} = ファイル名
CLKEMU で使用する DCSDF ファイルの名前を指定します。
overwrite オプションが ON の場合は、出力ファイル名もこ
のオプションで指定した名前になります。OFF の場合は、
出力ファイル名は「このオプションで指定した名前 _ 任意
の数字」になります。
「任意の数字」は、DCSDF ファイル、
DCWIRECAP ファイルともに同じになります。
{dcwirecap|dcwirecapdir|dcwirecapext} = ファイル名
CLKEMU で使用する DCWIRECAP ファイルの名前を指定し
ます。overwrite オプションが ON の場合は、出力ファイ
ル名もこのオプションで指定した名前になります。OFF の
場合は、出力ファイル名は「このオプションで指定した名
前 _ 任意の数字」になります。「任意の数字」は、DCSDF
ファイル、DCWIRECAP ファイルともに同じになります。
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。
overwrite = [ON|OFF]
出力ファイルを、入力ファイルである DCSDF ファイル、
DCWIRECAP ファイルに上書きするかどうか指定します。
OFF を指定した場合、DCSDF ファイル、DCWIRECAP ファ
イルの出力ファイル名は、それぞれ「dcsdf オプションで
指定した名前 _ 任意の数字」
、「dcwirecap オプションで指
定した名前 _ 任意の数字」になります。
tmpdir = ディレクトリ名
CLKEMU 内部で使用するテンポラリファイルのディレクト
リを指定します。デフォルトは「.」( 実行ディレクトリ ) で
す。
実行例
以下に CLKEMU の実行例を示します。入力および出力 DCSDF ファイル名を
「回路名 .dcsdf1」、入力および出力 DCWIRECAP ファイル名を「回路名
.dcwirecap1」として CLKEMU を実行します。
clkemu dcsdfext=dcsdf1 dcwirecapext=dcwirecap1
CLKEMU 実行前と実行後の SDF ファイル
..................................................
図 1–3 に CLKEMU によるクロックツリーの遅延の割り付け直しの例を示し
ます。
6 サインオフシステム R1.9.1 コマンドリファレンス
CLKEMU 実行前と実行後の SDF ファイル
図 1–3 クロックツリーの遅延の割り付け直し
[ クロックバッファ挿入前 ]
[ クロックバッファ挿入後 ]
FF1
FF1
D
SBUF2
Q
SBUF1
D
Q
CP QN
CP QN
SBUF3
メインバッファ
CLKEMU 実行前の SDF ファイル
.....
(PORT SBUF1.A (RC 遅延 ))
(PORT SBUF2.A (RC 遅延 ))
.....
(PORT FF1.CP (RC 遅延 ))
.....
(INSTANCE SBUF1)
.....
(IOPATH A Z ( 立ち上がり )
.....
(INSTANCE SBUF2)
.....
(IOPATH A Z ( 立ち上がり )
a)
b)
サブクロックバッファの
入力ピンに割り付けられた
RC 遅延
c)
クロックレシーバの入力ピンに
割り付けられた RC 遅延
( 立ち下がり )) d)
サブクロックバッファの
パス遅延
( 立ち下がり )) e)
サブクロックバッファの
パス遅延
CLKEMU 実行後の SDF ファイル
.....
(PORT FF1.CP ( 総立ち上がり遅延 ) ( 総立ち下がり遅延 ))
総立ち上がり遅延 =a+(d の立ち上がり遅延 )+b +(e の立ち上がり遅延 )+c
総立ち下がり遅延 =a+(d の立ち下がり遅延 )+b +(e の立ち下がり遅延 )+c
CLKEMU 実行前の SDF ファイル中の a、b、d、e は削除されます。
また、Design Compiler の IPO では、クロックネットに対して、dont_touch
指定を行うことを前提としています。dont_touch という属性をつけられたク
ロックネットは Design Compiler の IPO で無視されます。そこで、CLKEMU で
は DCWIRECAP ファイル中のクロックネットに関する情報をすべて削除してい
ます。
サインオフシステム R1.9.1 コマンドリファレンス 7
.....
CLKEMU
1
CLKEMU
CLKEMU 実行前と実行後の SDF ファイル
8 サインオフシステム R1.9.1 コマンドリファレンス
COMP
.....
....................................
2
この章では COMP について以下のことを説明します。
♦ COMP の機能
♦ COMP の実行方法
COMP の機能
..................................................
COMP は、1 回の実行で、TNC、TFO または TVHDL、そして NETMOD およ
び IOPARAM を実行します。
COMP を実行する時に SEGLEN ファイル ( 回路名 .seglen) という配線長
ファイルがすでにある場合、TNC または TVHDL に続いて NETMOD を実行し
ます。そして、レイアウト後の TDGS データベースファイルを生成するため
に、再度、TNC または TVHDL を実行します。COMP の実行時に SEGLEN
ファイルがなければ、NETMOD は実行されません。NETMOD を実行するに
は、COMP を実行するまえに NETMOD の入力ファイルを準備しておかなけれ
ばなりません。
実行ディレクトリ中に IOPARAM_TEMPLATE ファイル ( 回路名
.ioparam_template) という IOPARAM ファイルのテンプレートファイルがな
ければ、COMP は IOPARAM を実行するかどうか聞いてきます。
IOPARAM_TEMPLATE ファイルがすでにある場合、COMP はネットリストに
ついて作成日付をチェックします。このとき、IOPARAM_TEMPLATE ファイル
が更新されていない場合、IOPARAM を再度実行するかどうか聞いてきます。
IOPARAM の実行結果リスティングファイル ( 回路名 .ioparamlst) がすでに
生成されていて、その中にエラーがある場合、COMP は IOPARAM を自動実行
します。
図 2–1 に COMP の実行フローを示します。
サインオフシステム R1.9.1 コマンドリファレンス 9
2
COMP
COMP の実行方法
図 2–1 COMP の実行フロー
ファーストサインオフのフロー
セカンドサインオフのフロー
TNC または TVHDL
TNC または TVHDL
IOPARAM
NETMOD
TNC または TVHDL
IOPARAM
COMP の実行方法
..................................................
COMP を実行するには、UNIX のシェルプロンプトで以下のコマンドを入力
します。
comp ネットリストファイル ... オプション ...
ネットリストファイルは複数指定できます。VSO/VCSSO/GEMINISO の設計
環境下では、コマンドラインでいちいちファイル名を入力する代わりに、テキ
ストファイル中にネットリストファイルの名前を一覧表にしておくと、実行時
に読み込ませることができます。VITALSO の設計環境下では、ネットリスト
ファイルの指定方法には 2 通りあります。1 つは、TVHDL の実行方法に従って
ネットリスト指定ファイル ( 回路名 .files) というファイルを準備する方法で
す。もう 1 つは、COMP のコマンドラインでネットリストファイル名と
-megacellオプションでメガセルのVHDLパッケージファイルの名前を指定す
る方法です。
以下にオプションを示します。
-help
ヘルプメッセージを出力します。
-megacell ファイル名 ,...
メガセルの VHDL パッケージファイルの名前を指定します。
複数指定する場合、カンマ (,) で区切ります。このオプショ
ンは VITALSO のみ有効です。
10
-quiet
プログラムのヘッダ部分などの情報を表示しません。
-force
TNC または TVHDL と、NETMOD を強制的に実行します。
-viewlog
COMPによって実行されるコマンドの実行内容を画面に出力
します。デフォルトでは表示されません。
サインオフシステム R1.9.1 コマンドリファレンス
COMP の実行方法
-ioparam
IOPARAM を強制的に実行します。
-noioparam
IOPARAM を実行しません。
サインオフシステム R1.9.1 コマンドリファレンス 11
.....
COMP
2
12
COMP
COMP の実行方法
サインオフシステム R1.9.1 コマンドリファレンス
CONFIGURE
.....
....................................
3
この章では CONFIGURE について以下のことを説明します。
♦ CONFIGURE の機能
♦ CONFIGURE の実行方法
CONFIGURE の機能
..................................................
CONFIGURE は、tsb.config ファイルと COMFRQ ファイルを、インタラ
クティブに構築できます。
tsb.config ファイルは VSO/VCSSO/VITALSO で使うコンフィグレーション
ファイルです。1 度 CONFIGURE を呼び出して、シミュレータ名、プラット
フォーム名、EDA ツールのバージョン、回路の最上位モジュール名などを選択
または入力します。CONFIGURE によって生成される tsb.config ファイル
にはデザインキットを実行するのに必要最低限の情報が出力されます。
CONFIGURE を使わずに tsb.config ファイルを生成する場合、
$TOSH_ROOT/< シミュレータ名 >/sample ディレクトリにある tsb.config
ファイルのサンプルを実行ディレクトリにコピーしてください。そして、テキ
ストエディタで編集してください。
tsb.config ファイルの作成に続いて、COMFRQ ファイルを作成するかどう
か聞かれます。ファイル名は「回路名 .comfrq」です。デフォルトでは、こ
のファイルは DVER または TOG を使ったエレクトロマイグレーションを考慮
したドライブチェックのときの入力ファイルになります。
tsb.config ファイルの詳細については「付録 A コンフィグレーションファ
イル」を参照してください。COMFRQ ファイルの詳細については「6章 DVER」または「28章 TOG・TOGMRG」を参照してください。
CONFIGURE の実行方法
..................................................
CONFIGURE を実行するには、UNIX のシェルプロンプトで以下のコマンド
を入力します。
configure
サインオフシステム R1.9.1 コマンドリファレンス 13
3
CONFIGURE
CONFIGURE の実行方法
以下に、CONFIGURE の実行手順について説明します。
1. CONFIGURE は、tsb.config ファイルがすでに存在するかどうかチェッ
クします。実行ディレクトリ中に tsb.config ファイルが存在しない場合
は、手順 2 へ進みます。tsb.config ファイルが存在する場合は、以下の
メッセージを表示します。
Information : tsb.config file has already existed.
You can select 3 way.
1) Make new tsb.config file.
(Existed tsb.config file is renamed to tsb.config.org)
2) Make new tsb.config file.
(Existed tsb.config file is reflected in new tsb.config
file)
3) Do not create tsb.config
(Go to comfrq file preparation processing)
Number [1-3] (Default is 3 ) :
ここで、1 または 2 を選択すると手順 2 へ進みます。3 を選択すると手順 13
へ進みます。
何も選択せずに ENTER キーを押すと、デフォル
ト値が設定されます。
2. COMFRQ ファイルを使ってエレクトロマイグレーションを考慮したドライ
ブチェックを行うかどうかを聞いてきます。以下のように入力します。
Do you want to check the drive limit which depended to
frequency? (YES/no) : YES
3. 次に、環境設定で TOSH_ROOT が正しく設定されているかどうかをチェック
します。TOSH_ROOT が設定されている場合は、手順 4 へ進みます。
TOSH_ROOT が設定されていない場合、以下のメッセージとプロンプトが表
示されます。TOSH_ROOT に設定するパスを入力します。以下のように入力
します。
Environment variable ’TOSH_ROOT’ does not exist in your
environments.
Please input your NDM installed directory ( Full path name )
here -> /usr/TOSHIBA/R191
4. 以下のプロンプトが表示されます。シミュレータ名を番号で選択してくだ
さい。以下のように入力します。
Please select a simulator number.
1
2
3
4
5
6
7
99
14
:
:
:
:
:
:
:
:
verilog
vcs
leapfrog
vss
modelsim
voyager
gemini
Quit
サインオフシステム R1.9.1 コマンドリファレンス
CONFIGURE の実行方法
Number [1-6] > 1
5. 以下のプロンプトが表示されます。利用可能なシステム名だけが表示され
るので、プラットフォーム名を番号で選択します。以下のように入力しま
す。
Please select a platform number.
1
2
3
99
:
:
:
:
SUN
Solaris
HP10
Quit
Number [1-3](defult:Solaris) > 2
何も選択せずに ENTER キーを押すと、デフォル
ト値が設定されます。
6. 以下のプロンプトが表示されます。利用可能なバージョン名だけが表示さ
れるので、EDA バージョンを番号で選択します。以下のように入力します。
Please select a EDA version number.
1
2
3
4
99
:
:
:
:
:
2.6
2.7
NC_1.22
NC_2.1
Quit
Number [1-3] > 3
7. 手順 3 で TOSH_ROOT が設定されていない場合、以下のメッセージとプロン
プトが表示されます。TOSH_ROOT が設定されている場合は、手順 8 へ進み
ます。表示された設定でよければ、「YES」を入力します。以下のように入
力します。
[Information]
Now, create NDM.setup file for current directory as following
condition.
TOSH_ROOT
Simulator Name
Edaversion
Platform name
:
:
:
:
/project/tmceel/usr3/veripkg/XLpkg/R191
Verilog
2.6
Solaris
OK? (YES/no) > YES
ここで、NDM.setup ファイルとは、NDM シミュレータを使うための環境
設定ファイルです。NDM.setup ファイルが生成されると、以下のメッセー
ジが出力されます。
Environment set up file ’NDM.setup’ file was generated in
current directory.
サインオフシステム R1.9.1 コマンドリファレンス 15
.....
CONFIGURE
3
CONFIGURE
CONFIGURE の実行方法
You have to execute ’source ./NDM.setup’ command on your
prompt.
Because you don’t define TOSH_ROOT environment variable.
After that, please execute ’configure’ again.
8. これで tsb.config ファイルの作成に移ります。プロンプトの順番に従っ
て各項目を入力してください。
******************************************************
* Create a configuration file section.
*
******************************************************
Top module name of your design
:TEST4
Design name
(Default: module name)
:TEST4
Instance name of top module (Default: wave.<module>_wave)
:wave.TEST4_wave
Default test extension
(Default: NULL)
:
最上位モジュール名だけはかならず入力しなければなりません。それ以外
の項目はエンターキーを押すと、デフォルト値が設定されます。すべての
デザインキットプログラムで、回路名がデフォルトのファイル名として使
われます。
9. 以下のプロンプトが表示されます。TOSH_ROOT 下のテクノロジーライブラ
リの一覧が表示されます。
このとき、CONFIGURE は、共通ライブラリについてチェックしています。
一覧表示されたシミュレータ用のライブラリが存在するとは限りません。
プラットフォーム名を番号で選択します。以下のように入力します。
Please choice a technology number:
1 : TC220CT
4 : TC240ET
2 : TC240CT
...
3 : TC200G
99 : Quit
Number [1-4] > 3
この例では、例えば TC220CT は TC220C シリーズの 3 層配線を意味しま
す。テクノロジーによっては、ライブラリが 2 層配線、3 層配線など別々に
準備されています。適切なテクノロジー名は、デザインキットに添付され
ているリリースノートを参照してください。
10. 以下のプロンプトが表示されます。テクノロジーの電圧を指定します。
Please choice a voltage number :
1 : 3.3
2 : 3.0
99 : Quit
Number [1-2] > 1
11. 以下のプロンプトが表示されます。すでに母体名が決まっている場合には、
「YES」を入力します。
16
サインオフシステム R1.9.1 コマンドリファレンス
CONFIGURE の実行方法
Have you already decided master type? (YES/no) : YES
12.「YES」を入力すると、以下のメッセージが出力されます。母体名を入力し
ます。
Please input master name : T9X32
「NO」を入力すると、以下のメッセージが出力されます。母体名の一覧が表
示されるので、母体名を番号で選択します(表示には少し時間がかかりま
す)
。
Please choice a number of master type :
1
3
5
7
:
:
:
:
T9V53
T9V53T6
T9V54T8
T9V55
.....
41 : T9V67T8
(
(
(
(
26100
26100
44916
68526
Gates)
Gates)
Gates)
Gates)
( 1154200 Gates)
2
4
6
8
:
:
:
:
T9V53T8
T9V54
T9V54T6
T9V55T8
42 : T9V67T6
(
(
(
(
26100
44916
44916
68526
Gates)
Gates)
Gates)
Gates)
( 1154200 Gates)
99 : Quit
Number [1-42] > 1
13. これで COMFRQ ファイルの作成に移ります。
プロンプトの順番に従って各項目を入力してください。
******************************************************
* Create a comfrq file section.
*
******************************************************
Please
Please
Please
Please
Please
specify
specify
specify
specify
specify
temperature to use for your design (Celsius scale)
guaranteed years of your design (Year)
a power supply voltage for your design (Volt)
a external clock frequency (MHz)
a internal clock frequency (MHz)
:
:
:
:
:
80.0
10
3.3
100
100
Are you ok? (YES/no) > YES
ここで、「temperature」には平均ジャンクション温度を指定します。
以上で CONFIGURE は終了です。tsb.config ファイルと COMFRQ ファイ
ルが実行ディレクトリに作成されています。
サインオフシステム R1.9.1 コマンドリファレンス 17
.....
CONFIGURE
3
18
CONFIGURE
CONFIGURE の実行方法
サインオフシステム R1.9.1 コマンドリファレンス
DCAL
.....
....................................
4
この章では遅延計算プログラム (DCAL) について以下のことを説明します。
♦ はじめに
♦ 遅延計算の特長
♦ DCAL の特長
♦ 入出力ファイル
♦ DCAL の実行方法
♦ IOPARAM ファイルを使った I/O インタフェースパラメータの指定
♦ NOEAT ファイルを使ったゲートイーティングしないセルの指定
♦ セルグループとネットウエイト
♦ CLKINFO ファイルを使ったクロック遅延の補正
♦ 実行リスティングファイル
♦ 遅延クロスリファレンスファイル
はじめに
..................................................
DCAL は回路内の各セルの伝搬遅延時間を計算し、Open Verilog International
(OVI) 規格に準拠した形式で SDF ファイルを生成します。
DCAL は 2 段階の処理をします。第 1 段階でネットの配線容量を計算し、第
2 段階で回路内の各セルの伝搬遅延時間を計算して、SDF ファイルを作成しま
す。第 1 段階の配線容量の計算処理は他のプログラムと共通であり、*WCAP に
分類されるオプションを使用します。第 2 段階の遅延時間の計算については、
*DCAL に分類されるオプションを使用します。
レイアウト前は、母体サイズ、各ネットのファンアウト、IOPARAM ファイ
ルの設定などをもとに、仮想配線容量を計算します。レイアウト後は、レイア
ウトシステムで生成された配線長ファイル (SEGLEN ファイル ) から実配線長
を読み込みます。
サインオフシステム R1.9.1 コマンドリファレンス 19
4
DCAL
遅延計算の特長
遅延計算の特長
..................................................
製造プロセスの微細化や、ディープサブミクロンレベルの ASIC が登場した
ことにより、シミュレーションにおけるタイミングの精度がより重要になって
います。大規模で複雑な設計における回路修正の繰り返しを最小限にするため
には、設計の早い段階からタイミングを可能な限り正確に見積もる必要があり
ます。そのため、当社のサインオフシステムでは、ライブラリおよび遅延計算
式の精度が大幅に強化されています。当社のサインオフシステムの遅延計算に
おける特長は次の 2 つです。
♦ 状態依存パス遅延
♦ 非線形遅延
以下の項でそれぞれの説明をします。
状態依存パス遅延 (SDPD)
当社のライブラリでは状態依存パス遅延 (SDPD: state-dependent path delays) と
いうモデリング手法を使っています。状態依存パス遅延では、セルのある入力
ピンからある出力ピンへの遅延時間は、そのパスとは直接関係のない他の入力
ピンに依存する形で定義することができます。この特徴を図 4–1 に示します。
入力ピン A の値を「1」とします。このとき入力ピン C が「0」から「1」に
変化するとき、入力ピン B の状態にかかわらず、出力ピン Z は「L」に変化し
ます。図 4–1 に信号の流れを太線で示します。トランジスタレベルの回路図
で、左側は B が「0」の場合、右側は B が「1」の場合を示します。図示したよ
うに、C → Z の遅延時間は B の状態に左右されます。
20
サインオフシステム R1.9.1 コマンドリファレンス
遅延計算の特長
図 4–1 状態依存パス遅延 (SDPD)
[ ゲートレベルの回路図 ]
AO7
A
B
C
Z
TC200G シリーズの場合
負荷 = 5.0 LU
入力スルー = 0.38 ns
[ トランジスタレベルの回路図 ]
A
A
B
B
Z
Z
C
C
A
1
B
0
C
0-1
C-Z 間の遅延時間 : 0.38 ns
A
1
B
1
C
0-1
C-Z 間の遅延時間 : 0.31 ns
非線形遅延モデル
ディープサブミクロンレベルまで微細化されると、ファンアウトに依存する
遅延時間は線形、すなわち一次方程式では正確に近似することができません。
配線による遅延時間への影響が大きくなり、従来の方法では正確にモデル化で
きなくなってきました。そこで、DCAL では、テーブルルックアップと補間に
もとづき、入力波形の傾きを考慮するように改良されています。この特徴を図
4–2 に示します。
サインオフシステム R1.9.1 コマンドリファレンス 21
.....
DCAL
4
DCAL
DCAL の特長
図 4–2 非線形遅延
伝搬遅延時間
補間された中間値
1.0
5.372
5.0
10.0
出力ピンの負荷
30.0
0.01
0.378
0.42
1.00
3.00
入力ピンのスルーレート
この手法は横軸、縦軸にそれぞれ出力ピンの負荷と入力波形の傾きをとった
4 × 4 列のテーブルを使います。出力ピンの負荷はライブラリで定義されている
配線負荷モデルを使って見積もるか、レイアウトからバックアノテートされた
データを使って計算します。入力波形の傾き ( 変化時間 ) は、前段のセルの遅
延時間から決まります。例えば、出力ピンの負荷を 5.372 LU、入力信号の波形
の傾きすなわちスルーレートを 0.378 ns とします。すると、図 4–2 の例では、
出力ピンの負荷はテーブルに記述されているデータのうち 5.0 LU と 10.0 LU と
の間になり、入力信号のスルーレートは、0.01 ns と 0.42 ns の間になります。
このようにして、テーブル上の 4 つの値が決まります。次に、あらかじめ定義
されている係数を使い、これらの 4 点の値を補間することにより遅延時間が求
められます。
この設計手法を使うことで、正確なタイミングを得ることができ、レイアウ
トの繰り返しを減らすことができます。
DCAL の特長
..................................................
DCAL には多くの機能があります。以下にその中でも重要な項目について説
明します。
♦ RC 遅延のバックアノテーション
♦ クロック遅延の見積もり
♦ フロアプランにもとづく遅延計算
22
サインオフシステム R1.9.1 コマンドリファレンス
DCAL の特長
♦ I/O インタフェースパラメータの指定
♦ ゲートイーティング
♦ セルグループとネットウエイト
♦ 遅延クロスリファレンス
RC 遅延のバックアノテーション
レイアウトシステムにより SEGLEN ファイルというファイルが生成されま
す。SEGLEN ファイルには回路中のすべてのネットの実配線長データが記録さ
れています。SEGLEN ファイルには、RC 遅延付きのシミュレーションで必要
なセグメント情報が、通常の配線長とともに書き加えられています。1 本の
ネットは 1 つあるいは複数のセグメント ( 部分 ) から構成されていて、ネット
全体に対して、各セグメントの長さをセグメント長といいます。セグメント長
はアルミ配線層ごとに出力されています。
DCAL は SEGLEN ファイルの情報をもとに RC 遅延を計算することにより、
レイアウトの情報をシミュレーションにバックアノテートします。これにより
レイアウト後のシミュレーションを正確に行うことができます。RC 遅延は、
主にファンアウトの大きいクロックネット上のスキューを見るために計算しま
す。RC 遅延はドライバセルの出力ピンからクロックされるセルの入力ピンま
でのメタル配線長にもとづいて計算されます。計算された RC 遅延は SDF ファ
イルの PORT 文、INTERCONNECT 文で出力されます。
シミュレータ用 SDF ファイル ( 回路名 .sdf または
回路名 .esdf) では、すべて PORT 文で出力されま
す。Design Compiler および PrimeTime 用 SDF
ファイル ( 回路名 .dcsdf) では、複数のドライバセ
ルにつながるネットが INTERCONNECT 文で出力され、そ
れ以外は PORT 文で出力されます。
クロック遅延の見積もり
レイアウトでは、クロック配線などのファンアウトの多いネットのスキュー
を小さくするために、1 段または数段のサブクロックバッファを挿入して、ク
ロックツリーを合成します。そのため、特にファンアウトの多いネットに関し
ては、レイアウト後の遅延と誤差が生じます。図 4–3 にレイアウト時にクロッ
クドライバを挿入する例を示します。
サインオフシステム R1.9.1 コマンドリファレンス 23
.....
DCAL
4
DCAL
DCAL の特長
図 4–3 クロックバッファの挿入
[ レイアウト前 ]
クロックレシーバ
F/F
F/F
クロックバッファ
F/F
F/F
[ レイアウト後 ]
F/F
F/F
元のクロックバッファ
( ルートバッファ)
F/F
F/F
クロック遅延見積もり機能を使うと、DCAL はレイアウトで挿入されるサブ
クロックバッファを想定し、メインバッファとレシーバ間の遅延、クロック配
線の負荷容量、メインバッファによる入力波形の傾きを補正します。この機能
を使うには、クロックの情報を記述した CLKINFO ファイル ( 回路名
.clkinfo) が必要です。ファイルのシンタックスについては 56 ページの
「CLKINFO ファイルを使ったクロック遅延の補正」を参照してください。
フロアプランにもとづく遅延計算
フロアプランとは元来家の間取り図のことで、IC でのフロアプランとは、指
定したセルのグループ群を配置すべき領域のチップ上に間取り図のイメージで
割り付けることです。フロアプラナではさらにセルの領域を移動したり、大き
さを調整したりしながら最適なフロアプランを決定します。フロアプランが決
定したら、個々のセルを自動配置します。その結果、極めて精度の高い配線長
をレイアウト前に見積もることができます。
DCAL はフロアプラナにより生成された SEGLEN ファイルを入力として使う
ことができます。この場合、SEGLEN ファイルのデフォルトの拡張子は
PSEGLEN です。先頭の「P」は placement ( 配置 ) の「P」を表します。
Design Compiler インタフェース
PSEGLEN ファイルを使うことの第 1 の利点は、図 4–4 に示すようにフロア
プランにもとづいて遅延時間を計算し、Synopsys 社の論理合成システムである
Design Compiler に SDF ファイルをバックアノテートできることです。
フロアプラナを使うと、設計の早い段階で正確な配線長を算出できます。通
常、論理合成の質は配線容量の見積もりの正確さに大きく依存します。Design
Compiler ではフロアプランにもとづいた情報をバックアノテートすることで、
フロアプラナインタフェース専用に開発された最適化機能を使って回路を最適
化できます。
24
サインオフシステム R1.9.1 コマンドリファレンス
DCAL の特長
オプションで systemselect=DC を指定して DCAL を実行すると、DCAL
は Design Compiler 形式の SDF ファイルの他に、配線負荷コマンドファイルを
作成します。フロアプランにもとづいた合成の最適化を実行することにより、
正確にタイミングを見積もれるだけでなく、さらに当初のフロアプラン自体を
最適化することもできます。
図 4–4 論理合成システムへのバックアノテーション
Design Compiler
フロアプランに
もとづいた再最適化
Verilog-HDL/VHDL
フロアプラナ
バックアノ
テーション
pseglen
DCAL
dcsdf
配線負荷
コマンド
シミュレータインタフェース
PSEGLEN ファイルを使うことの第 2 の利点は、図 4–5 に示すようにフロア
プラン・配置にもとづいた RC を含む精度の高い遅延情報をレイアウト前にシ
ミュレータ上でのシミュレーションにフォワードアノテートできることです。
これにより従来バックエンド側でなくては確認できなかったタイミングの問題
をフロントエンド側で対処することができます。したがって、従来レイアウト
後に発生したようなタイミングの見積もり誤差による回路の誤動作をなくすこ
とができ、レイアウトに関連する回路の手直し、再シミュレーション、再レイ
アウトの繰り返しを防ぐことができます。
サインオフシステム R1.9.1 コマンドリファレンス 25
.....
DCAL
4
DCAL
DCAL の特長
図 4–5 シミュレーションへのフォワードアノテーション
フロアプラナ
pseglen
DCAL
esdf
フロアプラン・配置に基づいた
RC を含む遅延情報
フォワード
アノテーション
シミュレータ
I/ O インタフェースパラメータの指定
出力ピンに接続されるチップ外部の素子の負荷容量、タイプ (TTL または
CMOS)、および入力ピンのスルーレートをもとに、外部入出力ピンの遅延時間
を計算したい場合、IOPARAM ファイルを作成できます。IOPARAM ファイル
を使わない場合は、デフォルト値、すなわち標準テスタ条件が使われます。標
準テスタ条件は 85pF、TTL、ライブラリで定義されている入力スルーレートで
す。
IOPARAM を使って、IOPARAM ファイルのテンプレートファイル ( 回路名
.ioparam_template) を作成できます。IOPARAM はネットリスト中のすべて
の外部入出力ピンに対して「85pF、TTL、ライブラリ定義のスルーレート」を
使って IOPARAM ファイルを作成します。IOPARAM ファイルは ASCII ファイ
ルであり、テキストエディタで修正できます。IOPARAM ファイルのシンタッ
クスについては 43 ページの「IOPARAM ファイルを使った I/O インタフェース
パラメータの指定」で説明します。
ゲートイーティング
すべての出力ピンが接続されていないマクロセルは冗長とみなされて、
gateeat オプションを OFF にしない限り遅延計算のまえに自動的に削除されま
す。特定のセルを削除したくない場合は、NOEAT ファイルを使うことができ
ます。NOEAT ファイルのシンタックスについては 45 ページの「NOEAT ファ
イルを使ったゲートイーティングしないセルの指定」で説明します。
DVER にも DCAL と同じゲートイーティング機能があります。
26
サインオフシステム R1.9.1 コマンドリファレンス
入出力ファイル
サインオフシミュレーションの実行時には、
gateeat オプションで ON を指定してください。た
だし、部分的な階層レイアウト、タイミングドリ
ブンレイアウトを実行する場合は OFF を指定して
ください。デフォルトは ON です。
セルグループとネットウエイト
レイアウトの配置の段階で、一群のセルを指定した制約に従ってグループに
まとめることができます。これをセルグループといいます。セルグループは指
定した大きさの長方形の中に一群のセルを配置するものです。セルグループの
中のセルは、他のセルとの相対的な配置位置や配置方向は固定されません。ま
た、ネットに重みを割り付けることができます。これをネットウエイトといい
ます。大きいネットウエイトが割り付けられているほど、ネットに接続されて
いるセルは近くに配置され、配線長が短くなります。
セルグループおよびネットウエイトにもとづく配線容量と遅延時間を見積も
りたい場合には、GROUPS ファイル ( 回路名 .groups) を作成します。
GROUPS ファイルのシンタックスについては 46 ページの「セルグループと
ネットウエイト」で説明します。
遅延クロスリファレンス
ファイルのサイズが非常に大きくなる可能性があるので、デフォルトでは、
遅延クロスリファレンスファイル ( 回路名 .edelref または回路名 .delref)
は、作成されません。netrefsw、cellrefsw、timingrefsw オプションを
指定することにより、以下のクロスリファレンスを作成できます。
♦ ネットクロスリファレンス
回路中のすべてのネットに関する情報が配線容量、RC 遅延とともに入り
ます。
♦ パス遅延クロスリファレンス
各セルのパス遅延に関する情報が入力ピンのスルーレート、出力ピンの
負荷容量とともに入ります。
♦ タイミング制約クロスリファレンス
回路中で使われている F/F、ラッチなどのセットアップ・ホールド時間、
最小パルス幅、リカバリ時間が入ります。
入出力ファイル
..................................................
図 4–6 に DCAL の入出力ファイルを示します。DCAL を実行するための必要
最低限の入力ファイルは、TDGS データベースファイルです。TNC、TFO、
TVHDL または NETMOD で作成します。DCAL の出力ファイルで重要なファイ
サインオフシステム R1.9.1 コマンドリファレンス 27
.....
DCAL
4
DCAL
入出力ファイル
ルは、SDF ファイルと実行リスティングファイルです。それ以外の入出力ファ
イルは任意です。これらのファイルは先に説明した DCAL の様々な機能を実行
するために使います。
図 4–6 DCAL の入出力ファイル
TDGS
データベースファイル
任意
tdgs
CTS の定義
clkinfo
noeat
ioparam
配線情報
pseglen
セルのグループ化
フロアプラナで生成
seglen または seglenm
fbxref
groups
レイアウトシステム
または NETMOD
で生成
DCAL
任意
edelref または delref
dcallst
esdf、sdf
または dcsdf
SDF ファイル
実行リスティング
ファイル
ioparam_template
遅延クロスリファレンス I/O パラメータリスト
ファイル
k ファクタのオプション指定
dcwirecap
gk_template
DCAL の入力ファイルは以下のとおりです。
サインオフシステム R1.9.1 コマンドリファレンス
グループリスト
Design Compiler のスクリプト
入力ファイル
28
groups_template
入出力ファイル
♦ 回路名 .tdgs
TDGS データベースファイルです。
Verilog-HDL または VHDL ネットリストは以下の
ことを前提とします。
◆ シンタックスエラーがない。
◆ 制約違反がないかどうか TNC または TVHDL でチェック
してある。
◆ 設計ルール違反がないかどうか DVER でチェックしてあ
る。
♦ 回路名 .ioparam ( 任意 )
このファイルには I/O インタフェースパラメータを記述します。ファイ
ルのシンタックスについては 43 ページの「IOPARAM ファイルを使った
I/O インタフェースパラメータの指定」を参照してください。
♦ 回路名 .noeat ( 任意 )
このファイルには DCAL のゲートイーティング機能で削除したくないセ
ルを列挙します。ファイルのシンタックスについては 45 ページの
「NOEAT ファイルを使ったゲートイーティングしないセルの指定」を参
照してください。
♦ 回路名 .groups ( 任意 )
このファイルにはレイアウト前の遅延計算で使うセルグループとネット
ウエイトの制約を記述します。ファイルのシンタックスについては 46
ページの「セルグループとネットウエイト」を参照してください。
♦ 回路名 .seglenm、回路名 .seglen または 回路名 .pseglen ( 任意 )
配線長ファイルです。SEGLENM ファイルは NETMOD で生成されます。
SEGLEN ファイルはレイアウトシステムから直接出力されるファイルで
す。PSEGLEN ファイルはフロアプラナにより生成されます。SEGLENM
ファイルについては「15章 NETMOD」を参照してください。
PSEGLEN ファイルについては 33 ページの「PSEGLEN ファイル使用上
の注意事項」を参照してください。
レイアウト中にクロックツリーを合成したり、消
費電力の最適化を実行したりして回路が変更され
た場合、DCAL の実行前に NETMOD を実行して、
Verilog-HDL または VHDL 形式のネットリストお
よび SEGLEN ファイルを更新しなければなりません。この
場合、SEGLEN ファイルのデフォルトの拡張子は
「.seglenm」、ファイル名は「回路名 .seglenm」になります。
サインオフシステム R1.9.1 コマンドリファレンス 29
.....
DCAL
4
DCAL
入出力ファイル
♦ 回路名 .fbxref ( 任意 )
当社のレイアウトインタフェースプログラムで生成された代替名の対応
が記述されているファイルです。レイアウトシステムの制約を超える文
字数や文字を使っているネット名やインスタンス名があると、レイアウ
トインタフェースプログラムにより、それらの名前に対して自動的に代
替名が割り付けられます。この場合、レイアウトインタフェースプログ
ラムにより、もとの名前と代替名を対応づけるクロスリファレンスが出
力されます。レイアウト後、このファイルはレイアウトの前後の名前を
一致させるために DCAL に戻されます。NETMOD によって生成された
SEGLENM ファイルを使う場合、このファイルは必要ありません。
♦ 回路名 .clkinfo ( 任意 )
クロックネットの情報が格納されているファイルです。このファイルを
使うことにより、仮想配線長の遅延計算で求めたクロック遅延を補正で
きます。この結果は DCAL によって生成される SDF ファイルに反映され
ます。フォーマットについては 56 ページの「CLKINFO ファイルを使っ
たクロック遅延の補正」を参照してください。
出力ファイル
DCAL の出力ファイルは以下のとおりです。
♦ 回路名 .sdf、回路名 .esdf または 回路名 .dcsdf
SDF ファイルです。ファイル拡張子には SDF ファイルの内容を示す接頭
辞がつきます。
•
sdf ( 接頭辞なし ) 実配線情報すなわち SEGLEN ファイル、
SEGLENM ファイルをもとに遅延情報を計算した
ことを示します。
•
esdf
遅延時間の計算にフロアプラナで生成された
PSEGLEN ファイル、またはライブラリ定義の仮
想配線負荷を使用したことを示します。
•
dcsdf
Design Compiler、PrimeTime が読める形式である
ことを示します。
DCSDF ファイルは、Design Compiler の ECO や IPO 機能を実行するとき
に必要なスクリプトファイルと SDF ファイルを生成する CLKEMU の入
力ファイルになります。
sdfsplit、dcsdfsplit オプションを指定すると、SDF ファイルを複
数に分割して生成できます。最上位モジュールの SDF ファイルの名前が
デフォルトのファイル名です。下位モジュールの SDF ファイルの名前は
「デフォルトのファイル名 _ 数値」です。
30
サインオフシステム R1.9.1 コマンドリファレンス
入出力ファイル
♦ 回路名 .gk_template ( 任意 )
このファイルには gkmax、gkmin、gktyp オプションによる温度と電源
電圧についての計算結果が出力されます。出力された内容はそのまま
tsb.config ファイル中に追加できます。
♦ 回路名 .dcallst ( 任意 )
実行リスティングファイルです。DCAL で出力されたメッセージ、使用
したオプションなどの情報が入ります。また、配線容量や K ファクタの
計算についての情報も入っています。
♦ 回路名 .edelref または 回路名 .delref ( 任意 )
遅延クロスリファレンスファイルです。
•
edelref
遅延時間の計算に PSEGLEN ファイルまたはライ
ブラリ定義の仮想配線負荷が使用されたことを示
します。
•
delref
遅延時間の計算に SEGLEN ファイルまたは
SEGLENM ファイルの実配線負荷が使用されたこ
とを示します。
これらのファイルにはネットクロスリファレンス、パス遅延クロスリ
ファレンス、タイミング制約クロスリファレンスのうち、netrefsw、
cellrefsw、timingrefsw オプションにより指定されたものが出力さ
れます。
♦ 回路名 .dcwirecap ( 任意 )
このファイルには Design Compiler の配線負荷コマンドが入ります。この
ファイルにより Design Compiler 中の仮想配線負荷の値をフロアプランを
もとにした、より正確な値に置き換えることができます。このファイル
は Design Compiler のコマンドスクリプトとして「include」できます。
また、レイアウト後は、Design Compiler 用のスクリプトファイルを生成
する CLKEMU の入力ファイルになります。
♦ 回路名 .ioparam_template ( 任意 )
入力 IOPARAM ファイル中の指定を含むすべての入出力ピンを列挙した
IOPARAM_TEMPLATE ファイルです。このファイルは
ioparam_templatesw オプションで ON を指定したときのみ作成されま
す。
♦ 回路名 .groups_template ( 任意 )
セルグループとネットウエイトを列挙した出力 GROUPS ファイルです。
ユーザーが作成した入力 GROUPS ファイルの指定内容が記述されていま
す。ユーザーの参照用です。
サインオフシステム R1.9.1 コマンドリファレンス 31
.....
DCAL
4
DCAL
DCAL の実行方法
DCAL の実行方法
..................................................
この項では DCAL のシンタックスとオプションについて説明します。
遅延条件の選択方法
♦ 電源電圧および温度条件を遅延計算に反映させるには、DCAL の
condition オプションを使います。以下のオプションから選択します。
condition=COM
condition=IND
condition=MIL
( 民生用条件、デフォルト )
( 産業用条件 )
( 特殊用条件 )
♦ 上記の民生用、産業用、特殊用以外の電源電圧、温度における遅延時間
を計算したい場合があります。kf_temp オプション、kf_volt オプ
ションを使ってそれぞれ温度、電源電圧を指定できます。これらのオプ
ションを指定して DCAL を実行すると、すべてのセルグループに対して
最小、標準、最大の K ファクタが自動計算されます。実行結果は実行リ
スティングファイルに出力されます。以下に kf_temp オプションと
kf_volt オプションのシンタックスを示します。
kf_temp = 最小温度 : 標準温度 : 最大温度
kf_volt = 最小電源電圧 : 標準電源電圧 : 最大電源電圧 , ...
kf_temp オプションには最小、標準、最大温度を 1 組指定します。
kf_volt オプションには最小、標準、最大電源電圧を指定します。カン
マで区切って複数の組を指定できます。例えば、2 電源のテクノロジー
に対しては、メイン電圧とサブ電圧の 2 組を指定します。各組の記述順
序は自由です。
kf_temp オプション、kf_volt オプションを指定したときは、後述する
gkxxx オプション、kxxx オプションを指定できません。
以下に指定例を示します。
kf_temp = 0:25:75
kf_volt = 2.3:2.5:2.7,3.0:3.3:3.6
指定できる温度および電源電圧の最小値、最大値、
標準値はテクノロジーごとに決められています。
詳しくは当社 ASIC デザインセンターにお問い合
わせください。
♦ kf_temp オプション、kf_volt オプションの他に、gkmin、gkmax、
kmin、kmax オプションを使って指定できます。
マクロセルは、セルの種類 ( 内部セル、I/O セルなど ) によりいくつかの
グループに分類され、グループごとに異なる K ファクタが与えられてい
ます。gkmin、gkmax オプションはグループごとに任意の K ファクタを
指定することができます。たとえば、5 V I/O セルの K ファクタを最小
0.5、最大 2.0 としたい場合は、以下のように指定します。
32
サインオフシステム R1.9.1 コマンドリファレンス
DCAL の実行方法
gkmin = IO5:0.5
gkmax = IO5:2.0
ここで、IO5 とはグループに割り当てられている識別名です。セルの分
類や識別名はテクノロジーにより異なります。
kmin、kmax オプションはグループに関係なくすべての K ファクタにかけ
る係数を指定します。kmin、kmax オプションで指定する値は、K ファ
クタそのものでないことに注意してください。例えば、ライブラリ中に
登録されている内部セルの最大 K ファクタが 1.74、I/O セルの最大 K
ファクタが 1.84 のとき、kmax=1.5 と指定するとそれぞれの K ファクタ
は以下のようになります。
内部セルの最大 K ファクタ = 1.74 × 1.5 = 2.61
I/O セルの最大 K ファクタ = 1.84 × 1.5 = 2.76
kxxx オプションと gkxxx オプションの両方を同時に指定できます。この
場合、K ファクタは以下のように計算します。
ライブラリの定義 × kxxx × gkxxx
さらに、DCAL は標準遅延を計算するためのオプションとして、gktyp
オプション、ktyp オプションを指定できます。gktyp オプションはセ
ルグループに対して、ktyp オプションはすべてのセルに対して適用さ
れます。デフォルトは両オプション共に 1 です。
gktyp、gkmin、gkmax、ktyp、kmin、kmax オプ
ションの設定はデザインセンターの指示に従って
ください。
♦ RC 遅延には K ファクタは掛けられません。また、ライブラリの時間精度
よりも小さい RC 遅延は 0 に丸められるため、シミュレータ用の SDF
ファイルには出力されません。
♦ DCAL で生成される SDF ファイルには遅延時間 (RC 遅延を除く )、タイミ
ング制約について最小、標準、最大の 3 値が入ります。
PSEGLEN ファイル使用上の注意事項
SDF ファイルを生成するときに、フロアプランで生成された PSEGLEN ファ
イルを使う場合は、以下の点に注意してください。
♦ 入力として PSEGLEN ファイルを使うには seglenselect オプションで
PSEGLEN を指定してください。ただし、実行ディレクトリ内に
SEGLENM ファイル、SEGLEN ファイルがない場合は、seglenselect
オプションで PSEGLEN を指定しなくても PSEGLEN ファイルが読み込ま
れます。
♦ PSEGLEN ファイルの名前は pseglen、pseglendir、pseglenext オ
プションで指定できます。デフォルトのファイル名は「回路名
.pseglen」です。
サインオフシステム R1.9.1 コマンドリファレンス 33
.....
DCAL
4
DCAL
DCAL の実行方法
♦ Design Compiler が読み込める形式で SDF ファイルを生成するには
systemselect オプションで DC を指定してください。
DCAL の起動方法
DCAL を実行するには、UNIX のシェルプロンプトで以下のコマンドを入力
します。
dcal オプション ...
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *DCAL 部分にも記述できます。これらのオプションの説明につい
ては「付録 A コンフィグレーションファイル」を参照してください。
simulator =
[VERILOG|VCS|GEMINI|MODELSIM|LEAPFROG|VSS|VOYAGER]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
design = 回路名
toplevel = [CHIP|FUNCTION]
libdir = パス名 :...
masterfile = ファイル名
gateeat = [ON|OFF]
{noeat|noeatdir|noeatext} = ファイル名
{tdgs|tdgsdir|tdgsext} = ファイル名
paragraph = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------msout = [ON|OFF]
*WCAP に分類されるオプション
以下は配線容量の計算で使用するオプションであり、tsb.config ファイル
の *WCAP 部分に記述できます。
{ioparam|ioparamdir|ioparamext} = ファイル名
{groups|groupsdir|groupsext} = ファイル名
seglenselect = [DEFAULT|SEGLENM|SEGLEN|PSEGLEN|NO]
{seglenm|seglenmdir|seglenmext} = ファイル名
34
サインオフシステム R1.9.1 コマンドリファレンス
DCAL の実行方法
{seglen|seglendir|seglenext} = ファイル名
{pseglen|pseglendir|pseglenext} = ファイル名
{fbxref|fbxrefdir|fbxrefext} = ファイル名
ioparam_templatesw = [ON|OFF]
{ioparam_template|ioparam_templatedir|ioparam_templateext}
= ファイル名
groups_templatesw = [ON|OFF]
{groups_template|groups_templatedir|groups_templateext}
= ファイル名
{clkinfo|clkinfodir|clkinfoext} = ファイル名
*DCAL に分類されるオプション
以下は DCAL で使用するオプションであり、tsb.config ファイルの
*DCAL 部分に記述できます。
condition = [COM|IND|MIL]
ktyp = 倍数
kmin = 倍数
kmax = 倍数
gktyp = グループ名 :K ファクタ ,...
gkmin = グループ名 :K ファクタ ,...
gkmax = グループ名 :K ファクタ ,...
{gk_template|gk_templatedir|gk_templateext} = ファイル名
kf_temp = 最小温度 : 標準温度 : 最大温度
kf_volt = 最小電源電圧 : 標準電源電圧 : 最大電源電圧 ,...
sdfversion = [1.0|2.1]
{sdf|sdfdir|sdfext} = ファイル名
{esdf|esdfdir|esdfext} = ファイル名
netrefsw = [ON|OFF]
cellrefsw = [ON|OFF]
timingrefsw = [ON|OFF]
{delref|delrefdir|delrefext} = ファイル名
{edelref|edelrefdir|edelrefext} = ファイル名
refformsw = [ON|OFF]
refallitem = [ON|OFF]
{list|listdir|listext} = ファイル名
sdfsw = [ON|OFF]
allpathsw = [ON|OFF]
sdfsplit = [{MODULE|INSTANCE} 識別名 1 識別名 2...|NO]
pathpulsesw = [ON|OFF]
Design Compiler および PrimeTime 用のオプション
以下は Design Compiler および PrimeTime 用の出力ファイルを作成するのに使
用するオプションであり、tsb.config ファイルの *DCAL 部分に記述できま
す。
サインオフシステム R1.9.1 コマンドリファレンス 35
.....
DCAL
4
DCAL
DCAL の実行方法
systemselect = [VSO|DC]
{dcsdf|dcsdfdir|dcsdfext} = ファイル名
{dcwirecap|dcwirecapdir|dcwirecapext} = ファイル名
dcsdfsw = [ON|OFF]
dcsdfcommentsw = [ON|OFF]
dcsdfdependsw = [ON|OFF]
dcsdftrioutsw = [ON|OFF|DC]
dcsdfedgesw = [ON|OFF]
dcallpathsw = [ON|OFF]
dcsdfportsw = [ON|OFF]
dctimingstruct = [HIER|FLAT]
dcsdfsplit = [{MODULE|INSTANCE} 識別名 1 識別名 2...|NO]
オプション
以下に *WCAP に分類されるオプション、*DCAL に分類されるオプションに
ついて説明します。
*WCAP に分類されるオプション
{ioparam|ioparamdir|ioparamext} = ファイル名
DCAL で使用する I/O パラメータファイルの名前を指定しま
す。
{groups|groupsdir|groupsext} = ファイル名
DCAL で使用するグループファイルの名前を指定します。
seglenselect = [DEFAULT|SEGLENM|SEGLEN|PSEGLEN|NO]
SEGLENM ファイル、SEGLEN ファイル、PSEGLEN ファイ
ルの優先順位を以下のように指定します。
DEFAULT
SEGLENM
SEGLEN
PSEGLEN
NO
SEGLENM ファイル、SEGLEN ファイル、
PSEGLEN ファイルのうち 2 つまたはすべてが
ある場合、優先順位を SEGLENM ファイル、
SEGLEN ファイル、PSEGLEN ファイルとしま
す。
SEGLENM ファイルを読み込みます。
SEGLEN ファイルを読み込みます。
PSEGLEN ファイルを読み込みます。
すべての配線長ファイルを読み込みません。
{seglenm|seglenmdir|seglenmext} = ファイル名
DCAL で使用する SEGLENM ファイルの名前を指定します。
{seglen|seglendir|seglenext} = ファイル名
DCAL で使用する SEGLEN ファイルの名前を指定します。
{pseglen|pseglendir|pseglenext} = ファイル名
DCAL で使用する PSEGLEN ファイルの名前を指定します。
36
サインオフシステム R1.9.1 コマンドリファレンス
DCAL の実行方法
{fbxref|fbxrefdir|fbxrefext} = ファイル名
DCAL で使用する FBXREF ファイルの名前を指定します。
ioparam_templatesw = [ON|OFF]
ON を指定すると IOPARAM_TEMPLATE ファイルを作成しま
す。
{ioparam_template|ioparam_templatedir|ioparam_templateext}
= ファイル名
DCAL により作成される IOPARAM_TEMPLATE ファイルの
名前を指定します。このオプションは
ioparam_templatesw オプションで ON を指定したときの
み有効です。
groups_templatesw = [ON|OFF]
ON を指定すると GROUPS_TEMPLATE ファイルを作成しま
す。
{groups_template|groups_templatedir|groups_templateext}
= ファイル名
DCAL により作成される GROUPS_TEMPLATE ファイルの
名前を指定します。
{clkinfo|clkinfodir|clkinfoext} = ファイル名
DCAL で使用する CLKINFO ファイルの名前を指定します。
*DCAL に分類されるオプション
condition = [COM|IND|MIL]
電源電圧と温度を表す遅延条件を指定します。デフォルト
は COM ( 民生用 ) です。
ktyp = 倍数
標準 K ファクタにかける係数を指定します。0 以上の実数
または整数を指定します。
kmin = 倍数
最小 K ファクタにかける係数を指定します。0 以上の実数
または整数を指定します。
kmax = 倍数
最大 K ファクタにかける係数を指定します。0 以上の実数
または整数を指定します。
gktyp = グループ名 :K ファクタ ,...
各セルグループに対する標準 K ファクタを指定します。0 ≤
K ファクタ ≤ 1 の範囲で指定します。複数のグループを指定
する場合はカンマで区切ります。セルグループ名および K
ファクタの値については当社 ASIC デザインセンターにお問
い合わせください。
サインオフシステム R1.9.1 コマンドリファレンス 37
.....
DCAL
4
DCAL
DCAL の実行方法
gkmin = グループ名 :K ファクタ ,...
各セルグループに対する最小 K ファクタを指定します。0 ≤
K ファクタ ≤ 1 の範囲で指定します。複数のグループを指定
する場合はカンマで区切ります。セルグループ名および K
ファクタの値については当社 ASIC デザインセンターにお問
い合わせください。
gkmax = グループ名 :K ファクタ ,...
各セルグループに対する最大 K ファクタを指定します。1
以上の実数で指定します。複数のグループを指定する場合
はカンマで区切ります。セルグループ名および K ファクタ
の値については当社 ASIC デザインセンターにお問い合わせ
ください。
{gk_template|gk_templatedir|gk_templateext} = ファイル名
DCAL により生成される GK_TEMPLATE ファイルの名前を
指定します。
kf_temp = 最小温度 : 標準温度 : 最大温度
K ファクタを計算するための温度の最小値、標準値、最大
値をそれぞれ指定します。結果は実行リスティングファイ
ル ( 回路名 .dcallst) に出力されます。このオプションの
使用については当社 ASIC デザインセンターにお問い合わせ
ください。
kf_volt = 最小電源電圧 : 標準電源電圧 : 最大電源電圧 ,...
K ファクタを計算するための電源電圧の最小値、標準値、
最大値をそれぞれ指定します。複数の組み合わせについて
指定できます。結果は実行リスティングファイル ( 回路名
.dcallst) に出力されます。このオプションの使用につい
ては当社 ASIC デザインセンターにお問い合わせください。
sdfversion = [1.0|2.1]
OVI の SDF 規格のバージョンを指定します。
{sdf|sdfdir|sdfext} = ファイル名
DCAL で作成されるレイアウト後 ( 実配線長遅延時間 ) の
SDF ファイルの名前を指定します。
{esdf|esdfdir|esdfext} = ファイル名
DCAL で作成されるレイアウト前 ( 仮想配線長遅延時間 ) の
SDF ファイルの名前を指定します。
netrefsw = [ON|OFF]
遅延クロスリファレンスファイル ( 回路名 .edelref また
は回路名 .delref) に RC 遅延部分を出力するかどうか指定
します。
38
サインオフシステム R1.9.1 コマンドリファレンス
DCAL の実行方法
cellrefsw = [ON|OFF]
遅延クロスリファレンスファイル ( 回路名 .edelref また
は回路名 .delref) にパス遅延の部分を出力するかどうか
を指定します。
timingrefsw = [ON|OFF]
遅延クロスリファレンスファイル ( 回路名 .edelref また
は回路名 .delref) にタイミング制約の部分を出力するか
どうかを指定します。
{delref|delrefdir|delrefext} = ファイル名
DCAL により作成される DELREF ファイルの名前を指定し
ます。
{edelref|edelrefdir|edelrefext} = ファイル名
DCAL により作成される EDELREF ファイルの名前を指定し
ます。
refformsw = [ON|OFF]
遅延クロスリファレンスの各欄の先頭を左揃えに整形する
かどうかを指定します。
refallitem = [ON|OFF]
遅延クロスリファレンスにすべての項目を出力するかどう
か指定します。OFF を指定すると、遅延クロスリファレン
スには SDF ファイルに書き込まれる項目しか出力されませ
ん。
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。
sdfsw = [ON|OFF]
SDF ファイル ( 回路名 .sdf または回路名 .esdf) を出力す
るかどうか指定します。OFF を指定すると、SDF ファイル
は出力されません。
allpathsw = [ON|OFF]
LDDL2 ライブラリ中で、sdpd 遅延と disdel 遅延の両方
が与えられているセルに対して、どちらを使用するかを制
御します。
ON
OFF
sdpd 遅延を使います。sdpd 遅延がないパスは、SDF
ファイルに出力されません。
disdel 遅延を使います。disdel 遅延がないパスは、
sdpd 遅延を使います。
このオプションは、シミュレータ用 SDF ファイル
のみ有効です。Design Compiler および PrimeTime
用 SDF ファイルは、dcallpathsw オプションで制
御してください。
サインオフシステム R1.9.1 コマンドリファレンス 39
.....
DCAL
4
DCAL
DCAL の実行方法
sdfsplit = [{MODULE|INSTANCE} 識別名 1 識別名 2...|NO]
このオプションを指定することにより、シミュレータ用
SDF ファイルを階層ごとに分割生成できます。識別名は分
割する階層を指定します。キーワード MODULE の後にはモ
ジュール名を、INSTANCE の後にはモジュールインスタン
ス名を指定してください。キーワードはどちらか一方しか
指定できません。
SDF ファイルは、1 つの識別名に対して 1 ファイルずつ分割
して作成されます。分割された SDF ファイルの名前は、通
常のファイル名の後ろに「_ 数値」が付加された名前になり
ます。モジュール名を指定したときは、そのモジュールに
含まれるすべてのセルインスタンス名およびネットの記述
が、分割された SDF ファイルに出力されます。インスタン
ス名を指定したときは、そのインスタンスの下位階層の全
セルインスタンスおよびネットの記述が、分割された SDF
ファイルに出力されます。
以下に指定例と作成される SDF ファイルの関係を示します。
DESIGN
inst2
inst1
B
SUB1
A
SUB1
inst3
C
SUB2
D
sdfsplit = MODULE SUB1 SUB2
DESIGN.sdf
D
DESIGN.sdf_1
A + B
DESIGN.sdf_2
C
sdfsplit = INSTANCE inst1 inst2
D + C
DESIGN.sdf
DESIGN.sdf_1
A
DESIGN.sdf_2
B
INTERCONNECT 文のネットが分割指定した階層
と他の階層にまたがっている場合、正しく分割で
きません。
このオプションはシミュレータ用 SDF ファイルの
み有効です。Design Compiler および PrimeTime
用 SDF ファイルは、dcsdfsplit オプションで制御
してください。
pathpulsesw = [ON|OFF]
シミュレータ用 SDF ファイル中に PATHPULSE 文を出力す
るかどうかを制御します。このオプションは DCSDF ファイ
ルには影響しません。DCSDF ファイル中には、PATHPULSE
文は出力されません。
Design Compiler および PrimeTime 用のオプション
systemselect = [VSO|DC]
DC を指定すると、Design Compiler および PrimeTime 用の SDF
ファイルを作成します。このとき以下のオプションが自動
40
サインオフシステム R1.9.1 コマンドリファレンス
DCAL の実行方法
的に設定されます。V3.3 以前の Design Compiler を使うとき
は、systemselect オプションの他に dcsdfcommentsw
オプション、dcsdfedgesw オプションでそれぞれ OFF を
指定してください。
dcsdfsw = ON
dcsdfcommentsw = ON
dcsdfdependsw = OFF
dcsdftrioutsw = DC
dcsdfedgesw = ON
dcallpathsw = ON
dcsdfportsw = OFF
dctimingstruct = FLAT
{dcsdf|dcsdfdir|dcsdfext} = ファイル名
Design Compiler 用、PrimeTime 用の SDF ファイル名を指定
します。
{dcwirecap|dcwirecapdir|dcwirecapext} = ファイル名
Design Compiler 用の DCWIRECAP ファイルの名前を指定し
ます。
dcsdfsw = [ON|OFF]
ON を指定すると、DCSDF ファイルを作成します。
dcsdfcommentsw = [ON|OFF]
ON を指定すると、DCSDF ファイルにコメントを出力しま
す。
dcsdfdependsw = [ON|OFF]
OFF を指定すると、DCSDF ファイル中の負荷に依存するパ
スの遅延を Design Compiler 形式で出力します。
dcsdftrioutsw = [ON|OFF|DC]
DC を指定すると、DCSDF ファイル中の 3 ステートドライバ
の遅延を Design Compiler 形式で出力します。
dcsdfedgesw = [ON|OFF]
ON を指定すると、DCSDF ファイル中のタイミングチェック
文をエッジ記述で出力します。
dcallpathsw = [ON|OFF]
sdpd 遅延 ( 状態依存パス遅延 ) と disdel 遅延 ( ディストリ
ビュート遅延 ) が混在しているセルに対して、どちらを優先
するかを指定します。ON を指定すると sdpd が使われます。
sdpd 遅延が定義されないセルについては遅延が計算されず
ワーニングメッセージが出力されます。Design Compiler、
PrimeTime を使うときは、かならず ON を指定してくださ
い。
サインオフシステム R1.9.1 コマンドリファレンス 41
.....
DCAL
4
DCAL
DCAL の実行方法
dcsdfportsw = [ON|OFF]
RC 遅延を SDF ファイルにどのように記述するかを指定し
ます。
ON
OFF
PORT 文のみ使用します。
ソースが 1 つのネットでは PORT 文を使います。ソース
が複数のネットでは INTERCONNECT 文を使います。
dctimingstruct = [HIER|FLAT]
タイミングチェックセルの階層構造を選択します。かなら
ず FLAT を指定してください。
dcsdfsplit = [{MODULE|INSTANCE} 識別名 1 識別名 2...|NO]
このオプションを指定することにより、DCSDF ファイルを
分割生成できます。シミュレータ用 SDF ファイルを分割生
成する sdfsplit オプションと同じ機能です。
実行例
以下に DCAL の実行例を示します。
♦ 次のコマンドは最も簡単な起動方法です。実行ディレクトリにある「回
路名 .tdgs」という名前のファイルが TDGS データベースファイルとし
て自動的に使われます。
dcal
♦ 次のコマンドは入力ファイルとして使う TDGS データベースファイルが
存在するディレクトリを指定します。TDGS データベースファイルの名
前がデフォルトと違うか、別のディレクトリにある場合は、tdgs、
tdgsdir または tdgsext オプションを使って指定します。
dcal tdgsdir=./tdgs
♦ 次のコマンドはグループSTANDARDに属する内部セルの最小Kファクタを
0.5、最大 K ファクタを 2.0 で遅延時間を計算します。
dcal gkmin=STANDARD:0.5 gkmax=STANDARD:2.0
♦ 次のコマンドは SEGLENM ファイルの実配線長情報を使用して遅延時間
を計算し、ネットクロスリファレンスを作成します。
dcal seglenselect=SEGLENM netrefsw=ON
♦ 次のコマンドは Design Compiler 用 ( と PrimeTime 用 ) の SDF ファイル、配線
負荷コマンドファイルを作成します。
dcal systemselect=DC
42
サインオフシステム R1.9.1 コマンドリファレンス
IOPARAM ファイルを使った I/O インタフェースパラメータの指定
IOPARAM ファイルを使った I/O インタフェースパラメータの指定
..................................................
IOPARAM ファイルには外部入出力バッファについて、以下に示す 3 種類の
パラメータを記述できます。
♦ 出力バッファに接続されるチップ外部の素子の負荷容量
♦ 駆動する素子タイプ (CMOS または TTL)
♦ 入力バッファのスルーレート、すなわち信号が「0」から「1」にまたは
その逆にスイッチングするのにかかる時間
DCAL は、外部入出力バッファの遅延時間を計算するのに、IOPARAM ファ
イルに記述されているデータを使用します。IOPARAM ファイルに記述されて
いない入出力バッファについては、標準テスタ条件であるデフォルトのパラ
メータ (85pF、TTL、ライブラリ定義の入力スルーレート ) が使われます。デ
フォルトの入力スルーレートはテクノロジーにより決まっています。
テスタ用のテストパターンのシミュレーションを
実行する場合は、IOPARAM ファイルを使わないで
ください。つまり、回路の検証には標準テスタ条
件を使ってください。
IOPARAM ファイルのデフォルトのファイル名は「回路名 .ioparam」です。
他の名前を使いたいときは、ioparam、ioparamdir、ioparamext オプ
ションでファイル名を指定できます。
キーボード入力の手間を省くために、IOPARAM を使って IOPARAM ファイ
ルのテンプレートを作成できます。IOPARAM は、TNC、TFO または TVHDL
で生成した TDGS データベースファイルをもとに IOPARAM ファイルを作成し
ます。この場合、IOPARAM は、すべての入出力バッファに対してデフォルト
のパラメータを使います。IOPARAM ファイルは ASCII ファイルなので、テキ
ストエディタで修正してください。
IOPARAM ファイルにおけるシンタックスを以下に示します。
♦ 入力バッファ
ピン名 IN 入力立ち上がり時間 入力立ち下がり時間
♦ 出力バッファ
ピン名 OUT 出力ピン容量 {TTL|CMOS|INT}
♦ 双方向バッファ
ピン名 IO 出力ピン容量 {TTL|CMOS|INT} 入力立ち上がり時間
入力立ち下がり時間
サインオフシステム R1.9.1 コマンドリファレンス 43
.....
DCAL
4
DCAL
IOPARAM ファイルを使った I/O インタフェースパラメータの指定
図 4–7 に、VSO/VCSSO/GEMINISO の場合 ( 階層区切り文字が「.」) の、
IOPARAM ファイルの例を示します。行頭の「#」は、その行の終わりまでコメ
ントであることを示します。
図 4–7 IOPARAM ファイルの例
# Sample ioparam file
.D[7:0]
IO
30.0
.CO
OUT
45.0
.EQ
OUT
60.0
.A[1:0]
IN
.CI
IN
.CLR
IN
.CSN
IN
.RDN
IN
.WRN
IN
1)
2)
3)
CMOS
CMOS
CMOS
4)
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
5)
1.0
1.0
1.0
1.0
1.0
1.0
6)
以下の番号はファイル中の番号と対応しています。
1. 外部入出力ピン名。すべての識別名の先頭に階層区切り文字がつきます。
ただし、IOPARAM ファイルでは階層区切り文字は省略できます。バスピン
では、各ビットを指定するのに以下の 3 つのシンタックスが許されます。
a) 完全展開
[VSO/VCSSO/GEMINISO の場合 ]
.A[0]
.A[1]
.A[2]
.A[3]
OUT
OUT
OUT
OUT
35
35
35
35
TTL
TTL
TTL
TTL
[VITALSO/VOYSO の場合 ]
/A(0)
/A(1)
/A(2)
/A(3)
OUT
OUT
OUT
OUT
35
35
35
35
TTL
TTL
TTL
TTL
b) 部分展開
[VSO/VCSSO/GEMINISO の場合 ]
.A[0:1] OUT 35 TTL
.A[2:3] OUT 35 TTL
[VITALSO/VOYSO の場合 ]
/A(0 to 1)
/A(2 to 3)
44
サインオフシステム R1.9.1 コマンドリファレンス
OUT 35 TTL
OUT 35 TTL
NOEAT ファイルを使ったゲートイーティングしないセルの指定
c) ビット省略
[VSO/VCSSO/GEMINISO の場合 ]
.A
OUT 35 TTL
[VITALSO/VOYSO の場合 ]
/A
OUT 35 TTL
2. ピンタイプ (IN、OUT、または IO)
3. 出力ピンまたは双方向ピンの負荷容量を整数か実数で指定します。駆動す
るチップ外部の素子のタイプが CMOS、TTL の場合、pF 単位とみなされま
す。駆動する素子のタイプが INT の場合、ライブラリの負荷容量単位とみ
なされます。テクノロジーが TC240 シリーズ以降の場合は、fF ( フェムト
ファラッド ) 単位、それ以外は LU 単位です。
4. 駆動する素子のタイプを指定します。以下のタイプを指定できます。
CMOS
TTL
INT
外部の素子のタイプは CMOS
外部の素子のタイプは TTL
駆動されるデバイスは内部ゲート。回路が未完成で、I/O バッファ
をまだつけていない場合に指定します。
5. 入力バッファの立ち上がりのスルーレートを ns 単位の整数または実数で指
定します。
6. 入力バッファの立ち下がりのスルーレートを ns 単位の整数または実数で指
定します。
NOEAT ファイルを使ったゲートイーティングしないセルの指定
..................................................
DCAL のゲートイーティング機能により、すべての出力ピンが接続されてい
ないマクロセルはデフォルトで削除されます。特定のセルを削除したくない場
合は NOEAT ファイルを作成します。
NOEAT ファイルのデフォルトのファイル名は「回路名 .noeat」です。他の
名前を使いたいときは、noeat、noeatdir、または noeatext オプションで
ファイル名を指定できます。
図 4–8、図 4–9 に、NOEAT ファイルの例を示します。
図 4–8 NOEAT ファイルの例 (VSO/VCSSO/GEMINISO の場合 )
.TOPM.SUB1.INS1
.TOPM.SUB1.INS2
.TOPM.SUB3.INS12
サインオフシステム R1.9.1 コマンドリファレンス 45
.....
DCAL
4
DCAL
セルグループとネットウエイト
図 4–9 NOEAT ファイルの例 (VITALSO/VOYSO の場合 )
/TOPM/SUB1/INS1
/TOPM/SUB1/INS2
/TOPM/SUB3/INS12
NOEAT ファイルでは 1 行は 1,024 文字に制限されています。セル名は当社の
識別名のルールに注意してフルパス名で指定します。詳細については当社発行
の各サインオフシステムのユーザーガイドを参照してください。
図 4–10 のような回路があるとします。この回路で C のセルの出力ピンが接
続されていません。C のセルを削除すると、B のセルがゲートイーティングの
対象になります。B のセルを削除すると、今度は A のセルの出力ピンが未接続
になり、ゲートイーティングの対象になります。しかし、NOEAT ファイルで
C のセルを指定すると、C のセルは削除されず、その結果 B と A も削除されず
に残ります。
図 4–10 ゲートイーティング
A
C
B
セルグループとネットウエイト
..................................................
セルグループとネットウエイトにもとづいて遅延時間を見積もる場合は、
GROUPS ファイルを作成します。デフォルトのファイル名は「回路名
.groups」です。他の名前を使いたいときは、groups、groupsdir、
groupsext オプションでファイル名を指定できます。
レイアウトの配置の段階で、セルグループのセルは制約にもとづき近接して
配置されます。ただし、セルとセルの相対的な位置は固定されません。
GROUPS ファイル
GROUPS ファイルには以下の 3 種類の情報を記述することができます。
46
♦ REGION 文
グループに対して、チップ上の長方形領域を最大、最
小座標で指定します。
♦ SOFTGROUP 文
領域を長方形の横軸、縦軸の最大長およびそれらの和
の最大長により指定します。領域のチップ上の位置は
指定しません。
サインオフシステム R1.9.1 コマンドリファレンス
セルグループとネットウエイト
♦ NETWEIGHT 文
ネットに対して、ネットウエイトを指定します。
使用するレイアウトシステムによって、指定でき
る内容が異なりますので、GROUPS ファイルを作
成する場合は、当社 ASIC デザインセンターにお
問い合わせください。
ファイルのシンタックス
GROUPS ファイルのシンタックスは以下のとおりです。
キーワード グループ記述 ;
キーワード グループ記述 ;
...
END;
キーワードは REGION、SOFTGROUP または NETWEIGHT です。ファイルの最
終行は END; とします。レイアウトシステムへの入力として使うグループファ
イルには、PATH、SPECIALNET、PLACED、FLOORPLAN 文を記述できますが、
DCAL では無視されます。
GROUPS ファイルのシンタックスは自由です。空白が許されているところな
ら、任意の数の空白、タブ、改行を挿入できます。
REGION 文
REGION 文は長方形の対角線上の 2 点の座標によりセルグループの領域 ( リー
ジョン ) を指定します。グループファイルの REGION 文のシンタックスは以下
のとおりです。
REGION グループ名 [ リージョン ]: インスタンス ;
グループ名
リージョン
インスタンス
セルグループを識別するための名前を指定します。
グループが配置されるリージョンを指定します。リージョン
の指定は任意です。カッコは入力しないでください。
グループ指定するセルのインスタンス名をカンマで区切っ
て指定します。
♦ リージョン
リージョンは以下のように指定します。
X1= 最小座標 , Y1= 最小座標 , X2= 最大座標 , Y2= 最大座標
または
X1=, Y1=, X2=, Y2=
ここで X1 と X2 はそれぞれ最小 X 座標と最大 X 座標を指定します。Y1
と Y2 はそれぞれ最小 Y 座標と最大 Y 座標を指定します。単位は µm で
す。X1、X2、Y1、Y2 の記述順序は自由です。座標の引数を指定しない
サインオフシステム R1.9.1 コマンドリファレンス 47
.....
DCAL
4
DCAL
セルグループとネットウエイト
場合、テクノロジーごとのライブラリ定義にもとづいて自動的に計算さ
れます。
♦ インスタンスの指定
あるリージョンに配置したいセルのインスタンス名を指定します。最上
位モジュールのインスタンス名を除いた形で、セルの階層的インスタン
ス名 ( フルパス名 ) で指定してください。各インスタンス名はカンマで
区切ります。以下に例を示します。
[VSO/VCSSO/GEMINISO の場合 ]
.M001.S001.M1,
.M001.S001.M2,
.M001.S001.M3
[VITALSO/VOYSO の場合 ]
/M001/S001/M1,
/M001/S001/M2,
/M001/S001/M3
あるブロックの下のすべてのインスタンスを指定するには、以下のよう
にワイルドカード「*」を使います。また、カンマで区切って複数記述
することもできます。
[VSO/VCSSO/GEMINISO の場合 ]
インスタンス名 .*
インスタンス名 .*, インスタンス名 .*
[VITALSO/VOYSO の場合 ]
インスタンス名 /*
インスタンス名 /*, インスタンス名 /*
♦ エスケープ記号 (VSO/VCSSO/GEMINISO のみ )
インスタンス名に特殊文字が含まれている場合、バックスラッシュ ( \ )
を使ってエスケープします。最下位階層の名前をエスケープしたときは、
名前の最後に空白を入れてください。2 つの例を以下に示します。
.il.mod1,.\il$.mod2,.il.\mod3$,.il.mod4
この例では、「.il.\mod3$」と「.il.mod4」の間のカンマが区切り文
字ではなく、識別名の一部と解釈されます。
.il.mod1,.\il$.mod2,.il.\mod3$ ,.il.mod4
この例では、3 番目のインスタンス名のあとに空白があるため、そこで
インスタンス名が終了していると解釈されます。
♦ 記述例
以下に、REGION 文のさまざまな記述例を示します。
48
サインオフシステム R1.9.1 コマンドリファレンス
セルグループとネットウエイト
図 4–11 GROUPS ファイルの REGION 文の例 (VSO/VCSSO/GEMINISO の場合 )
REGION GRP1
X1=100,Y1=100,X2=150,Y2=150
:
.M001.S001.M1,
.M001.S001.M2,
.M001.S002.*,
.M001.S003.*
;
REGION GPR2
X1=,Y1=,X2=,Y2=
:
.M002.S001.*, .M002.S002.*
;
REGION GPR3
:
.M003.S001.M1, .M003.S001.M2, M002.S001.M3
;
END;
図 4–12 GROUPS ファイルの REGION 文の例 (VITALSO/VOYSO の場合 )
REGION GRP1
X1=100,Y1=100,X2=150,Y2=150
:
/M001/S001/M1,
/M001/S001/M2,
/M001/S002/*,
/M001/S003/*
;
REGION GPR2
X1=,Y1=,X2=,Y2=
:
/M002/S001/*, /M002/S002/*
;
REGION GPR3
:
/M003/S001/M1, /M003/S001/M2, /M002/S001/M3
;
END;
SOFTGROUP 文
SOFTGROUP 文は、グループを配置したい領域を長方形の横軸、縦軸の長さと
して指定します。GROUPS ファイルの SOFTGROUP 文のシンタックスは以下の
とおりです。
SOFTGROUP グループ名
グループ名
リージョン
[ リージョン ]: インスタンス ;
セルグループを識別するための名前を指定します。
グループが配置されるリージョンを指定します。リージョン
の引数は、セルベース IC でレイアウトシステムに Cell3
Ensemble を使用する場合のみ有効です。リージョンの指定は
任意です。カッコは入力しないでください。
サインオフシステム R1.9.1 コマンドリファレンス 49
.....
DCAL
4
DCAL
セルグループとネットウエイト
インスタンス
グループ指定するセルのインスタンスをカンマで区切って
指定します。
♦ リージョン
リージョンは以下のとおり指定します。
MAXH= 値 1, MAXX= 値 2, MAXY= 値 3
または
MAXH=, MAXX=, MAXY=
「値 1」にはリージョンを定義する長方形の X・Y 方向の合計最大長を、
「値 2」、
「値 3」にはそれぞれ X 方向、Y 方向の最大長を指定します。単
位は µm です。MAXH、MAXX、MAXY の記述の順序は自由です。
♦ インスタンスの指定
あるリージョンに配置したいセルのインスタンスはセル名リスト、モ
ジュール名、ネット名リストで指定できます。ただし、1 つのセルグ
ループのインスタンスを指定するのに、2 つの方法を併用できないので
注意してください。
•
セル名リスト
あるリージョンに配置したいセルのインスタンス名で指定できます。
最上位モジュールのインスタンス名を除いた形で、階層的インスタン
ス名 ( フルパス名 ) で指定してください。各インスタンスは以下のよ
うにカンマで区切ります。
[VSO/VCSSO/GEMINISO の場合 ]
.M001.S001.M1,
.M001.S001.M2,
.M001.S001.M3
[VITALSO/VOYSO の場合 ]
/M001/S001/M1,
/M001/S001/M2,
/M001/S001/M3
あるブロックの下のすべてのインスタンスを指定するには、以下のよ
うにワイルドカード「*」を使います。また、カンマで区切って複数
記述することができます。
[VSO/VCSSO/GEMINISO の場合 ]
インスタンス名 .*
インスタンス名 .*, インスタンス名 .*
[VITALSO/VOYSO の場合 ]
インスタンス名 /*
インスタンス名 /*, インスタンス名 /*
50
サインオフシステム R1.9.1 コマンドリファレンス
セルグループとネットウエイト
ここで「インスタンス名」はブロックの階層的インスタンス名で、最
上位インスタンス名は省略されます。
•
モジュール名
あるリージョンに配置したいセルを以下のようにモジュール名を使用
して指定します。
MODULE= モジュール名
これは指定したモジュールの下位の階層のすべてのインスタンスを表
します。ただし、1 つのセルグループに対して、1 つの MODULE 指定
しかできません。
MODULE 指定を使うと、
「回路名 .groups_template」という名前の
ファイルが作成されます。このファイルには結果として作成される各
グループに入る個々のインスタンスが示されます。このファイルの作
成は groups_templatesw オプションを OFF に指定することで抑
止できます。作成されるグループの名前は次のシンタックスになりま
す。
元のグループ名 - 連番
図 4–13 に、VSO/VCSSO/GEMINISO の場合 ( 階層区切り文字が「.」)
の、入力 GROUPS ファイルと DCAL により作成された
GROUPS_TEMPLATE ファイルの例を示します。図中の M1、M2、
M3 はモジュールを示し、C1、C2、C3 は下位階層のセルを表します。
図 4–13 モジュール名の指定
M1
M2
M3
C1
SUB1
SUB2
C3
C2
SUB1
C2
SUB1
ユーザー作成の GROUPS ファイル
SOFTGROUP GRP1 : MODULE=SUB1;
SOFTGROUP GRP2 : MODULE=SUB2;
END;
•
C1
SUB2
展開後の GROUPS_TEMPLATE ファイル
SOFTGROUP GRP1-1:.M1.C1,.M1.C2,.M1.C3;
SOFTGROUP GRP1-2:.M3.C1,.M3.C2,.M3.C3;
SOFTGROUP GRP2-1:.M2.C1,.M2.C2;
END;
ネット名リスト
あるリージョンに配置したいセルを以下のようにネット名を使って指
定します。
NET= ネット名 , ネット名 ,...
サインオフシステム R1.9.1 コマンドリファレンス 51
.....
DCAL
4
DCAL
セルグループとネットウエイト
これは指定されたネットに接続されるすべてのセルのインスタンスが
指定されたことを表します。ネットは最上位モジュールのインスタン
ス名を除いた形で階層名で指定します。
あるブロック中のすべてのネットを指定するには、以下のようにワイ
ルドカード「*」を使います。また、カンマで区切って複数記述する
ことができます。さらに、同じ NET キーワード中でワイルドカード
とネット名を併用して指定できます。
[VSO/VCSSO/GEMINISO の場合 ]
NET= インスタンス名 .*
NET= インスタンス名 .*, インスタンス名 .*,...
[VITALSO/VOYSO の場合 ]
NET= インスタンス名 /*
NET= インスタンス名 /*, インスタンス名 /*,...
1 つのセルグループに対して、1 つの NET キーワードの指定しかでき
ません。
NET 指定の例を以下に示します。NET 指定を使うと、結果としてグ
ループに入る個々のインスタンスを示す GROUPS_TEMPLATE ファイ
ルが作成されます。図 4–14 に、VSO/VCSSO/GEMINISO の場合 ( 階
層区切り文字が「.」) の、GROUPS ファイルでのネットの指定例を示
します。S1、S2、S3 などはネット名を、C1、C2、C3 などはセル名
を示します。
図 4–14 GROUPS ファイルでのネット指定
S1
C1
S3
C3 S5
C4 S6
S2
C2
S4
C5 S7
C6 S8
ユーザー作成の GROUPS ファイル
SOFTGROUP GRP1;
MAXH=100,
MAXX=60,
MAXY=50
:
NET=.S3,.S4
;
展開後の GROUPS_TEMPLATE ファイル
SOFTGROUP GRP1;
MAXH=100,
MAXX=60,
MAXY=50
:
.C1,.C2,.C3,.C4,.C5,.C6
;
52
サインオフシステム R1.9.1 コマンドリファレンス
セルグループとネットウエイト
♦ エスケープ記号 (VSO/VCSSO/GEMINISO のみ )
インスタンス名に特殊文字が含まれている場合、バックスラッシュ ( \ )
を使ってエスケープします。最下位階層の名前をエスケープしたときは、
名前の最後に空白を入れてください。
♦ 記述例
以下に、SOFTGROUP 文のさまざまな記述例を示します。
図 4–15 GROUPS ファイルでの SOFTGROUP 記述の例
(VSO/VCSSO/GEMINISO の場合 )
SOFTGROUP GRP1
MAXH=1000,MAXX=500,MAXY=500
:
.M001.S001.M1,
.M001.S001.M2,
.M001.S002.*,
.M001.S003.*
;
SOFTGROUP GRP2
MAXH= ,
MAXX= ,
MAXY=
:
.M002.S001.*, .M002.S002.*
;
SOFTGROUP GRP3
:
MODULE=SUB1
;
SOFTGROUP GRP4
:
NET=.M003.S001.N1, .M003.S001.N2, .M003.S001.N3
;
SOFTGROUP GRP5
MAXX=500,
MAXY=500,
MAXH=1000
:
NET=.M004.S001.*, .M004.S002.N1, .M004.S003.*
;
END;
図 4–16 GROUPS ファイルでの SOFTGROUP 記述の例 (VITALSO/VOYSO の場合 )
SOFTGROUP GRP1
MAXH=1000,MAXX=500,MAXY=500
:
/M001/S001/M1,
/M001/S001/M2,
/M001/S002/*,
/M001/S003/*
;
SOFTGROUP GRP2
MAXH= ,
MAXX= ,
MAXY=
サインオフシステム R1.9.1 コマンドリファレンス 53
.....
DCAL
4
DCAL
セルグループとネットウエイト
:
/M002/S001/*, /M002/S002/*
;
SOFTGROUP GRP3
:
MODULE=SUB1
;
SOFTGROUP GRP4
:
NET=/M003/S001/N1, /M003/S001/N2, /M003/S001/N3
;
SOFTGROUP GRP5
MAXX=500,
MAXY=500,
MAXH=1000
:
NET=/M004/S001/*, /M004/S002/N1, /M004/S003/*
;
END;
NETWEIGHT 文
NETWEIGHT 文はネットにネットウエイトを指定します。GROUPS ファイルの
NETWEIGHT 文のシンタックスは以下のとおりです。
NETWEIGHT グループ名
グループ名
ウエイト
ネット名
[WEIGHT= ウエイト ]: ネット名 ;
ネットグループを識別するための名前を指定します。
仮配線長を補正する係数を指定します。カッコは入力しないで
ください。
以下に説明する方法で指定できます。
♦ ネットウエイトの指定
ネットウエイトは以下のとおり指定します。
WEIGHT= ウエイト
「ウエイト」は整数値「1」、「2」
、「3」で指定できます。大きい値の方が
効果があります。
♦ ネット名の指定
仮想配線長を補正したいネット名を指定します。最上位モジュールのイ
ンスタンス名を除いた形で、階層的識別名 ( フルパス名 ) で指定してく
ださい。各ネット名は以下のようにカンマで区切ります。また、あるブ
ロックの下のすべてのネットを指定するには、以下のようにワイルド
カード「*」を使います。
[VSO/VCSSO/GEMINISO の場合 ]
.M001.S001.NET1,
.M001.S001.NET2,
.M001.S002.*,
.M001.S003.*
54
サインオフシステム R1.9.1 コマンドリファレンス
セルグループとネットウエイト
[VITALSO/VOYSO の場合 ]
/M001/S001/NET1,
/M001/S001/NET2,
/M001/S002/*,
/M001/S003/*
♦ エスケープ記号 (VSO/VCSSO/GEMINISO のみ )
インスタンス名に特殊文字が含まれている場合、バックスラッシュ ( \ )
を使ってエスケープします。最下位階層の名前をエスケープしたときは、
名前の最後に空白を入れてください。
♦ 記述例
以下に、NETWEIGHT 文の記述例を示します。
図 4–17 GROUPS ファイルでの NETWEIGHT 記述の例
(VSO/VCSSO/GEMINISO の場合 )
NETWEIGHT GRP1
WEIGHT=2
:
.M001.S001.NET1,
.M001.S001.NET2,
.M001.S002.*,
.M001.S003.*
;
NETWEIGHT GRP2
:
.M002.S001.*
;
END;
図 4–18 GROUPS ファイルでの NETWEIGHT 記述の例 (VITALSO/VOYSO の場合 )
NETWEIGHT GRP1
WEIGHT=2
:
/M001/S001/NET1,
/M001/S001/NET2,
/M001/S002/*,
/M001/S003/*
;
NETWEIGHT GRP2
:
/M002/S001/*
;
END;
サインオフシステム R1.9.1 コマンドリファレンス 55
.....
DCAL
4
DCAL
CLKINFO ファイルを使ったクロック遅延の補正
CLKINFO ファイルを使ったクロック遅延の補正
..................................................
CLKINFO ファイルは、クロックツリー中のルートクロックネットを指定す
るファイルです。レイアウトの前と後では、クロック遅延に大きな誤差が生じ
てしまいます。そこで、DCAL はこのファイルを使って、特別に準備されたラ
イブラリデータにもとづき、レイアウト前のクロック遅延を補正します。
CLKINFO ファイル
CLKINFO ファイルのシンタックスを以下に示します。
図 4–19 CLKINFO ファイルのフォーマット
CLKNET
クロックネット名
ADDCELL
サブクロックバッファ名
DRVFACTOR ドライブリミット係数
♦ キーワードとパラメータは空白またはタブで区切ります。
♦ CLKNET、ADDCELL、DRVFACTOR は 3 つで 1 つの情報グループを構成しま
す。複数グループ設定できます。
♦ CLKNET は必須です。それ以外は省略できます。
♦ CLKNET にはルートクロックネットを指定します。また、ADDCELL には
レイアウト時に追加されるサブクロックバッファを指定します ( この情
報については、当社 ASIC デザインセンターにお問い合わせください )。
♦ DRVFACTOR にはレイアウト時の各サブクロックにつながる負荷を指定し
ます。DCAL は、負荷をサブクロックバッファのドライブリミットの
パーセンテージとして計算します。そのため、負荷はパーセンテージで
指定します ( この情報については、当社 ASIC デザインセンターにお問い
合わせください )。
図 4–20 に、CLKINFO 入力ファイルの記述例を示します。
図 4–20 CLKINFO 入力ファイルの例
.INBUF_01
A
Z
DRVC4
.CLK3072
* VITALSO/VOYSO の場合、階層区切り文字は「/」になります。
56
サインオフシステム R1.9.1 コマンドリファレンス
CLKINFO ファイルを使ったクロック遅延の補正
♦ VSO/VCSSO/GEMINISO の場合
#comment
CLKNET
ADDCELL
DRVFACTOR
.CLK3072
YCBUFP
0.25
♦ VITALSO/VOYSO の場合
#comment
CLKNET
ADDCELL
DRVFACTOR
/CLK3072
YCBUFP
0.25
図 4–21 に、VSO/VCSSO/GEMINISO の場合 ( 階層区切り文字が「.」) の、
CLKINFO 出力ファイルの例を示します。この例に示すとおり、DCAL はファ
イル中にいくつかのパラメータを自動的に追加します。
図 4–21 CLKINFO 出力ファイルの例
# TC200G T9V53 2000-01-20 15:11:02 DCAL(V2.01)
FACTOR
1.00000
CLKNET
.CLK3072 CLK3072
INST
.INBUF_01 INBUF_101
CELL
DRVC4
TERM
Z
ADDCELL YCBUFP
DRVFACTOR 0.250000
FO
3072
DELAY
1.153280 1.153280
DCAL 実行後に付加されるキーワードは以下のとおりです。
FACTOR
遅延時間を補正したファクタです。通常は 1.0 です。
CLKNET
入力ファイルから得られるネット名に、レイアウト時に使
われるバックエンド名を追加します。
INST
メインクロックバッファのセルインスタンス名です。
CELL
メインクロックバッファのセルタイプ名です。
TERM
メインクロックバッファの出力ピン名です。
FO
指定したクロックネットのファンアウト数です。
DELAY
メインクロックバッファに割り付けられた遅延時間です。
標準条件での立ち上がり、立ち下がり遅延が記述されます
( 単位 : ns)。
サインオフシステム R1.9.1 コマンドリファレンス 57
.....
DCAL
4
DCAL
実行リスティングファイル
GK_TEMPLATE ファイル
このファイルは DCAL で K ファクタを計算したときに作成されます。この
ファイルには DCAL で計算した K ファクタより求めた gkmax、gkmin、
gktyp オプションの指定値が出力されます。出力された内容はそのまま
tsb.config ファイル中に追加できます。デフォルトのファイル名は「回路名
.gk_template」です。
図 4–22 GK_TEMPLATE ファイルの例
# Calculated by DCAL(V2.01)
#--Technology
Date : Fri Jan 7 18:09:55 2000
= TC223C
#--Temperature = 0.00:25.00:75.00
#--Voltage
= 3.30:3.00:2.70
#--Voltage_Sub = 5.30:5.00:4.70
#--Ktotal_min
= NORMAL1:0.500, NORMAL2:0.510, IO1:0.490, IO2:0.480
#--Ktotal_max
= NORMAL1:1.500, NORMAL2:1.490, IO1:1.510, IO2:1.520
#--Ktotal_typ
= NORMAL1:1.100, NORMAL2:1.100, IO1:1.100, IO2:1.100
#
gkmin = NORMAL1:0.455, NORMAL2:0.464, IO1:0.445, IO2:0.436
gkmax = NORMAL1:1.364, NORMAL2:1.355, IO1:1.373, IO2:1.382
gktyp = NORMAL1:1.000, NORMAL2:1.000, IO1:1.000, IO2:1.000
Ktotal_min、Ktotal_max、Ktotal_type の行に表示されている値は
DCAL で計算された K ファクタの値です。
実行リスティングファイル
..................................................
実行リスティングファイル ( 回路名 .dcallst) には実行時のメッセージやオ
プションの設定などが格納されます。また、セルグループとネットウエイトを
考慮して仮想配線長遅延を計算したときに作成される情報や、K ファクタ計算
値の情報も格納されます。
配線容量計算情報
図 4–23 に、VSO/VCSSO/GEMINISO の場合 ( 階層区切り文字が「.」) の、実
行リスティングファイルの例を示します。
図 4–23 配線容量計算情報の例
1)
********************
start of WCAP information
***********************
..Error grp-0531 FILE’./DEMO_GA.groups’/LINE(2)/GROUP’GRP1’ : Instance
’.I1.MOD2.SUB1’ not found in the Design.
Please check GROUPS file’./DEMO_GA.groups’/LINE(2).
58
サインオフシステム R1.9.1 コマンドリファレンス
実行リスティングファイル
..Error grp-0531 FILE’./DEMO_GA.groups’/LINE(3)/GROUP’GRP1’ : Instance
’.I1.MOD2.SUB2’ not found in the Design.
Please check GROUPS file’./DEMO_GA.groups’/LINE(3).
..Error wcp-5067 Can’t create GROUPS information. (stat=55)
=== GROUPS UTILITY INFORMATION ===
2)
Library Name
: TC200G
3)
Array Type
: T7069
4)
Circuit Level : CHIP
5)
Grouping mode : GA
6)
Gate Count
7)
: master chip =
: circuit
8)
Utility(%)
=
14000
5300
: curcuit / master chip * 100 = 37.86
9)
10)
Group Name
Group
Kind
11)
Gates
12)
Max Gates
(Group Cell)
(Group Area)
---------------------- -------- -------------- ------------GRP1
REGION
1000
2500
GRP2
SOFTGROUP
500
1250
GRP3
SOFTGROUP
500
1250
GRP4
NETWEIGHT
---
----
以下の番号は図 4–23 の番号と対応しています。
1. セルグループ情報の処理中に出力されたメッセージの一覧です。
2. technology オプションで指定したテクノロジー名です。
3. arraytype オプションで指定した母体名です。
4. toplevel オプションで指定した回路のレベル (CHIP または FUNCTION) で
す。
5. デバイスタイプ
ゲートアレイ
セルベース IC でしかもレイアウトシステムで Cell Ensemble を使用す
るもの ( スタンダードセル )
SC(3) セルベースICでしかもレイアウトシステムでCell3 Ensembleを使用す
るもの ( スタンダードセル )
GA
SC
サインオフシステム R1.9.1 コマンドリファレンス 59
.....
DCAL
4
DCAL
実行リスティングファイル
6. CHIP を指定したときは指定された母体のローゲート ( グリッド ) 数で、
FUNCTION を指定したときは回路で必要なゲート ( グリッド ) 数です。
7. 回路で必要なゲート ( グリッド ) 数です。
8. ゲート使用率はローゲート数に対する使用ゲート数の割合です。
9. グループの識別名です。
10. ここには REGION、SOFTGROUP または NETWEIGHT が入ります。
11. グループ中のセルで必要なゲート数です。
12. 配線領域を含めてグループ中のセルで必要なゲート数です。
K ファクタリスティング
kf_temp または kf_volt オプションを指定すると、K ファクタリスティング
が実行リスティングファイル中に出力されます。図 4–24 に K ファクタリス
ティングの例を示します。
図 4–24 K ファクタリスティングの例
Using default Kfactor-library.
Using user’s Kfactor-library 1 (./GND2X2.kfclib).
<<< Calculated Kfactor List >>>
------------------ ------------ ------------ -----------Condition
Best 1)
Typical 2)
Worst 3)
------------------ ------------ ------------ -----------Temperature
-10.00
25.00
75.00
Voltage
2.70
2.50
2.30
Voltage Sub
3.50
3.30
3.00
------------------ ------------ ------------ ------------ -------- ------Group Name
Ktotal 4)
Ktotal 5)
Ktotal 6) Typ Volt
Lib
------------------ ------------ ------------ ------------ -------- ------IO1
0.608
1.000
1.594
2.50 default
IO2
0.568
1.000
1.695
2.50 default
IOIF1
0.660
1.000
1.624
3.30 default
IOTYPE1
0.624
1.000
1.677
3.30 default
MEM1
0.597
1.000
1.715
2.50 default
MEMS1
0.601
1.000
1.878
2.50 default
NORMAL1
0.604
1.004
1.777
2.50 default
PRIM1
0.628
1.000
1.574
2.50 default
$CELL:GND2X2
0.604
PRIM2
0.608
1.000
1.004
1.594
1.777
2.50 default
2.50 usr1
RTYPE1
0.543
1.004
1.954
2.50 default
------------------ ------------ ------------ ------------ -------- -------
60
サインオフシステム R1.9.1 コマンドリファレンス
遅延クロスリファレンスファイル
図 4–24 中の 1、2、3 は、kf_temp オプション、kf_volt オプションで指定
された温度と電源電圧値です。4、5、6 は、DCAL で計算されたセルグループ
ごとの K ファクタです。
遅延クロスリファレンスファイル
..................................................
DCAL で作成される遅延クロスリファレンスファイルは非常に大きなファイ
ルになる可能性があるため、デフォルトでは作成されません。このファイル中
の各部分を出力させるには netrefsw、cellrefsw、timingrefsw オプショ
ンで ON を指定してください。デフォルトのファイル名は、遅延時間が仮想配
線長または実配線長に基づくかにより、
「回路名 .edelref」または「回路名
.delref」になります。
このファイルにはオプションの指定により以下のクロスリファレンスが出力
されます。
♦ ネットクロスリファレンス
回路中のすべてのネットに関する情報が配線容量、RC 遅延とともに入り
ます。
♦ パス遅延クロスリファレンス
各セルのパス遅延に関する情報が入力ピンのスルーレート、出力ピンの
負荷容量とともに入ります。
♦ タイミング制約クロスリファレンス
回路中で使われている F/F、ラッチなどのセットアップ・ホールド時間、
最小パルス幅、リカバリ時間が入ります。
上記の 3 つのクロスリファレンスは、ファイル中に以下の順序で情報が出力
されます。
図 4–25 遅延クロスリファレンスファイルの構成
ネットクロスリファレンス
パス遅延
セットアップ・ホールド時間
最小パルス幅
リカバリ時間
パス遅延
セットアップ・ホールド時間
最小パルス幅
リカバリ時間
全ネットが出力されます。
セルインスタンス A
セルインスタンスごと
に出力されます。
セルインスタンス B
.......
サインオフシステム R1.9.1 コマンドリファレンス 61
.....
DCAL
4
DCAL
遅延クロスリファレンスファイル
ネットクロスリファレンス
図 4–26 に、VSO/VCSSO/GEMINISO の場合 ( 階層区切り文字が「.」) の、
ネットクロスリファレンスの例を示します。回路中の全ネットのネットパスが
列挙されます。各ネットパスについて、セルのピン、ファンアウト負荷、配線
容量、RC 遅延が出力されます。
図 4–26 ネットクロスリファレンスの例
==== NET DELAY INFORMATION LIST ====
1)
2)
NET
3)
OUTPUT-PIN
INPUT-PIN
---------------------------------- ----------------------------------- -------------------------.S1N136
.M1.Z
.M3.A
.S1N136
.M1.Z
.M4.A
.S1N224
.M12.Z
.M17.A
.S1N224
.M12.Z
.M24.B
.S1N224
.M12.Z
.M25.B
.....................................................................
.OC002.S1N3
.OC002.S1N45.Z
.OC002.S1N48.A
.OC002.S1N3
.OC002.S1N45.Z
.OC002.S1N53.A
.OC002.S1N3
.OC002.S1N45.Z
.OC002.S1N48.A
.OC002.S1N3
.OC002.S1N45.Z
.OC002.S1N48.A
.OC002.S1N4
------
------
.OC002.S1N45
------
------
.OC002.S1N46
------
------
.OC002.S1N47
------
------
.OC002.S1N48
------
------
4)
5)
FO-CAP
WIR-CAP
-------- -------
6)
7)
8)
DEL-PTH DEL-MAX NET-FLG
------- ------- --------
2.000
2.382
0.356
0.356 SG_NET
2.000
2.382
0.363
0.363 SG_NET
3.000
5.558
0.779
0.779 SG_NET
3.000
5.558
0.712
0.712 SG_NET
3.000
5.558
0.712
0.712 SG_NET
......................
62
サインオフシステム R1.9.1 コマンドリファレンス
6.000
7.382
1.342
1.342 SG_NET
6.000
7.382
1.342
1.342 SG_NET
6.000
7.382
1.342
1.342 SG_NET
6.000
7.382
1.342
1.342 SG_NET
2.000
2.382
----
----
SG_WIRE
2.000
2.382
----
----
ESTIMATE
2.000
2.382
----
----
ESTIMATE
2.000
2.382
----
----
ESTIMATE
2.000
2.382
----
----
ESTIMATE
遅延クロスリファレンスファイル
以下の番号は図 4–26 の番号と対応しています。
1. ネットを示す階層的パス名を示します。
2. RC 遅延が計算された場合は、ネットを駆動するセル、出力ピンの名前を示
します。それ以外の場合は、ハイフン (----) が入ります。
3. RC 遅延が計算された場合は、ネットに駆動されるセル、入力ピンの名前を
示します。それ以外の場合は、ハイフン (----) が入ります。
4. ネットに駆動されるファンアウトのピン容量の合計値を当社ライブラリの
単位で示します。TC240 シリーズ以降は fF ( フェムトファラッド ) 単位、そ
れ以外のテクノロジーは LU 単位です。
5. ネットに駆動される配線負荷容量を当社ライブラリの単位で示します。
TC240 シリーズ以降は fF ( フェムトファラッド ) 単位、それ以外のテクノロ
ジーは LU 単位です。4 と 5 の総和が総負荷容量となります。
6. 2、3 のピン間のパスの RC 遅延が ns 単位で示されます。SDF ファイルの
INTERCONNECT 文の値に相当します。計算されなかった場合は、ハイフン
(----) が入ります。
7. 2、3 のピン間のパスの RC 遅延が ns 単位で示されます。6 と異なり、SDF
ファイルの PORT 文の値に相当します。ただし、ネットが 1 つのセルで駆動
されている場合、6 と同じ値になります。また、ネットが 3 ステートバスの
ように複数のセルで駆動されている場合、6 とは異なり、3 の入力ピンに対
する全パスの RC 遅延の最大値が出力されます。
図 4–27 複数のセルで駆動されるネットの例
inst1
inst2
inst4
inst3
inst1.Z → inst4.A
inst2.Z → inst4.A
inst3.Z → inst4.A
DEL-PTH
0.5
0.4
0.3
DEL-MAX
0.5
0.5
0.5
8. 遅延の種類が入ります。内容については 68 ページの表 4–1 を参照してくだ
さい。
パス遅延クロスリファレンス
図 4–28、図 4–29 に、VSO/VCSSO/GEMINISO の場合 ( 階層区切り文字が 「.」) の、パス遅延クロスリファレンスの例を示します。パス遅延とは、あるセ
ルの入力ピンから出力ピンまで信号が伝搬するのにかかる時間のことです。各
セルに対して存在するすべてのパス情報が示されます。
サインオフシステム R1.9.1 コマンドリファレンス 63
.....
DCAL
4
DCAL
遅延クロスリファレンスファイル
また、入力ピンの立ち上がり、立ち下がりのスルーレート、出力ピンの負荷
容量、遅延時間などがパスごとに示されます。遅延時間は 0、1、Z 間の 6 通り
の変化、すなわち 0-1、1-0、0-Z、Z-1、1-Z、Z-0 について出力されます。
図 4–28 パス遅延
パス
FD2P
D
入力ピン
CP ->
CP ->
CD ->
CD ->
Q
CP QN
CD
D24L
出力ピン
Q
QN
Q
QN
A
Z0
B
Z1
パス
入力ピン
A
->
A
->
A
->
A
->
B
->
B
->
B
->
B
->
Z2
Z3
出力ピン
Z0
Z1
Z2
Z3
Z0
Z1
Z2
Z3
図 4–29 パス遅延クロスリファレンスの例
==== CELL DELAY INFORMATION LIST ====
1)
CELL-INST
2)
CELL
3)
4)
5)
IN-P IN-NET
6)
SLEW(R/F)
7)
8)
OT-P OT-NET
CAP
----------------- ----- ---- ----------------- ----------- ---- ----------------- ----.OC005.O1N39
FD2P
CP
.OC005.S1N10
1.189/0.932 QN
------
.OC005.O1N39
FD2P
CP
.OC005.S1N10
1.189/0.932 Q
.OC005.X_1Q
11.55
.OC005.O1N39
FD2P
CD
.OC005.S1N9
1.453/1.088 QN
------
0.000
.OC005.O1N39
FD2P
CP
.OC005.S1N9
1.453/1.088 Q
.OC005.X_1Q
11.55
9)
10)
COND
RISE
0.000
11)
FALL
0-Z
Z-1
1-Z
Z-0
12)
13)
PULSX INET-FLG ONET-FLG
----- ----- ----- ----- ----- ----- ----- ----- -------- ------------
1.14
1.07
----
----
----
----
---- SG-NET
SG-NET
-----
1.15
1.16
----
----
----
----
---- SG-NET
SG-NET
-----
0.67
----
----
----
----
----
---- SG-NET
ESTIMEATE
-----
----
0.62
----
----
----
----
---- SG-NET
SG-NET
==== CELL DELAY INFORMATION LIST ====
CELL-INST
CELL
IN-P IN-NET
SLEW(R/F)
OT-P OT-NET
CAP
----------------- ----- ---- ----------------- ----------- ---- ----------------- ----.OC002.O1N254
EOP
B
.OC002.S1N85
0.659/1.067 Z
.OC002.S1N101
3.382
.OC002.O1N254
EOP
B
.OC002.S1N85
0.659/1.067 Z
.OC002.S1N101
3.382
.OC002.O1N254
EOP
A
.OC002.S1N69
1.304/1.461 Z
.OC002.S1N101
3.382
.OC002.O1N254
EOP
A
.OC002.S1N69
1.304/1.461 Z
.OC002.S1N101
3.382
COND
RISE
FALL
0-Z
Z-1
1-Z
Z-0
PULSX INET-FLG ONET-FLG
----- ----- ----- ----- ----- ----- ----- ----- -------- --------
64
~A
0.45
0.43
0.00
0.00
0.00
0.00
---- SG-NET
SG-NET
A
0.48
0.55
0.00
0.00
0.00
0.00
---- SG-NET
SG-NET
~B
0.63
0.65
----
----
----
----
---- SG-NET
SG-NET
B
0.66
0.72
----
----
----
----
---- SG-NET
SG-NET
サインオフシステム R1.9.1 コマンドリファレンス
遅延クロスリファレンスファイル
以下の番号は図 4–29 の番号と対応します。
1. セルの階層的インスタンス名
2. 当社ライブラリ中のセルタイプ名
3. パス上の入力ピン名
4. 入力ピンを駆動しているネットの名前
5. 立ち上がり、立ち下がりの入力スルーレート ( 単位 ns)
6. パス上の出力ピン名
7. 出力ピンが駆動するネット名
8. 出力ピンが駆動する負荷の合計を当社ライブラリの単位で示します。TC240
シリーズ以降は fF ( フェムトファラッド ) 単位、それ以外のテクノロジーは
LU 単位です。
9. パス遅延が状態依存の場合、ブール式を示します。以下にブール式の例を
示します。
~A
A
~A|B&~C
10.「RISE」から「Z-0」の欄は 0、1、Z 間の 6 通りの出力ピンについてのパス
遅延を ns 単位で示します。SDF ファイルの IOPATH 文の値に相当します。
11. パスのパルス制御用の最小ウィンドウを ns 単位で示します。シミュレータ
は、ここに示された幅よりも短い出力パルスを検出すると、出力ピンの値
を X に設定します。ライブラリにこの情報が登録されていない場合はハイ
フン (----) が入ります。SDF ファイルの PATHPULSE 文の値に相当します。
12. 入力スルーを計算するために使用された配線長の種類が入ります。内容に
ついては 68 ページの表 4–1 を参照してください。
13. 配線負荷を計算するために使用された配線長の種類が入ります。内容につ
いては 68 ページの表 4–1 を参照してください。
タイミング制約クロスリファレンス
タイミング制約クロスリファレンスは F/F やラッチなどのタイミング制約情
報をもっている各セルについて、以下の部分で構成されています。
♦ セットアップ・ホールド時間リスティング
♦ 最小パルス幅リスティング
♦ リカバリ時間リスティング
サインオフシステム R1.9.1 コマンドリファレンス 65
.....
DCAL
4
DCAL
遅延クロスリファレンスファイル
セットアップ・ホールド時間リスティング
図 4–30 に、VSO/VCSSO/GEMINISO の場合 ( 階層区切り文字が「.」) の、
セットアップ・ホールド時間リスティングの例を示します。
図 4–30 セットアップ・ホールド時間リスティングの例
==== TIMING INFORMATION LIST (SETUP/HOLD) ====
1)
2)
3)
CELL-INST
CELL
REF
4)
5)
REF-NET
6)
EDG SLEW
7)
8)
TAR
9)
TAR-NET
10)
LVL SLEW(S/H)
--------------- ------ ---- ------------- --- ----- ---- ------------- --- ----------.OC005.O1N32
FD2P
CP
.OC003.S1N10
POS 0.932 CD
.OC003.S1N9
HIG 1.097/0.878
.OC005.O1N32
FD2P
CP
.OC003.S1N10
POS 0.932 CD
.OC003.S1N9
LOW 1.097/0.878
.OC005.O1N32
FD2P
CP
.OC003.S1N10
POS 0.932 D
.OC003.X_8D
HIG 0.337/0.249
11)
COND
12)
13)
SETUP(MIN/TYP/MAX)
14)
HOLD(MIN/TYP/MAX)
15)
RNET-FLG TNET-FLG
------ -------------------- -------------------- -------- -------D
0.00/
0.00/
0.00
0.00/
0.00/
0.00 SG_NET
SG_NET
D
0.00/
0.00/
0.00
0.42/
0.82/
1.37 SG_NET
SG_NET
CD
0.22/
0.44/
0.73
0.14/
0.28/
0.48 SG_NET
SG_NET
以下の番号は図 4–30 の番号と対応しています。
1. セルの階層的インスタンス名
2. 当社ライブラリ中のセルタイプ名
3. 制御ピン ( クロックピン ) の名前を示します。これをリファレンスピンと呼
ぶことにします。
4. リファレンスピンを駆動するネットの名前です。
5. リファレンスピンのアクティブエッジが POS または NEG と入ります。POS
は立ち上がりエッジ、NEG は立ち下がりエッジです。
6. リファレンスピンのスルーレートを ns 単位で示します。
7. セットアップ・ホールドのチェックをする入力ピンを示します。これを
ターゲットピンと呼ぶことにします。データ、クリア、プリセット、テス
ト入力、テストイネーブルなどが該当します。
8. ターゲットピンを駆動するネットの名前です。
9. ターゲットピンの極性を示します。
HIG
LOW
DCR
アクティブハイを示します。
アクティブロウを示します。
アクティブエッジなしを示します。
10. ターゲットピンのスルーレートを ns 単位で示します。
66
サインオフシステム R1.9.1 コマンドリファレンス
遅延クロスリファレンスファイル
11. 条件依存のセットアップ・ホールド時間の場合、条件がブール式で示され
ます。
12. 最小、標準、最大セットアップ時間 ( 単位 ns)
13. 最小、標準、最大ホールド時間 ( 単位 ns)
14. リファレンスピンの入力スルーを計算するために使用した配線長の種類が
入ります。内容については 68 ページの表 4–1 を参照してください。
15. ターゲットピンの入力スルーを計算するために使用した配線長の種類が入
ります。内容については 68 ページの表 4–1 を参照してください。
最小パルス幅リスティング
図 4–31 に、VSO/VCSSO/GEMINISO の場合 ( 階層区切り文字が「.」) の、最
小パルス幅リスティングの例を示します。
図 4–31 最小パルス幅リスティングの例
==== TIMING INFORMATION LIST (MINWIDTH) ====
1)
2)
CELL-INST
CELL
3)
REF
4)
5)
REF-NET
6)
SLW-R SLW-F
---------------------------- ------ ---- --------------------------- ----- ----.OC003.O1N32
FD2P
CD
.OC003.S1N9
1.097 0.878
.OC003.O1N32
FD2P
CP
.OC003.S1N10
0.932 0.780
7)
COND
8)
9)
POSLIMIT(MIN/TYP/MAX)
10)
NEGLIMIT(MIN/TYP/MAX)
RNET-FLG
------ ----------------------- ----------------------- -------------
----/
----/
----
0.43/
0.84/
1.41 SG_NET
CD
0.24/
0.47/
0.79
0.43/
0.84/
1.41 SG_NET
以下の番号は図 4–31 の番号と対応しています。
1. セルの階層的インスタンス名
2. 当社ライブラリ中のセルタイプ
3. パルス幅を検査する入力ピンの名前
4. 入力ピンを駆動するネットの名前
5. 入力ピンの立ち上がりスルーレート ( 単位 ns)
6. 入力ピンの立ち下がりスルーレート ( 単位 ns)
7. 条件依存のパルス幅の場合、条件がブール式で示されます。
8. 正の最小パルス幅の最小、標準、最大値 ( 単位 ns)
9. 負の最小パルス幅の最小、標準、最大値 ( 単位 ns)
サインオフシステム R1.9.1 コマンドリファレンス 67
.....
DCAL
4
DCAL
遅延クロスリファレンスファイル
10. リファレンスピンの入力スルーを計算するために使用した配線長の種類
表 4–1 配線長フラグ
フラグ
68
内容
ESTIMATE
チップサイズとファンアウト数に基づいて見積もられた値
SG_NET、SG_NETL
SEGLEN ファイルの NET 記述
SG_WIRE
SEGLEN ファイルの WIRE 記述
SG_PWIRE
SEGLEN ファイルの PWIRE 記述
SG_EWIRE
SEGLEN ファイルの EWIRE 記述
SG_ENET、SG_ENETL
SEGLEN ファイル、または PSEGLEN ファイルの ENET 記述
CLKINFO
CLKINFO ファイルに基づいて補正された値
GROUP
GROUPS ファイルに基づいて補正された値
サインオフシステム R1.9.1 コマンドリファレンス
DV
.....
....................................
5
この章では DV について以下のことを説明します。
♦ DV の機能
♦ DV の実行方法
DV の機能
..................................................
DV は、1 回の実行で、DVER と DCAL を実行します。
♦ 実行ディレクトリに TNC または TVHDL の実行リスティングファイル
( 回路名 .tnclst または回路名 .vhdllst) がない場合、DV は中止さ
れ、エラーメッセージが出力されます。
♦ TNCまたはTVHDL の実行リスティングファイル (回路名 .tnclst または
回路名 .vhdllst) があり、そのファイル中にエラーがある場合、DV は
中止され、エラーメッセージが出力されます。
♦ 実行ディレクトリにTDGSデータベースファイル(回路名.tdgs)がない場
合、DV は中止され、エラーメッセージが出力されます。
♦ 実行ディレクトリにSEGLENファイルまたはSEGLENMファイルがある場
合、DV はセカンドサインオフフローと判断して、必要な入力ファイル
を読み込みます。
♦ 実行ディレクトリに ESDF ファイルまたは SDF ファイルと、VERSUM
ファイルがない場合、DV は DVER と DCAL を実行します。
♦ DV は、TDGS データベースファイルについて、ESDF ファイルまたは SDF
ファイルと、VERSUM ファイルの作成日付をチェックします。このと
き、ESDF ファイルまたは SDF ファイルと、VERSUM ファイルが更新さ
れていない場合、DV は、DVER と DCAL を実行します。
♦ DVER と DCAL の実行リスティングファイル ( 回路名 .dverlst と回路名
.dcallst) がすでに生成されていて、その中にエラーがある場合、DV は
DVER と DCAL を再度実行します。
サインオフシステム R1.9.1 コマンドリファレンス 69
5
DV
DV の実行方法
DV の実行方法
..................................................
DV を実行するには、UNIX のシェルプロンプトで以下のコマンドを入力しま
す。
dv ネットリストファイル ... オプション ...
ネットリストファイルはコマンドラインで複数指定できますが、最上位モ
ジュールのネットリストファイルの名前だけを指定します。これは、DV が
ネットリストファイルよりも TDGS データベースファイルを入力ファイルとし
て使うためです。
以下にオプションを示します。
-help
ヘルプメッセージを出力します。
-quiet
プログラムのヘッダ部分などの情報を表示しません。
-force
DVER または DCAL を強制的に実行します。
-viewlog
DV によって実行されるコマンドの実行内容を画面に出力し
ます。デフォルトでは表示されません。
-nodver
DVER を実行しません。
-nodcal
DCAL を実行しません。
DV によって実行される各コマンドのオプションもそのまま指定できます。
その場合、「キーワード = 値」というフォーマットで指定します。
70
サインオフシステム R1.9.1 コマンドリファレンス
DVER
.....
....................................
6
この章では DVER について以下のことを説明します。
♦ DVER の機能
♦ 情報・ワーニング・致命的エラーメッセージ
♦ DVER の使用時期
♦ ゲートイーティング
♦ 電気的デザインルールチェック
♦ ドライブチェック
♦ I/O ソフトマクロセルのチェック
♦ LVDS セルのチェック
♦ 物理的な製品化チェック
♦ ネーミングルールチェック
♦ VPPA ファイルの出力
♦ 入出力ファイル
♦ COMFRQ ファイル
♦ NOEAT ファイル
♦ DVER の実行方法
♦ 実行リスティングファイル
♦ VERSUM ファイル
♦ VERREF ファイル
♦ TREE ファイル
♦ DRVLIST ファイル
♦ RDTLIST ファイル
♦ CTSLIST ファイル
♦ CLOCKLIST ファイル
サインオフシステム R1.9.1 コマンドリファレンス 71
6
DV E R
DVER の機能
DVER の機能
..................................................
DVER の主な目的は、回路が物理的、電気的に実デバイスとして製品化でき
るかどうかを検証することです。検証とは以下のことを意味します。
♦ ピン間接続違反のチェック
♦ マクロセルの出力ピンが、駆動能力を超える負荷を駆動しようとしてい
ないかどうかのチェック
♦ 回路で使用しているゲート数、I/O スロット数、I/O パッド数が、選択し
た母体上における数を超えていないかどうかのチェック
♦ ネーミングルール違反のチェック
♦ I/O ソフトマクロセルのメインバッファとプリバッファの接続のチェック
(TC240 シリーズ以降 )
情報・ワーニング・致命的エラーメッセージ
..................................................
DVER で設計検証を実行すると、情報、ワーニング、およびエラーメッセー
ジが出力されます。
情報メッセージは、DVER の実行状況や回路に関するさまざまな事柄につい
ての情報を提供します。ワーニングメッセージは、回路がルールに違反してい
る可能性があることを示します。ワーニングは無視してもよいのか、何らかの
対処が必要なのか、ユーザー側で判断をします。エラーメッセージは、回路に
エラーがあることを示します。エラーは次の段階に進むまえに、かならず修正
しなければなりません。
ワーニングまたはエラーが発見されても、DVER はメッセージを出力して、
そのまま残りの回路のチェックを継続します。したがって、回路内のすべての
エラーを 1 回の実行で発見できます。
DVER でエラーが検出された場合、その回路を当
社の ASIC として製品化できません。回路をあと
で修正して、再度シミュレーションを実行した場
合であっても、DVER による検証結果にエラーが
なく、回路が製品化できることを最終的に確認しなければな
りません。
72
サインオフシステム R1.9.1 コマンドリファレンス
DVER の使用時期
DVER の使用時期
..................................................
DVER は ASIC の設計において、早い段階で使用します。規模の大きい回路
の場合、回路の一部でもでき次第、ブロックごとに DVER を実行して予備的な
検証を行うことができます。DVER は回路全体が完成するまでのあいだ、何度
も使用します。通常、違反がまったくなくなるまでに数回は DVER を実行する
必要があるでしょう。普通、1 回目の実行では、多くのエラーが検出されます。
エラーのほとんどは、識別名の入力ミスや未接続ネットが原因です。
回路にわずかな変更を加えただけなら、DVER を省略しても差しつかえあり
ませんが、設計が終了した時点で、かならず DVER を実行して、回路を製品化
できることを検証しなければなりません。万一、1 つでもエラーが残っている
と、製品化できない場合があることを念頭においておいてください。
ゲートイーティング
..................................................
すべての出力ピンがどこにも接続されていないマクロセルは冗長とみなされ
て、gateeat オプションで OFF を指定しない限り、接続ルールチェックのま
えに自動的に削除されます。図 6–1 に出力ピンがどこにも接続されていないマ
クロセルが 3 個ある回路の例を示します。
特定のマクロセルを削除したくない場合は、NOEAT ファイルを使うことがで
きます。NOEAT ファイルのシンタックスについては、89 ページの「NOEAT
ファイル」で説明します。
サインオフシミュレーションの実行時には、
gateeat オプションで ON を指定してください。た
だし、部分的な階層レイアウト、タイミングドリ
ブンレイアウトを実行するときは、OFF を指定し
てください。デフォルトは ON です。
rdtlistsw オプションで ON を指定すると、削除した冗長セルの情報が
RDTLIST ファイル ( 回路名 .rdtlist) に出力されます。
サインオフシステム R1.9.1 コマンドリファレンス 73
.....
DV E R
6
DV E R
電気的デザインルールチェック
図 6–1 冗長マクロセル
[ シンボル ]
[ 下位のスケマティック ]
FD2
XF273
1D
2D
3D
4D
5D
6D
7D
8D
CK
CL
1Q
2Q
3Q
4Q
5Q
6Q
7Q
8Q
1D
D
Q
1Q
CK QN
CL
FD2
2D
未接続出力ピン
D
Q
2Q
CK QN
CL
FD2
3D
GND
D
Q
3Q
CK QN
CL
FD2
4D
D
Q
4Q
CK QN
CL
FD2
5D
D
Q
5Q
CK QN
CL
FD2
D
Q
CK QN
CL
FD2
D
Q
CK QN
CL
CK
B2I
FD2
D
CL
B2I
冗長マクロセル
Q
CK QN
CL
電気的デザインルールチェック
..................................................
DVER は以下の接続チェックを行います。
♦ 回路が当社のライブラリセルだけで構成されているかどうかチェックし
ます。
♦ 正しい I/O バッファが最上位モジュールの I/O パッドに接続されているか
どうかチェックします。
♦ 通常のマクロセルの入力ピンが、マクロセルの出力ピン、VDD、または
グランドのいずれかに接続されているかどうかチェックします。どこに
も接続されていない入力ピンはエラーになります。
74
サインオフシステム R1.9.1 コマンドリファレンス
電気的デザインルールチェック
図 6–2 グランドへの正しい接続例
TLCHN
DATA0
TLCHN
DATA1
例えば、以下に示す致命的エラーメッセージ (VSO/VCSSO/GEMINISO の場
合 ) はマクロセルの入力ピン .prescaler.sq がどこにも接続されていないと
いう意味です。
..Design Error dver-0210 Cell = <FD2> input pin = <.f2.D> is
floating (unconnected).
エラーの原因の 1 つを図 6–3 に示します。FD4 の出力ピン Q と FD2 の入力ピ
ン D に接続されているネットの識別名が一致していないことに注意してくださ
い。この場合、sq は未接続入力ピンとみなされ、致命的エラーが出力されま
す。
図 6–3 未接続入力エラー
[Verilog-HDL の場合 ]
’timescale 1 ns / 10ps
module prescaler ( cp , cd, z1, z2 );
input cp, cd;
output z1, z2 ;
FD4
FD2
FD2
IVA
F1
F2
F3
I1
(
(
(
(
.Q(aq),
.Q(bq),
.Q(z2),
.A(bq),
.D(bq), .CP(cp), .SD(cd) );
.D(sq), .CP(cp), .CD(cd) );
.D(aq), .CP(cp), .CD(cd) );
.Z(z1) );
endmodule
[VHDL の場合 ]
entity prescaler is
port( cp,cd : in std_logic;
z1,z2 : out std_logic);
end prescaler;
architecture prescaler_arch of prescaler is signal aq, bq, sq :
std_logic;
begin
F1: FD4 port map(aq,bq,cp,cd);
F2: FD2 port map(bq,sq,cp,cd);
F3: FD2 port map(z2,aq,cp,cd);
I1: IVA port map(bq,z1);
end
サインオフシステム R1.9.1 コマンドリファレンス 75
.....
DV E R
6
DV E R
電気的デザインルールチェック
cd
bq
D
FD4
aq
SD
Q
CP QN
bq
FD2
sq
D
CP QN
CD
F1
IVA
z1
Q
I1
F2
cp
FD2
D
z2
Q
CP QN
CD
F3
♦ 使用しないマクロセルの出力ピンが未接続のままになっているかどうか
チェックします。図 6–4 に未接続出力ピンの例を示します。
図 6–4 未接続出力ピンの例
未接続出力ピン
デコーダ
Y3
A0
A1
A
B
Y2
Y1
Y0
WR10
WR01
WR00
WRX
♦ 異なるタイプのマクロセルがワイヤード AND 接続 ( 並列接続 ) されていな
いかどうかチェックします。また、ワイヤード AND 信号がトポロジー
( 形状 ) 的にも論理的にも等価であるかどうかチェックします。
駆動能力を高めるために、2 つのマクロセルの出
力ピンをワイヤード AND 接続できます。ワイヤー
ド AND 接続をするマクロセルは同一のタイプでな
ければなりません。また、入力ピンはかならず同
じネットに接続しなければなりません。
図 6–5 にワイヤード AND 接続の正しい例と間違った例を示します。
76
サインオフシステム R1.9.1 コマンドリファレンス
ドライブチェック
図 6–5 ワイヤード AND 接続
B4I
B4I
B4I
B4IP
正
誤
ND2P
B4I
IVP
ND2P
B4I
IVP
トランジスタレベルでみると
形状的に対称になっていません。
誤
誤
♦ すべてのピン間の電気的接続について、ライブラリファイルを参照して
実現性をチェックします。ライブラリファイルには入力ピン、出力ピン
のタイプが定義されており、あるタイプの入力ピンとあるタイプの出力
ピンの接続が電気的に可能かどうかマトリックスにより示されています。
20 種類以上のピンタイプによる 400 種類以上の相互接続がライブラリ
ファイルに定義されています。単純な例として以下の接続は致命的エ
ラーとして定義されています。
•
2 つのセルの出力ピンどうしの接続 ( 並列接線、3 ステート出力を除
く)
•
外部出力バッファの出力ピンと通常の内部マクロセルの入力ピンの接
続
ドライブチェック
..................................................
DVER はマクロセルの出力ピンが、駆動能力を超える負荷を駆動しようとし
ていないかどうかチェックします。デフォルトではドライブチェックは配線負
荷も考慮します。
標準のドライブチェック
ライブラリには、出力ピンの駆動能力と入力ピンの負荷容量についての最大
値と最小値の両方が記述されています。
出力ピンの負荷は次のように計算します。
Σ Cpin + Cwire
サインオフシステム R1.9.1 コマンドリファレンス 77
.....
DV E R
6
DV E R
ドライブチェック
Cpin
チェックする出力ピンに接続されている入力ピンの最大ま
たは最小負荷容量。駆動されるすべての入力ピンの負荷容
量の合計 (ΣCpin) を「ファンアウト負荷」といいます。
Cwire
出力ピンに接続されているネットの仮想配線負荷容量また
は実配線負荷容量。
どのようにドライブチェックが行われるかを、図 6–6 の回路を例に説明しま
す。図 6–6 中のマクロセル D24L は 5 本の入力ピンを駆動しています。マクロ
セルの出力ピンのファンアウト負荷はマクロセルが駆動する入力ピンの負荷容
量の和になります。図 6–6 の例では、D24L の出力ピン Z0 は AN3P を 1 個と
DCTST の入力ピン DCL_ を 4 個駆動します。したがって、最大および最小
ファンアウト負荷は次のように求められます。
max {Σ Cpin} = AN3P の TERMCAP (max) × 1 個
+ DCTST (DCL_) の TERMCAP (max) × 4 個
= 2.000 × 1 + 1.000 × 4 = 6.000 (LU)
同様に
min {Σ Cpin} = 1.528 × 1 + 0.769 × 4 = 4.604 (LU)
レイアウト前には仮想配線負荷 (EWL) が使用されます。EWL は母体の大き
さとファンアウトピン数、すなわちチェックするマクロセルの出力ピンが駆動
するマクロセルの入力ピン数で決まります。例えば、母体 TC180E01 における
EWL の計算式は統計的に以下のように決められています ( 当社マクロセルデー
タブックを参照してください )。
EWL = 1.194 × ( ファンアウトピン数 ) + 0.597
図 6–6 でセル D24L の出力ピン Z0 は 5 本の入力ピンをドライブしています。
したがって Z0 の配線負荷容量は次のように求められます。
EWL = 1.194 × 5 + 0.597 = 6.567 (LU)
以上より、Z0 の最大および最小負荷容量は次のようになります。
Cmax = 6.000 + 6.567 = 12.567 (LU)
Cmin = 4.604 + 6.567 = 11.171 (LU)
78
サインオフシステム R1.9.1 コマンドリファレンス
ドライブチェック
図 6–6 ドライブチェック
TERMCAP{max, min}=2.000, 1.528
AN3P
D24L
A
B
Z0
Z1
Z2
Z3
DCTST
Z
A
DRVLMT{max, min}=27.000, 20.769
DCL_
DCH_
TERMCAP{max, min}=1.000, 0.769
A
DCTST
Z
DCL_
DCH_
DCTST
Z
A
DCL_
DCH_
DCTST
A
Z
DCL_
DCH_
実配線長ファイル (SEGLENM、SEGLEN、または PSEGLEN ファイル ) があ
る場合は、配線負荷容量は実配線長ファイルを使用して計算します。実配線
ファイルが DVER に入力された場合とされない場合では、それぞれの場合の駆
動能力値が使われます。
出力ピン Z0 の最大負荷容量が Z0 の駆動能力より大きい場合、DVER は以下
のワーニングメッセージを出力します。
...Design Warning dver-0380 Load of < ネット名 > may be over the
drive limit.
(CELL: < 駆動しているセル名 > , Number of CELLS = < 駆動しているセル数 > ,
DRIVE = < 駆動能力 > , LOAD = < 負荷容量 >)
Z0 の最小負荷容量が駆動能力より大きい場合、DVER は以下のワーニング
メッセージを出力します。
...Design Warning dver-0370 Load of < ネット名 > is over the drive
limit.
(CELL: < 駆動しているセル名 ( インスタンス名 )> , Number of CELLS
= < 駆動しているセル数 > , DRIVE = < 駆動能力 > , LOAD = < 負荷容量 >)
エレクトロマイグレーションを考慮したドライブチェック
回路の微細化が進むにつれて、エレクトロマイグレーションという現象が配
線のショートやオープンの潜在的な原因になるため問題になってきました。エ
レクトロマイグレーションとは直流電流に誘導される電子の動きのことです。
その規模は主に電流密度によって決まり、電流密度は駆動力に関係していま
サインオフシステム R1.9.1 コマンドリファレンス 79
.....
DV E R
6
DV E R
I/O ソフトマクロセルのチェック
す。サブミクロンからディープサブミクロンレベルの集積度になると、エレク
トロマイグレーションは主に配線の電流容量に影響します。エレクトロマイグ
レーションは時間の経過とともに発生しはじめ、通常、デバイスを長い時間実
使用するまで顕現しません。故障時間は電流密度と温度の関数として表されま
す。
エレクトロマイグレーションを防止するために、主に膨大な負荷を高速で駆
動するクロック信号を考慮しなければなりません。高速でスイッチングする信
号の負荷と、動作周波数にもとづいて制限します。
また、回路の信号の動作周波数を指定するために COMFRQ ファイルを利用
できます。COMFRQ ファイルは、出力ピンの駆動能力を、アルミ配線のエレ
クトロマイグレーション耐性を考慮して補正するために使われます。ファイル
の役割とシンタックスについては 88 ページの「COMFRQ ファイル」で説明し
ます。
最小負荷容量の値が補正後の駆動能力より大きい場合、DVER は以下の致命
的エラーメッセージを出力します。
...Design Error dver-0360 Load of < ネット名 > is over the drive
limit.(FREQ = < 周波数 > , DRIVE = < 駆動能力 > , LOAD = < 負荷容量 >)
ドライブチェック結果の出力
ドライブチェックを行ったときに drvmap、drvper、drvway オプションを
指定している場合、ドライブチェック結果が出力される DRVLIST ファイル
( 回路名 .drvlist) が生成されます。このファイルにはネットごとの負荷容量
とドライブリミットのヒストグラム、指定した値よりも大きい負荷容量・ドラ
イブリミット比率または WAY 数 ( 分岐ネット数 ) をもつネットの一覧が出力さ
れます。詳細については 109 ページの「DRVLIST ファイル」を参照してくださ
い。
I/O ソフトマクロセルのチェック
..................................................
TC240 シリーズ以降、I/O セルはソフトマクロセル化され、メインバッファ
とプリバッファを組み合わせた階層構造になります。そのため、回路中で使用
されている I/O ソフトマクロセル中のメインバッファとプリバッファの接続が
正しいかどうかをチェックする必要があります。
80
サインオフシステム R1.9.1 コマンドリファレンス
LVDS セルのチェック
DVER は、TC240 シリーズ以降のテクノロジーを使って設計する場合、新し
く I/O ソフトマクロセルを追加したときにはメインバッファとプリバッファの
接続をチェックします。
新しく I/O ソフトマクロセルを追加する場合、当
社 ASIC デザインセンターに相談してください。
LVDS セルのチェック
..................................................
DVER は LVDS セル間の接続をチェックします。図 6–7、図 6–8 に、
LVDSBI6C と LVDSTX6C の内部接続について例を示します。
図 6–7 LVDSBI6C と LVDSTX6C の接続例 -1
[ 正しい接続 ]
LVDSBI6C
LVDSTX6C
LVDSBI6C
IB
LVDSTX6C
IB
IB1
IB
IB2
LVDSTX6C
IB
IB3
[ 誤り ]
LVDSBI6C
LVDSBI6C
IB1
IB1
LVDSTX6C
LVDSTX6C
IB
LVDSTX6C
IB
IB
IB2
IB2
LVDSBI6C
IB1
LVDSTX6C
IB1
LVDSBI6C
IB1
サインオフシステム R1.9.1 コマンドリファレンス 81
.....
DV E R
6
DV E R
物理的な製品化チェック
図 6–8 LVDSBI6C と LVDSTX6C の接続例 -2
[ 正しい接続 ]
LVDSBI6C
LVDSTX6C
LVDSBI6C
LVDSTX6C
IB1
IB1
IB1
IB1
VC
VC
VC
VC
BT1
TX2
TX1
LVDSTX6C
IB2
IB1
BT2
VC
TX3
LVDSBI6C の出力 IB* と LVDSTX6C の入力 IB を
接続する場合、
双方のセルの VC ピンを接続しな
ければなりません。
物理的な製品化チェック
..................................................
DVER は回路が物理的に製品化できるかどうかをチェックします。物理的な
チェックとは回路が指定した母体に収まるかどうかということです。チェック
の結果、DVER はユーザー参照用にいくつかのリスティングファイルを作成し
ます。デフォルトではネットワークサマリとゲートカウントサマリが作成され
ます。また、オプションによりセルタイプクロスリファレンス、パッドクロス
リファレンス、ネットクロスリファレンス、および階層ツリーマップを作成で
きます。以下にそれぞれの簡単な説明をします。
ネットワークサマリ
ネットワークサマリには、設計した回路に対して適切な大きさの母体を選択
したかどうかを判断するための以下の情報が入ります。
♦ 回路で使用している I/O ピン数
♦ 回路で使用している I/O パッド数
♦ 回路で使用している I/O スロット数
♦ 回路で使用しているゲート ( グリッド ) 数
♦ ゲート ( グリッド ) 使用率
♦ 配線の難易度の目安となる情報
82
サインオフシステム R1.9.1 コマンドリファレンス
ネーミングルールチェック
DVER は上記のデータからネットワークサマリというレポートを作成します。
101 ページの「VERSUM ファイル」でファイル例を示して説明をします。
ゲートカウントサマリ
ゲートカウントサマリには回路内の各ブロックで使用しているゲート数、ト
ランジスタ数、インスタンス数が示されます。DVER はデフォルトでゲートカ
ウントサマリを作成します。ゲートカウントサマリは VERSUM ファイルの最
後に出力されます。
ゲート数の計算のみの実行
gatetlsw オプションを ON にすると使用ゲート数のみ計算できます。この場
合、DVER は製品化チェックをしません。また、ゲートカウントサマリには回
路全体で必要な総ゲート数しか出力されません。
クロスリファレンス
cellsw オプション、padsw オプション、netsw オプションで ON を指定する
ことにより、セルタイプクロスリファレンス、パッドクロスリファレンス、
ネットクロスリファレンスを作成できます。105 ページの「VERREF ファイル」
にクロスリファレンスファイルの例を示します。
♦ セルタイプクロスリファレンスには、回路で使用しているすべてのセル
タイプに関する情報が入ります。
♦ パッドクロスリファレンスには、回路で使用している入力、出力および
双方向パッドに関する情報が入ります。
♦ ネットクロスリファレンスには、回路のネットに関する情報が駆動能力、
負荷容量とともに入ります。
階層ツリーマップ
treesw オプションで ON を指定することにより、回路の階層構造を示す階層
ツリーマップを TREE ファイルに出力できます。階層ツリーマップには各階層
のブロックごとのゲート数が出力されます。
ネーミングルールチェック
..................................................
DVER はすべての階層的信号名および階層的モジュール名が 1,024 文字以下
かどうかチェックします。DVER はまた信号名、モジュール名が当社のセルタ
イプ名と重複していないかどうかチェックします。
サインオフシステム R1.9.1 コマンドリファレンス 83
.....
DV E R
6
DV E R
VPPA ファイルの出力
♦ 識別名の中でピリオド ( . ) が使われていないかどうか (VSO/VCSSO の場
合 )。
♦ 最上位モジュール名、外部入出力ピン名が規則にあっているかどうかは、
TNC または TVHDL ですでにチェックされているので、DVER では
チェックしません。
識別名のルールの詳細については、当社発行の各サインオフシステムのユー
ザーガイドを参照してください。
テクノロジーによっては、以下の名前は当社のセ
ルタイプ名として予約されているので使用する場
合注意してください。
◆
◆
◆
◆
B+ 数字 (B1、B2、B4、B6、B8、B16、B24 など )
LD1 と LD2
L4 と L8
RAM1
VPPA ファイルの出力
..................................................
VPPA ファイルは当社の自動ボンディングサポートシステム (ADAS) にインタ
フェースするために使用します。VPPA ファイルは vppasw オプションを ON
にすることにより、作成できます。このファイルにはパッドや外部入出力ピン
の情報が書き出されます。
内部の回路を設計するまえに外部入出力ピンを配置したいときには vppasw
オプションを指定します。この場合は、すべての I/O セルおよび I/O ピンに関
する文だけを Verilog-HDL または VHDL で記述してあればかまいません。次
に、vppasw オプションを指定して、この Verilog-HDL または VHDL 記述に対
して DVER を実行して、VPPA ファイルを作成します。次に ADAS システムを
使って、外部入出力ピンの配置を決定します。外部入出力ピンの配置に問題が
あると、この時点で発見されます。問題がなければ、内部の回路の記述を
Verilog-HDL または VHDL に追加します。
ほとんどの回路は希望どおりのピン配置で作成できるので、多くの場合、
VPPA ファイルはレイアウトの段階で作成されます。ただし、ADAS で機械的、
物理的な検証がされるまでピン配置は最終的に保証できないので注意してくだ
さい。
入出力ファイル
..................................................
図 6–9 に DVER の入出力ファイルを示します。
84
サインオフシステム R1.9.1 コマンドリファレンス
入出力ファイル
図 6–9 DVER の入出力ファイル
TC240 シリーズ以降
TDGS データ
ベースファイル
I/O チェック用
データベースファイル
tdgs
noeat
テクノロジー名
.modlib
comfrq
任意
フロアプラナで生成
任意
任意
seglenm または seglen
pseglen
fbxref
任意
任意
任意
レイアウト後のみ
DVER
任意
任意
任意
versum
verref
tree
vppa
ネットワークサマリ
クロスリファレンス
階層ツリーマップ
当社 ADAS システム
で使用
任意
任意
任意
任意
ctslist
drvlist
rdtlist
クロックツリー合成用
テンプレート
ドライブチェック
結果
冗長セルリスト
clocklist
任意
任意
dverlst
dverERlist
dverWNlist
実行リスティング
ファイル
エラーメッセージ
ワーニングメッセージ
入力ファイル
DVER の入力ファイルは以下のとおりです。
♦ 回路名 .tdgs
TDGS データベースファイルです。
サインオフシステム R1.9.1 コマンドリファレンス 85
.....
DV E R
6
DV E R
入出力ファイル
♦ 回路名 .comfrq ( 任意 )
エレクロマイグレーションを考慮に入れてドライブチェックを行う場合
に、必要な製品温度、電圧、使用年数、動作周波数および信号の動作周
波数を指定します。COMFRQ ファイルについては 88 ページの
「COMFRQ ファイル」を参照してください。このファイルのテンプレー
トは CONFIGURE で作成することができます。
♦ 回路名 .noeat ( 任意 )
ゲートイーティングで削除したくないセルを列挙するためのファイルで
す。ファイルのシンタックスについては 89 ページの「NOEAT ファイル」
を参照してください。
♦ 回路名 .seglenm、回路名 .seglen または回路名 .pseglen ( 任意 )
配線長ファイルです。SEGLENM ファイルは NETMOD で生成されます。
SEGLEN ファイルはレイアウトシステムから直接出力されるファイルで
す。PSEGLEN ファイルはフロアプラナにより生成されます。SEGLENM
ファイルについては「15章 NETMOD」を参照してください。
PSEGLEN ファイルについては「4章 DCAL」を参照してください。
レイアウト中にクロックツリーを合成したり、消
費電力の最適化を実行したりして、回路が変更さ
れた場合は、DVER の実行前に NETMOD を実行
して、Verilog-HDL または VHDL 形式のネットリ
ストおよび SEGLEN ファイルを変更しなければなりません。
この場合、SEGLEN ファイルのデフォルトの拡張子は
「.seglenm」、ファイル名は「回路名 .seglenm」になります。
♦ 回路名 .fbxref ( 任意 )
当社のレイアウトインタフェースプログラムで生成された代替名の対応
が記述されているファイルです。レイアウトシステムの制約を超える文
字数や文字を使っているネット名やインスタンス名があると、レイアウ
トインタフェースプログラムにより、それらの名前に対して自動的に代
替名が割り付けられます。この場合、レイアウトインタフェースプログ
ラムにより、もとの名前と代替名を対応づけるクロスリファレンスが出
力されます。レイアウト後、このファイルはレイアウトの前後の名前を
一致させるために DVER に戻されます。ただし、DVER の入力ファイル
として NETMOD で作成された SEGLENM ファイルを使うときは、
FBXREF ファイルは必要ありません。
♦ テクノロジー名 .modlib ( 任意 )
I/O ソフトマクロセルのメインバッファとプリバッファの接続をチェック
するためのデータベースファイルです。TC240 シリーズ以降のテクノロ
ジーを使って設計するときに、新しく I/O ソフトマクロセルを追加した
場合に MODLIBGEN で生成します。
86
サインオフシステム R1.9.1 コマンドリファレンス
入出力ファイル
出力ファイル
DVER の出力ファイルは以下のとおりです。
♦ 回路名 .versum
ネットワークサマリとゲートカウントサマリが入っているファイルです。
♦ 回路名 .verref ( 任意 )
セルタイプ、パッド、ネットクロスリファレンスのうちオプションで指
定されたクロスリファレンスの入っているファイルです。
♦ 回路名 .tree ( 任意 )
階層ツリーマップの情報が入っているファイルです。
♦ 回路名 .vppa ( 任意 )
当社 ADAS システムへインタフェースするために必要なファイルです。
♦ 回路名 .drvlist
ドライブリミットと配線容量の情報が入っているファイルです。
♦ 回路名 .rdtlist ( 任意 )
ゲートイーティングを実行した場合、削除された冗長セルの情報が入っ
ているファイルです。gateeat=OFF オプションゲートイーティングを
抑止した場合は、冗長セルは削除されずに、その情報がこのファイルに
入ります。
♦ 回路名 .dverlst
実行リスティングファイルです。DVER で出力されたメッセージはすべ
てこのファイルに格納されます。また、配線負荷容量の計算処理につい
ての情報も格納されます。
♦ 回路名 .dverERlist ( 任意 )
エラーレベルのメッセージが格納されるファイルです。オプションで
seplist=ON と指定すると、エラーレベルのメッセージは DVERLST ファ
イルの代わりに、このファイルに格納されます。
♦ 回路名 .dverWNlist ( 任意 )
ワーニングレベルのメッセージが格納されるファイルです。オプション
で seplist=ON と指定すると、ワーニングレベルのメッセージは
DVERLST ファイルの代わりに、このファイルに格納されます。
♦ 回路名 .clocklist ( 任意 )
クロック信号に関する情報が入っているファイルです。
♦ 回路名 .ctslist ( 任意 )
クロックツリー合成 (CTS) で使うテンプレートファイルです。
サインオフシステム R1.9.1 コマンドリファレンス 87
.....
DV E R
6
DV E R
COMFRQ ファイル
COMFRQ ファイル
..................................................
回路中の信号の動作周波数を指定するのに、COMFRQ ファイルを使います。
COMFRQ ファイルが入力されると、DVER は自動的にファイルで指定された
セルの出力ピンの駆動能力を補正します。COMFRQ ファイルはドライブ
チェックで使用します。
COMFRQ ファイルのデフォルト名は「回路名 .comfrq」です。他の名前を
使いたいときは、comfrq、comfrqdir、comfrqext オプションでファイル
名を指定できます。
COMFRQ ファイルのシンタックスは以下のとおりです。
図 6–10 COMFRQ ファイルのシンタックス
JUNC_TEMP_AVE < 温度 > ;
GUARANTEE < 使用年数 > ;
VOLTAGE_AVE < 製品電圧 > ;
EXT_CLK_FREQUENCY < 外部クロック周波数 > ;
INT_CLK_FREQUENCY < 内部クロック周波数 > ;
DEFAULT_CYCLE < デフォルト動作周波数 > ;
CYCLE < 動作周波数 > < 信号名リスト > ;
...
PATHSTART < 動作周波数 > < 信号名 > ;
PATHEND < 動作周波数 > < 信号名 > ;
♦ 各文はセミコロン ( ; ) で終了します。
♦ 動作周波数はすべて MHz 単位の整数または実数で指定します。
♦ キーワードとパラメータは1つ以上の空白またはタブで区切ります。
♦ JUNC_TEMP_AVE、GUARANTEE、VOLTAGE_AVE、
EXT_CLK_FREQUENCY は必須項目です。
♦ DEFAULT_CYCLE は基本の動作周波数を指定します。
♦ CYCLE は検査対象の信号名とその動作周波数を指定します。任意の数だ
け記述できます。
♦ PATHSTART は指定された信号を起点に順方向に、PATHEND は逆方向に
信号を探索し、見つかった信号を検査対象とします。任意の数だけ記述
できます。
ドライブチェック時に使用される周波数は以下の順番で決定されます。
1. CYCLE に指定した信号は CYCLE 文で指定した周波数の値
2. PATHSTART、PATHEND に指定した信号は PATHSTART 文、PATHEND 文で
指定した周波数の値
88
サインオフシステム R1.9.1 コマンドリファレンス
NOEAT ファイル
3. F/F、ラッチのクロックピンに接続される信号は、INT_CLK_FREQUENCY に
指定していれば INT_CLK_FREQUENCY 文で指定した周波数の値、指定して
いなければ EXT_CLK_FREQUENCY 文で指定した周波数の値
4. F/F、ラッチのデータピンに接続される信号は、INT_CLK_FREQUENCY に指
定していれば INT_CLK_FREQUENCY 文で指定した周波数の 1/4 の値、指定
していなければ EXT_CLK_FREQUENCY 文で指定した周波数の 1/4 の値
図 6–11、図 6–12 に COMFRQ ファイルの例を示します。
図 6–11 COMFRQ ファイルの例 (VSO/VCSSO/GEMINISO の場合 )
JUNC_TEMP_AVE
80.0;
GUARANTEE
10;
VOLTAGE_AVE
3.3;
EXT_CLK_FREQUENCY 100;
INT_CLK_FREQUENCY 120;
CYCLE 100.0 .MAINCLOCK, .SUBCLOCK1;
CYCLE 125.0 .SUBCLOCK2;
図 6–12 COMFRQ ファイルの例 (VITALSO/VOYSO の場合 )
JUNC_TEMP_AVE
80.0;
GUARANTEE
10;
VOLTAGE_AVE
3.3;
EXT_CLK_FREQUENCY 100;
INT_CLK_FREQUENCY 120;
CYCLE 100.0 /MAINCLOCK,
CYCLE 125.0 /SUBCLOCK2;
/SUBCLOCK1;
なお、TOG に同様のドライブチェック機能がありますが、TOG はシミュ
レーション結果から指定された信号の平均動作周波数を正確に求め、駆動能力
を補正することができます。また、複数の COMFRQ ファイルを作成した場合
は、TOGMRG を使えばすべての COMFRQ ファイルを 1 つのファイルにマージ
して、ドライブチェックを実行することができます。TOG、TOGMRG につい
ては「28章 TOG・TOGMRG」を参照してください。
NOEAT ファイル
..................................................
DVER のゲートイーティング機能により、すべての出力ピンが接続されてい
ないマクロセルはデフォルトで削除されます。特定のセルを削除したくない場
合は NOEAT ファイルを作成します。
NOEAT ファイルのデフォルトのファイル名は「回路名 .noeat」です。他の
名前を使いたいときは、noeat、noeatdir、または noeatext オプションで
ファイル名を指定できます。
図 6–13、図 6–14 に NOEAT ファイルの例を示します。
サインオフシステム R1.9.1 コマンドリファレンス 89
.....
DV E R
6
DV E R
DVER の実行方法
図 6–13 NOEAT ファイルの例 (VSO/VCSSO/GEMINISO の場合 )
.TOPM.SUB1.INS1
.TOPM.SUB1.INS2
.TOPM.SUB3.INS12
図 6–14 NOEAT ファイルの例 (VITALSO/VOYSO の場合 )
/TOPM/SUB1/INS1
/TOPM/SUB1/INS2
/TOPM/SUB3/INS12
NOEAT ファイルでは 1 行は 1,024 文字に制限されています。セル名は当社の
識別名のルールに注意してフルパス名で指定します。詳細は、当社発行の各サ
インオフシステムのユーザーガイドを参照してください。
図 6–15 のような回路があるとします。この回路で C のセルの出力ピンが接
続されていません。C のセルを削除すると、B のセルがゲートイーティングの
対象になります。B のセルを削除すると、今度は A のセルの出力ピンが未接続
になり、ゲートイーティングの対象になります。しかし、NOEAT ファイルで
C のセルを指定すると、C のセルは削除されず、その結果 B と A も削除されず
に残ります。
図 6–15 ゲートイーティング
A
C
B
DVER の実行方法
..................................................
この項では DVER のシンタックスとオプションについて説明します。
シンタックス
DVER を実行するには、UNIX のシェルプロンプトで以下のコマンドを入力
します。
dver オプション ...
90
サインオフシステム R1.9.1 コマンドリファレンス
DVER の実行方法
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *DVER 部分にも記述できます。これらのオプションについては
「付録 A コンフィグレーションファイル」を参照してください。
simulator =
[VERILOG|VCS|GEMINI|MODELSIM|LEAPFROG|VSS|VOYAGER]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
design = 回路名
toplevel = [CHIP|FUNCTION]
gateeat = [ON|OFF]
{noeat|noeatdir|noeatext} = ファイル名
{tdgs|tdgsdir|tdgsext} = ファイル名
{modlib|modlibdir|modlibext} = ファイル名
paragraph = [ON|OFF]
frequency = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------{comftq|comfrqdir|comfrqext} = ファイル名
msout = [ON|OFF]
*WCAP に分類されるオプション
以下のオプションは配線容量の計算で使用されます。tsb.config ファイル
の *WCAP 部分に記述できます。
seglenselect = [DEFAULT|SEGLENM|SEGLEN|PSEGLEN|NO]
{seglenm|seglenmdir|seglenmext} = ファイル名
{seglen|seglendir|seglenext} = ファイル名
{pseglen|pseglendir|pseglenext} = ファイル名
{fbxref|fbxrefdir|fbxrefext} = ファイル名
*DVER に分類されるオプション
以下は DVER で使用するオプションであり、tsb.config ファイルの
*DVER 部分に記述できます。
line = 行数
drivechksw = [ON|OFF]
linecap = [ON|OFF]
サインオフシステム R1.9.1 コマンドリファレンス 91
.....
DV E R
6
DV E R
DVER の実行方法
rdtmsg = [ON|OFF]
netsumsw = [ON|OFF]
gatesw = [ON|OFF]
gatetlsw = [ON|OFF]
cellsw = [ON|OFF]
padsw = [ON|OFF]
netsw = [ON|OFF]
vppasw = [ON|OFF]
treesw = [ON|OFF]
namesw = [ON|OFF]
fltsig = 1024
hirsig = 1024
fltcell = 1024
hircell = 1024
romcheck = [ON|OFF]
{ref|refdir|refext} = ファイル名
{sum|sumdir|sumext} = ファイル名
{vppa|vppadir|vppaext} = ファイル名
{tree|treedir|treeext} = ファイル名
{drvlist|drvlistdir|drvlistext} = ファイル名
drvmap = [ON|OFF]
drvper = [ 比率 |OFF]
drvway = [WAY 数 |OFF]
drvmapsort = [NORMAL|FRQ|OFF]
rdtlistsw = [ON|OFF|FORCE]
{rdtlist|rdtlistdir|rdtlistext} = ファイル名
{list|listdir|listext} = ファイル名
seplist = [ON|OFF]
{dvererlist|dvererlistdir|dvererlistext} = ファイル名
{dverwnlist|dverwnlistdir|dverwnlistext} = ファイル名
clocklistsw = [ON|OFF]
{clocklist|clocklistdir|clocklistext} = ファイル名
ctsout = [ON|OFF]
ctsway = WAY 数
{ctslist|ctslistdir|ctslistext} = ファイル名
iochecksw = [ON|OFF]
オプション
以下に *WCAP と、*DVER に分類されるオプションについて説明します。
*WCAP に分類されるオプション
seglenselect = [DEFAULT|SEGLENM|SEGLEN|PSEGLEN|NO]
SEGLENM ファイル、SEGLEN ファイル、PSEGLEN ファイ
ルの優先順位を以下のように指定します。
92
サインオフシステム R1.9.1 コマンドリファレンス
DVER の実行方法
DEFAULT
SEGLENM ファイル、SEGLEN ファイル、
PSEGLEN ファイルがある場合、優先順
位を SEGLENM ファイル、SEGLEN ファ
イル、PSEGLEN ファイルとします。
SEGLENM
SEGLENM ファイルを読み込みます。
SEGLEN
SEGLEN ファイルを読み込みます。
PSEGLEN
PSEGLEN ファイルを読み込みます。
NO
SEGLENM ファイル、SEGLEN ファイル、
または PSEGLEN ファイルのいずれかが
ある場合でも、ファイルを読み込みませ
ん。
{seglenm|seglenmdir|seglenmext} = ファイル名
DVER で使用する SEGLENM ファイルの名前を指定します。
{seglen|seglendir|seglenext} = ファイル名
DVER で使用する SEGLEN ファイルの名前を指定します。
{pseglen|pseglendir|pseglenext} = ファイル名
DVER で使用する PSEGLEN ファイルの名前を指定します。
{fbxref|fbxrefdir|fbxrefext} = ファイル名
DVER で使用する FBXREF ファイルの名前を指定します。
*DVER に分類されるオプション
line = 行数
出力ファイルの 1 ページ当たりの行数を指定します。デ
フォルトは 0 でページを分割しません。
drivechksw = [ON|OFF]
ドライブチェックをするかどうかを指定します。
linecap = [ON|OFF]
ドライブチェックをするときに、実配線負荷または仮想配
線負荷を考慮するかどうかを指定します。
rdtmsg = [ON|OFF]
冗長マクロセルに関する情報メッセージを出力するかどう
かを指定します。
netsumsw = [ON|OFF]
VERSUM ファイルを作成するかどうかを指定します。
gatesw = [ON|OFF]
VERSUM ファイルにゲートカウントサマリを出力するかど
うかを指定します。
サインオフシステム R1.9.1 コマンドリファレンス 93
.....
DV E R
6
DV E R
DVER の実行方法
gatetlsw = [ON|OFF]
ON を指定すると、ゲート数のみ計算します。
cellsw = [ON|OFF]
VERREF ファイルにセルタイプクロスリファレンスを出力
するかどうかを指定します。
padsw = [ON|OFF]
VERREF ファイルにパッドクロスリファレンスを出力する
かどうかを指定します。
netsw = [ON|OFF]
VERREF ファイルにネットクロスリファレンスを出力する
かどうかを指定します。
vppasw = [ON|OFF]
VPPA ファイルを作成するかどうかを指定します。
treesw = [ON|OFF]
TREE ファイルを作成するかどうかを指定します。
namesw = [ON|OFF]
ネーミングルール違反に関するワーニングメッセージを出
力するかどうかを指定します。
fltsig = 1024
階層展開後の最大信号文字数を指定します。この引数は変
更しないでください。
hirsig = 1024
各階層での信号名の最大文字数を指定します。この引数は
変更しないでください。
fltcell = 1024
階層展開後のモジュール名の最大文字数を指定します。こ
の引数は変更しないでください。
hircell = 1024
各階層でのモジュール名の最大文字数を指定します。この
引数は変更しないでください。
romcheck = [ON|OFF]
ワード、ビット数を含め同じ ROM ( 例 : RA6004A) がある中
間階層がその上位階層で複数使われているかどうかチェッ
クします。セルベース IC でしかもレイアウトツールに Cell
Ensemble を使用するときのみ ON を指定します (Cell
Ensemble へは EDIF を介してインタフェースされるため、
このチェックが必要です )。
このオプションについては、当社 ASIC デザイン
センターにお問い合わせください。
94
サインオフシステム R1.9.1 コマンドリファレンス
DVER の実行方法
{ref|refdir|refext} = ファイル名
DVER により作成される VERREF ファイルの名前を指定し
ます。このオプションは cellsw、padsw、または netsw
オプションを ON にしたときのみ有効です。
{sum|sumdir|sumext} = ファイル名
DVER により作成される VERSUM ファイルの名前を指定し
ます。
{vppa|vppadir|vppaext} = ファイル名
DVER により作成される VPPA ファイルの名前を指定しま
す。このオプションは vppasw オプションを ON にしたとき
のみ有効です。
{tree|treedir|treeext} = ファイル名
DVER により作成される TREE ファイルの名前を指定しま
す。このオプションは treesw オプションを ON にしたとき
のみ有効です。
{drvlist|drvlistdir|drvlistext} = ファイル名
DRVLIST ファイルの名前を指定します。
drvmap = [ON|OFF]
ネットごとの配線容量とドライブリミット値の比較情報を
ヒストグラム形式で出力するかどうかを指定します。
drvper = [ 比率 |OFF]
指定した比率以上の負荷容量とドライブリミット値のネッ
トをリストに出力します。
drvway = [WAY 数 |OFF]
指定した WAY 数以上のネットをリストに出力します。
drvmapsort = [NORMAL|FRQ|OFF]
drvmap=ON を指定したとき、出力される情報のソート方法
を指定します。NORMAL を指定すると、通常のドライブリ
ミットの大きい順番で出力されます。FRQ を指定すると、
COMFRQ ファイルで用いたドライブリミットの順番で出力
されます。OFF を指定すると、ピン名でソートされて出力
されます。
rdtlistsw = [ON|OFF|FORCE]
冗長セルの情報を RDTLIST ファイルに出力するかどうかを
指定します。gateeat=OFF と rdtlistsw=FORCE を同時
に指定すると、回路上の冗長セルは削除されずに、冗長セ
ルの情報が RDTLIST ファイルに出力されます。
{rdtlist|rdtlistdir|rdtlistext} = ファイル名
RDTLIST ファイルの名前を指定します。
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。
サインオフシステム R1.9.1 コマンドリファレンス 95
.....
DV E R
6
DV E R
DVER の実行方法
seplist = [ON|OFF]
ON を指定すると、エラーメッセージ、ワーニングメッセー
ジを実行リスティングファイル ( 回路名 .dverlst) ではな
く、DVERERLIST ファイル ( 回路名 .dverERlist)、
DVERWNLIST ファイル ( 回路名 .dverWNlist)、にそれぞ
れ格納します。
TC240 シリーズ以降の設計の場合、I/O ソフトマ
クロセルのメインバッファとプリバッファの接続
違反のメッセージは従来どおり、実行リスティン
グファイルに出力されます。
{dvererlist|dvererlistdir|dvererlistext} = ファイル名
エラーレベルのメッセージを格納する DVERERLIST ファイ
ルの名前を指定します。このオプションは seplist オプ
ションを ON にしたときのみ有効です。
{dverwnlist|dverwnlistdir|dverwnlistext} = ファイル名
ワーニングレベルのメッセージを格納する DVERWNLIST
ファイルの名前を指定します。このオプションは seplist
オプションで ON を指定したときのみ有効です。
clocklistsw = [ON|OFF]
ON を指定すると、CLOCKLIST ファイルを生成します。
{clocklist|clocklistdir|clocklistext} = ファイル名
CLOCKLIST ファイルの名前を指定します。このオプション
は clocklistsw オプションで ON を指定したときのみ有効
です。
ctsout = [ON|OFF]
ON を指定すると、CTSLIST ファイル ( クロックツリー合成
(CTS) 用のテンプレートファイル ) を生成します。
ctsway = WAY 数
F/F やラッチに接続するクロック信号、セット・リセット信
号のネットの内、指定した WAY 数以上のネットを CTSLIST
ファイル ( クロックツリー合成 (CTS) 用のテンプレートファ
イル ) に出力します。デフォルトは 50 です。このオプショ
ンは ctsout オプションで ON を指定したときのみ有効で
す。
{ctslist|ctslistdir|ctslistext} = ファイル名
CTSLIST ファイル ( クロックツリー合成 (CTS) 用のテンプ
レートファイル ) の名前を指定します。このオプションは
ctsout オプションで ON を指定したときのみ有効です。
iochecksw = [ON|OFF]
I/O ソフトマクロセルのメインバッファとプリバッファの接
続をチェックします。TC240 シリーズ以降の設計のときの
み有効です。
96
サインオフシステム R1.9.1 コマンドリファレンス
実行リスティングファイル
実行例
以下に DVER の実行例を示します。
♦ 次のコマンドは最も簡単な起動方法です。実行ディレクトリにある「回
路名 .tdgs」という名前のファイルが TDGS データベースファイルとし
て使われます。
dver
♦ 次のコマンドは入力ファイルとして使う TDGS データベースファイルが
存在するディレクトリを指定します。TDGS データベースファイルの名
前がデフォルトと違うか、別のディレクトリにある場合は、tdgs、
tdgsdir または tdgsext オプションを使って指定します。
dver tdgsdir=./tdgs
♦ 次のコマンドはセルタイプクロスリファレンスとパッドクロスリファレ
ンスを作成します。また、実行リスティングファイルをサブディレクト
リ list に出力します。
dver cellsw=ON padsw=ON listdir=./list
♦ 次のコマンドは回路のゲート数のみ計算します。
dver gatetlsw=ON
♦ 次のコマンドは COMFRQ ファイルの名前を指定します。
dver comfrq=DEMO.frq
♦ 次のコマンドは MKCODE という名前のサブモジュールを検証します。サ
ブモジュールに対して DVER を実行する場合は、I/O に関するルール
チェックは実行されません。この場合、モジュール MKCODE に対して
TNC、TFO または TVHDL を実行し、MKCODE.tdgs を作成しておく必
要があります。
dver toplevel=FUNCTION module=MKCODE
実行リスティングファイル
..................................................
実行リスティングファイルには DVER の実行状態が記録されています。デ
フォルトのファイル名は「回路名 .dverlst」です。実行リスティングファイ
ルには以下の記録が入ります。DVER で出力されたメッセージは、このファイ
ルで確認してください。
♦ 実行中に出力されたすべてのメッセージ
♦ プログラムバージョン
♦ モジュール名
サインオフシステム R1.9.1 コマンドリファレンス 97
.....
DV E R
6
DV E R
実行リスティングファイル
♦ テクノロジーと製品タイプ ( 母体名 )
♦ 使用したオプション
♦ 配線容量の計算に関する情報
♦ 参照したライブラリファイルとそのリビジョン番号
♦ プログラム内の処理と終了状態
♦ 出力された各種メッセージの一覧
実行リスティングファイルの例を図 6–16 に示します。
図 6–16 DVER の実行リスティングファイルの例 ( 回路名 .dverlst)
********************************************************************
*
Toshiba New Delay Model System
*
*
Toshiba S/O System for Solaris Release 1.9.1
*
*
Toshiba VERILOG S/O System for Solaris Release 1.9.1
*
*
TC240CQ Library (TSBVLIBP) Release 1.9.1
*
*
TC240CQ Library (TDOBJ) Release 1.9.1
*
Toshiba layout i/f System
*
Release 1.9.1
*
*
(c) Copyright Toshiba Corporation, since 1994.
*
*
All rights reserved.
*
********************************************************************
************************
DVER MESSAGE LIST
************************
dver-0080 Estimated wire capacitance was used.
******************
Program version:
98
DVER EXECUTION LOG
*****************************
DVER VERSION 1.85
Sim. version
:
Userid
:
daveri
Design
:
DEMO_GA
Module
:
DEMO_GA
Technology
:
TC240ET
Array type
:
T8G34TW8
Option
:
Process
:
Redundant Cell check...
( SUCCESS )
:
Macro cell & name check...
( SUCCESS )
:
Connection check...
( SUCCESS )
:
Open pin check...
( SUCCESS )
:
Drive limit check...
( SUCCESS )
:
Max limit check...
( SUCCESS )
:
Trans pin connection check...
( SKIP )
:
Dummy cell connection check...
( SUCCESS )
:
Rom check...
( SKIP )
:
LVDS connection check...
( SKIP )
:
Io macro check...
( SUCCESS )
:
Make gate count summary...
( SKIP )
:
Make net summary...
( SUCCESS )
サインオフシステム R1.9.1 コマンドリファレンス
実行リスティングファイル
Condition
:
Make hier gate count summary...
( SUCCESS )
:
Make cell type reference...
( SKIP )
:
Make pad reference...
( SKIP )
:
Make net reference...
( SKIP )
:
Make hier tree file...
( SKIP )
:
Make VPPA file...
( SKIP )
:
Make drive list file...
( SKIP )
:
Make rdt cell list file...
( SKIP )
:
Make cts list file...
( SUCCESS )
:
Make clock list file...
( SKIP )
:
instance = DEMO_GA
:
gateeat = ON
:
arraychk = STD
:
line = 0
:
toplevel = CHIP
:
drivechksw = ON
:
drivemsg = ON
:
drvmap = OFF
:
drvper = OFF
:
drvway = OFF
:
drvmapsort = FRQ
:
iochecksw = ON
:
frequency = OFF
:
linecap = ON
:
rdtmsg = OFF
:
rdtlistsw = OFF
:
netsumsw = ON
:
gatesw = ON
:
gatetlsw = OFF
:
cellsw = OFF
:
padsw = OFF
:
netsw = OFF
:
vppasw = OFF
:
treesw = OFF
:
namesw = ON
:
romcheck = OFF
:
ctsout = OFF
:
ctsway = 50
:
seplist = OFF
:
lvdschecklevel = FLAT
:
clocklistsw = OFF
:
fltsig = 1024
:
hirsig = 1024
:
fltcell = 1024
:
hircell = 1024
:
summary file = ./DEMO_GA.versum
:
list file = ./DEMO_GA.dverlst
******************
start of WCAP information
*********************
Array type(T8G34TW8) is STANDARD MASTER.
サインオフシステム R1.9.1 コマンドリファレンス 99
.....
DV E R
6
DV E R
実行リスティングファイル
=== GROUPS UTILITY INFORMATION ===
Library Name
: TC240ET
Array Type
: T8G34TW8
Circuit Level : CHIP
Grouping Mode : EA
Gate Count
: master chip =
circuit
Utility(%)
3873476
=
1113
: circuit / master chip * 100 = 0.03
Group Name
Group
Gates
Kind
Max Gates
(Group Cell) (Group Area)
-------------------- --------- ------------ -----------Program version:
WCAP
Option
:
none
Process
:
Loading ioparam file...
(
SKIP
)
:
Loading instparam file...
(
SKIP
)
:
Loading clkinfo file...
(
SKIP
)
:
Loading clkdel file...
(
SKIP
)
:
Estimated wire capacitance calculation... ( SUCCESS )
:
Actual wire capacitance calculation...
(
SKIP
)
:
Creating ioparam_template file...
(
SKIP
)
:
arraychk = STD
:
gateeat = ON
:
divider = .
:
seglenselect = DEFAULT
:
groups_templatesw = ON
:
groups_template = ./DEMO_GA.groups_template
:
groupmake = ON
:
usearea = ON
Condition
VERSION 2.01
: gatelen =
/project/eecxxx/usr2/veri/private/iesato/R191/TOSH_ROOT/toshiba_common/lib_So
laris/TC240ET/etc/TC240ET.gatelen
:
eseglensw = OFF
:
iodef = ZERO
:
ioparam_templatesw = OFF
:
termcap = DEFAULT
:
maxsegmentnum = 30000
:
maxnodenum = 30000
: buf_wire =
/project/eecxxx/usr2/veri/private/iesato/R191/TOSH_ROOT/toshiba_common/lib_So
laris/TC240ET/etc/TC240ET.buf_wire
*******************
end of WCAP information
>> TDGS FILE <<
USER
:./DEMO_GA.tdgs
>> LDDL2 MASTER FILE <<
100 サインオフシステム R1.9.1 コマンドリファレンス
************************
VERSUM ファイル
:/project/eecxxx/usr2/veri/private/iesato/R191/TOSH_ROOT/
toshiba_common/lib_Solaris/TC240ET/lddl2/2.5/TC240ET.master
>> Execution time <<
Start time
:
Tue Dec
7 20:43:42 1999
End time
:
Tue Dec
7 20:43:47 1999
CPU time
:
00:00:01.02
>> Configuration file <<
File name : ./tsb.config
******************
Error message count table
COMMON
******************
DVER
: Message level
= (
1 )
(
1 )
: Warning level
= (
0 )
(
0 )
: Error
level
= (
0 )
(
0 )
: Fatal
level
= (
0 )
(
0 )
: System
level
= (
0 )
(
0 )
: Design Warning = (
0 )
(
0 )
: Design Error
0 )
(
9 )
***************************
= (
END OF LOG
**************************
VERSUM ファイル
..................................................
VERSUM ファイルには回路について以下の 2 つのサマリが入ります。デフォ
ルトのファイル名は「回路名 .versum」です。
♦ ネットワークサマリ
♦ ゲートカウントサマリ
ネットワークサマリ
ネットワークサマリには、設計した回路に対して適切な大きさの母体を選択
したかどうかを判断するための情報が入ります。回路を実現するために必要な
ゲート ( グリッド ) 数、I/O パッド数、および I/O スロット数が主な判断基準に
なります。ネットワークサマリの例を図 6–17 に示します。
図 6–17 ネットワークサマリの例
[A]
Module name
:
DEMO_GA
User’s id
:
ndmchk
Series name
:
TC220G
Array type
:
T3S45
[B]
TC220G Library date : 961205
TC220G Library version : 2.10
[C]
1)
サインオフシステム R1.9.1 コマンドリファレンス 101
.....
DV E R
DV E R
6
VERSUM ファイル
Number of input
pins
(excluding bidirectional pins) --------:
7
Number of output pins
(excluding bidirectional pins) --------:
2
Number of bidirectional pins -----------------------------------:
8
-----
Total number of I/O signal pins used -------------------------:
17
2)
Number of pad locations used for input
pins -------------------:
7
Number of pad locations used for output pins -------------------:
2
Number of pad locations used for bidirectional pins ------------:
8
-----
Total number of pad locations used for above -------------------:
17
Total number of pad locations available for above --------------:
128
3)
Number of I/O slots used for input
buffers --------------------:
7
Number of I/O slots used for output buffers --------------------:
2
Number of I/O slots used for bidirectional buffers -------------:
8
Number of I/O slots used for internal buffers ------------------:
0
-----
Total number of I/O slots used for above -----------------------:
17
Total number of I/O slots available ----------------------------:
248
4)
Number of redundant cells (deleted) ----------------------------:
5
5)
Normal Normal
cell
block
IO
SMC
block
Total
------ ------ ------ ------
block
------
Number of cell types used -----------:
9
0
3
0
12
Number of cell used -----------------:
194
0
17
0
211
Number of transistor pairs used -----:
600
0
39
0
639
Number of gates used ----------------:
600
0
39
0
639
Number of gates in master chip ---------------------------------:
182596
6)
Array gate usage (%) -------------------------------------------:
0.33
Array gate usage excluding blocks (%) --------------------------:
0.33
[D]
Maximum number of pins per net ---------------------------------:
102 サインオフシステム R1.9.1 コマンドリファレンス
11
VERSUM ファイル
Average number of pins per net ---------------------------------:
3.100
Number of nets with 10 < pins/net <= 20 ------------------------:
2
Number of nets with pins/net > 20 ------------------------------:
0
Number of signal nets ------------------------------------------:
209
***** END OF NETWORK SUMMARY *****
以下の番号は、サマリ中の番号と対応しています。
[A] プロジェクト ID、モジュール名、テクノロジーおよび製品タイプ ( 母体
名 ) を示します。
[B] DVER が参照したライブラリの作成日付とリビジョン番号を示します。
[C] このブロックには冗長セルを削除したあとの値が出力されます。
1. 回路で使用している入力、出力、双方向ピンの数とそれらの合計です。
2. 回路で使用している入力、出力、双方向パッドの数とそれらの合計お
よび母体上の I/O パッド数です。回路で必要な合計パッド数が母体の
I/O パッド数を超えていないことを確認してください。
出力バッファのタイプと同時スイッチング数によ
り、I/O パッドを VDD、VSS として設置する必要
があります。詳しくは『CMOS ASIC デザインマ
ニュアル』を参照してください。
3. 回路で使用している I/O スロットの総数が母体の I/O スロット数を超え
ていないことを確認してください。I/O スロットは外部入出力バッファ
および高駆動内部バッファで使われます。
4. DVER のゲートイーティング機能により削除されたマクロセルの数で
す。
5. セル・ブロックタイプごとに使用しているセルタイプ数 ( 種類数 )、イ
ンスタンス数、N チャネルと P チャネルのトランジスタのペア数、お
よびゲート ( グリッド ) 数を示します。セル・ブロックタイプは以下の
とおりです。
NORMAL BLOCK
IO BLOCK
NORMAL CELL
SMC
RAM や ROM などのメガセル
I/O セル
それ以外のすべてのマクロセル
スーパーインテグレーション(SI)のスーパーマクロ
セル。ゲートアレイ、セルベース IC、エンベデット
アレイでは使用しません。
6. ゲート使用率 (Array gate usage) とは、回路で使用しているゲート
数の選択した母体上のゲート数に対する割合です。ブロックを除いた
ゲート使用率 (Array gate usage excluding blocks) は以下の
ように求められます。
サインオフシステム R1.9.1 コマンドリファレンス 103
.....
DV E R
6
DV E R
VERSUM ファイル
ランダムゲート数
× 100 (%)
( 総ゲート数 - メガセルゲート数 )
最大ゲート使用率は使用するセルのタイプや数、
テクノロジー、母体の大きさ、メタル配線層数に
より異なります。目安については当社 ASIC デザ
インセンターにお問い合わせください。
[D] 配置配線の難易度を予測するために当社のレイアウトエンジニアが参照す
る統計です。配線の難易度は母体のサイズ、ピン数、ネット数、ピン数に
対するネット数の割合で予測します。例えば、ゲート使用率が低くてもピ
ン数に対するネット数の比率が高い場合は配線は難しくなります。
ゲートカウントサマリ
ゲートカウントサマリには回路内の各モジュールブロックで使用している
ゲート数などに関する情報が入ります。図 6–18 にゲートカウントサマリの例
を示します。
図 6–18 ゲートカウントサマリの例
1)
2)
LEVEL
NAME
GATE
TRANSISTOR
CELL
------ ------------------ ------------- ------------- ------------0
DEMO3(TOP MODULE)
50/674
(
1
ALU(.OC001)
1
ALU(.OC002)
50/674
23/216
50)/(639 ) (
50)/(639 ) (
182/182
182/182
76/76
( 178)/(178 ) ( 178)/(178 ) (
182/182
182/182
DECO(.ODECO)
1
EX273(.OC004)
20/20
(
EX273(.OC005)
1
EX273(.OC003)
20/20
) (
80/80
(
1
20)/(20
80)/(80
) (
80)/(80
53)/(53
) (
) (
80)/(80
) (
53)/(53
)
11)/(11
)
10)/(10
)
10/10
) (
80/80
) (
75)/(75
10/10
80/80
80/80
(
80)/(80
)
11/11
80/80
80/80
(
20)/(20
75)/(75
76/76
( 178)/(178 ) ( 178)/(178 ) (
1
23)/(211 )
10)/(10
)
10/10
) (
7)/(7
)
1. 階層の深さを表します。レベル 0 は最上位のモジュールを表します。
2. モジュールの名前およびインスタンス名が入ります。同一の階層レベルに
複数のモジュールブロックがある場合は、アルファベット順にソートされ
ます。
GATE、TRANSISTOR、
およびCELLの欄は、それぞれ以下のように4つのフィー
ルドからなります。
104 サインオフシステム R1.9.1 コマンドリファレンス
VERREF ファイル
[1]/[2]
([3]/[4])
上段の行 ( フィールド 1 とフィールド 2) は冗長マクロセルがゲートイーター
により削除されるまえの値です。下段の行 ( フィールド 3 とフィールド 4) は
削除したあとの値です。
スラッシュの左側の数字 ( フィールド 1 とフィールド 3) は、そのブロック内
自体で使用しているゲート数、N・P チャネルトランジスタのペア数、セル
数です。スラッシュの右側の数字 ( フィールド 2 とフィールド 4) は、下位の
ブロックを含めた使用数の累計です。
gatetlsw オプションで ON を指定すると、
ゲートカウントサマリには以下の
ように回路で必要なゲート総数を示す 1 行しか出力されません。スラッシュ
の左側の数字は冗長マクロセルがゲートイーターにより削除されるまえの
ゲート数です。右側は削除されたあとのゲート数です。
NUMBER OF GATE USED
: 674/639
VERREF ファイル
..................................................
cellsw、padsw、netsw オプションで ON を指定することにより、セルタイ
プクロスリファレンス、パッドクロスリファレンス、ネットクロスリファレン
スを作成できます。
VERREF ファイルのデフォルトのファイル名は「回路名 .verref」です。以
下の項目では各クロスリファレンスの例を示し、その説明をします。デフォル
トでは、各クロスリファレンスには冗長セルを削除したあとの情報が入りま
す。
セルタイプクロスリファレンス
セルタイプクロスリファレンスには回路で使用しているすべてのセルタイプ
に関する情報が入ります。図 6–19 にセルタイプクロスリファレンスの例を示
します。
図 6–19 セルタイプクロスリファレンスの例
1)
NO.
----1
2
3
4
5
6
7
8
9
10
2)
3)
4)
5)
6)
7)
NAME
REV
DATE
NUMBER
GATE
IODEV
------------ -------- -------- ------ -------- -----B2IP
1.10.00
961205
6
4
0
B4
1.10.00
961205
2
0
1
BD4CU
1.10.00
961205
8
4
1
EOP
1.10.00
961205
16
4
0
FD2P
1.10.00
961205
21
9
0
IVAP
1.10.00
961205
3
2
0
IVP
1.10.00
961205
52
1
0
ND2P
1.10.00
961205
43
2
0
ND3P
1.10.00
961205
37
3
0
ND4P
1.10.00
961205
12
4
0
サインオフシステム R1.9.1 コマンドリファレンス 105
.....
DV E R
DV E R
6
VERREF ファイル
11 ND6P
12 TLCHTH
1.10.00
1.10.00
961205
961205
4
7
5
1
0
1
***** END OF CELL TYPE REFERENCE *****
以下の番号は図 6–19 中の番号と対応しています。
1. 連番
2. 当社ライブラリ中のセルタイプ名
3. セルのリビジョン番号
4. セルの作成日付
5. 回路中でのセル使用個数
6. セル 1 つについて必要なゲート数。libtype オプションで SC を指定して
いる場合、つまりセルベース IC の回路の場合は、この欄の見出しは
「GRID」になります。
7. セル 1 つについて必要な I/O スロット ( デバイス ) 数
パッドクロスリファレンス
パッドクロスリファレンスには、すべての入力、出力、および双方向パッド
に関する情報が入ります。図 6–20 にパッドクロスリファレンスの例を示しま
す。
図 6–20 パッドクロスリファレンスの例
1)
2)
3)
PAD NAME
CELL NAME
CELL TYPE
4)
5)
TYPE IODEV
6)
PAD
------------ ------------------------ ------------ ---- ----- ----.A0
.O1N278
TLCHTH
INP.
1
1
.A1
.O1N277
TLCHTH
INP.
1
1
.CI
.O1N273
TLCHTH
INP.
1
1
.CLR
.O1N279
TLCHTH
INP.
1
1
.CSN
.O1N274
TLCHTH
INP.
1
1
.RDN
.O1N275
TLCHTH
INP.
1
1
.WRN
.O1N322
TLCHTH
INP.
1
1
.CO
.O1N318
B4
OUT.
1
1
.EQ
.O1N317
B4
OUT.
1
1
.D0
.O1N315
BD4CU
BID.
1
1
.D1
.O1N311
BD4CU
BID.
1
1
.D2
.O1N283
BD4CU
BID.
1
1
.D3
.O1N284
BD4CU
BID.
1
1
.D4
.O1N302
BD4CU
BID.
1
1
以下の番号は図 6–20 中の番号と対応しています。
106 サインオフシステム R1.9.1 コマンドリファレンス
VERREF ファイル
1. パッド名が入力バッファ、出力バッファ、双方向バッファ、内部バッファ
のアルファベット順に列挙されます。I/O パッドを必要とする内部バッファ
は「-------」で示されます。
2. バッファにつけられたモジュール識別名 ( インスタンス名 )
3. 当社ライブラリ中のセルタイプ名
4. バッファのタイプ
INP.
OUT.
BID.
INT.
外部入力バッファ
外部出力バッファ
外部双方向バッファ
内部バッファ
5. 1 つのバッファで必要な I/O スロット ( デバイス ) 数
6. 1 つのバッファで必要な I/O パッド数
ネットクロスリファレンス
ネットクロスリファレンスにはすべてのネットに関する情報が入ります。各
ネットが接続するすべてのセルのすべてのピンが列挙されます。また、各ネッ
トについて駆動能力や負荷容量などが出力されます。ネットはアルファベット
順にソートされます。図 6–21 にネットクロスリファレンスの例を示します。
図 6–21 ネットクロスリファレンスの例
(1)
(2)
(3)
(4)
(5)
(6)
(7)
(8)
NET NAME
ORIGIN(PIN NAME)
O-TYPE
FI/FO
CELLNAME(PIN NAME)
N-TYPE
DRIVE
LOAD
------------------------ ------------------------ -------- -------- ------------------------ -------- --------- --------$VDD$
-----------------------0/
2 .OC002.O1N298.A
ND6P
--------2.000
.OC002.O1N299.A
ND6P
$VSS$
-----------------------0/ 15 .O1N322.PI
TLCHTH
--------9.300
.O1N315.PI
BD4CU
.O1N311.PI
BD4CU
.O1N302.PI
BD4CU
.O1N299.PI
BD4CU
.O1N296.PI
BD4CU
.O1N290.PI
BD4CU
.O1N284.PI
BD4CU
.O1N283.PI
BD4CU
.O1N279.PI
TLCHTH
.O1N278.PI
TLCHTH
.O1N277.PI
TLCHTH
.O1N275.PI
TLCHTH
.O1N274.PI
TLCHTH
.O1N273.PI
TLCHTH
.A0
***PACKAGE PIN***
<INPUT>
1/
1 .O1N278.A
TLCHTH
--------0.000
.A001
.O1N265.Z
IVAP
1/
4 .ODECO.O1N39.A
ND3P
111.000
0.000
.ODECO.O1N38.A
ND3P
.ODECO.O1N37.A
ND3P
.O1N324.A
ND2P
.A002
.O1N267.Z
IVAP
1/
3 .ODECO.O1N39.B
ND3P
111.000
6.000
.ODECO.O1N38.B
ND3P
.ODECO.O1N37.B
ND3P
.A003
.O1N277.Z
TLCHTH
1/
2 .ODECO.O1N36.A
ND2P
183.000
4.000
.ODECO.O1N23.A
IVP
.A004
.O1N278.Z
TLCHTH
1/
2 .ODECO.O1N35.B
ND2P
183.000
4.000
.ODECO.O1N24.A
IVP
.A1
***PACKAGE PIN***
<INPUT>
1/
1 .O1N277.A
TLCHTH
--------0.000
.C1
***PACKAGE PIN***
<INPUT>
1/
1 .O1N273.A
TLCHTH
--------0.000
.CLR
***PACKAGE PIN***
<INPUT>
1/
1 .O1N279.A
TLCHTH
--------0.000
サインオフシステム R1.9.1 コマンドリファレンス 107
.....
DV E R
6
.CMDLN
.CMDUN
.CMSEN
.CO
.CSN
.D0
DV E R
VERREF ファイル
ND3P
ND3P
ND3P
B4
<INPUT>
BD4CU
<BIDIRE>
EOP
1/
1/
1/
1/
1/
2/
1
1
1
1
1
2
.D01
.ODECO.O1N39.Z
.ODECO.O1N38.Z
.ODECO.O1N37.Z
.O1N318.Z
***PACKAGE PIN***
.O1N315.IO
***PACKAGE PIN***
.OC002.O1N247.Z
1/
2
.D02
.OC002.O1N252.Z
EOP
1/
2
.D03
.OC002.O1N251.Z
EOP
1/
2
.D04
.OC002.O1N253.Z
EOP
1/
2
.D05
.OC002.O1N247.Z
EOP
1/
2
.D06
.OC002.O1N252.Z
EOP
1/
2
.D07
.OC002.O1N251.Z
EOP
1/
2
.D08
.OC002.O1N253.Z
EOP
1/
.D1
.O1N311.IO
***PACKAGE PIN***
.O1N283.IO
***PACKAGE PIN***
.O1N284.IO
***PACKAGE PIN***
.O1N302.IO
***PACKAGE PIN***
.O1N299.IO
***PACKAGE PIN***
.O1N296.IO
***PACKAGE PIN***
.O1N290.IO
***PACKAGE PIN***
.O1N317.Z
.OC001.O1N213.Z
BD4CU
<BIDIRE>
BD4CU
<BIDIRE>
BD4CU
<BIDIRE>
BD4CU
<BIDIRE>
BD4CU
<BIDIRE>
BD4CU
<BIDIRE>
BD4CU
<BIDIRE>
B4
IVP
2/
2 .OC002.O1N297.A
.O1N290.A
2 .O1N311.IO
***PACKAGE PIN***
2 .O1N283.IO
***PACKAGE PIN***
2 .O1N284.IO
***PACKAGE PIN***
2 .O1N302.IO
***PACKAGE PIN***
2 .O1N299.IO
***PACKAGE PIN***
2 .O1N296.IO
***PACKAGE PIN***
2 .O1N290.IO
***PACKAGE PIN***
1 ***PACKAGE PIN***
.OC001.O1N261.A
.D2
.D3
.D4
.D5
.D6
.D7
.EQ
.OC001.GN
2/
2/
2/
2/
2/
2/
1/
.OC005.O1N31.A
.OC004.O1N31.A
.OC003.O1N31.A
***PACKAGE PIN***
.O1N274.A
.O1N315.IO
***PACKAGE PIN***
.OC002.O1N297.D
.O1N315.A
.OC002.O1N297.D
.O1N315.A
.OC002.O1N297.B
.O1N283.A
.OC002.O1N297.A
.O1N284.A
.OC002.O1N297.D
.O1N284.A
.OC002.O1N297.C
.O1N299.A
.O1N299.A
.OC002.O1N297.B
.O1N296.A
B21P
52.000
B21P
52.000
B21P
52.000
<OUTPUT>
780.000
TLCHTH
--------BD4CU
780.000
<BIDIRE>
ND4P
68.000
BD4CU
ND4P
68.000
BD4CU
ND4P
68.000
BD4CU
ND4P
68.000
BD4CU
ND4P
68.000
BD4CU
ND4P
68.000
BD4CU
BD4CU
ND4P
68.000
BD4CU
2.000
2.000
2.000
0.000
0.000
0.000
ND4P
BD4CU
BD4CU
<BIDIRE>
BD4CU
<BIDIRE>
BD4CU
<BIDIRE>
BD4CU
<BIDIRE>
BD4CU
<BIDIRE>
BD4CU
<BIDIRE>
BD4CU
<BIDIRE>
<OUTPUT>
ND2P
68.000
3.000
780.000
0.000
780.000
0.000
780.000
0.000
780.000
0.000
780.000
0.000
780.000
0.000
780.000
0.000
780.000
68.000
0.000
2.000
3.000
3.000
3.000
3.000
3.000
3.000
3.000
1. Verilog-HDL または VHDL 形式のネットリスト中に現れるネットの階層的信
号名です。電源、グランドはそれぞれ $VDD$ と $VSS$ で示されます。
2. ネットを駆動するセルと出力ピンの名前です。***PACKAGE PIN*** は外
部入力ピンを示します。並列接続および 3 ステートバスの場合は、1 つの
ネットが複数のセルにより駆動されます。その場合、駆動するセルは、
別々の行に出力されます。
3. O-TYPE は origin type を表します。これは信号を駆動しているマクロセルの
タイプ名です。外部入力ピンのピンタイプは <INPUT>、外部双方向ピンの
入力側は <BIDIRE> で示されます。
4. FI と FO はファンインとファンアウトを示します。最初の数字 (FI) はネッ
トを駆動しているセルの出力ピンの数を示します。2 番目の数字 (FO) は
ネットに駆動されているセルの出力ピンの数を示します。
5. ネットに駆動されている入力ピンを示します。***PACKAGE PIN*** は外
部出力ピンを示します。
6. ネットが駆動するセルのタイプ名を示します。外部出力ピンのピンタイプ
は <OUTPUT>、外部双方向ピンの出力側は <BIDIRE> で示されます。
108 サインオフシステム R1.9.1 コマンドリファレンス
TREE ファイル
7. セルの出力ピンが駆動できる最大負荷容量を LU 単位で示します。TC240 シ
リーズ以降の場合は fF ( フェムトファラッド ) 単位で示します。外部のピン
の場合「---------」と出力されます。
8. ネットが駆動する負荷容量を LU 単位で示します。TC240 シリーズ以降の場
合は fF ( フェムトファラッド ) 単位で示します。これは駆動されるセルの入
力ピンのゲート入力容量の和 ( ファンアウト負荷 ) と配線負荷容量の合計で
す。
1. の階層的信号名が 24 文字以上の場合、それ以降の情報は改行して次の行に
出力されます。また、セルの O-TYPE または N-TYPE が 8 文字以上の場合は、
それ以降の情報は次の行に出力されます。
TREE ファイル
..................................................
TREE ファイルには回路の階層構造が図示されています。1 行目、すなわち最
上位モジュールではモジュール名、ゲート数が示されます。それ以外は識別
名、モジュール名、冗長セルを削除したあとのゲート数の 3 つが出力されま
す。図 6–22 に TREE ファイルの例を示します。
図 6–22 TREE ファイルの例
HIERARCHY
MODULE INSTANCE NAME [MODULE NAME] (NUMBER OF GATES)
------------------------------------------------------------------/ [DEMO3](639.00)
|- .OC001 [ALU](178.00)
|- .OC002 [ALU](178.00)
|- .ODECO [DECO](20.00)
|- .OC003 [EX273](53.00)
|- .OC005 [EX273](80.00)
|- .OC004 [EX273](80.00)
DRVLIST ファイル
..................................................
ドライブリミット違反のワーニングメッセージ、致命的エラーメッセージは
実行リスティングファイル ( 回路名 .dverlst) に出力されます。
さらにドライブチェックを行ったときに、drvmap、drvper、drvway オプ
ションを指定している場合、DRVLIST ファイル ( 回路名 .drvlist) が作成さ
れます。DRVLIST ファイルは以下の 2 つで構成されます。
♦ ネットごとの負荷容量とドライブリミットのヒストグラム
♦ 指定した値より大きい負荷容量・ドライブリミット比率または WAY 数
( 分岐ネット数 ) をもつネットの一覧
サインオフシステム R1.9.1 コマンドリファレンス 109
.....
DV E R
6
DV E R
DRVLIST ファイル
ドライブチェック結果ヒストグラム
ドライブチェック結果ヒストグラムは drvmap オプションで ON を指定する
と作成されます。全ネットの、負荷容量値とドライブリミット値の比をヒスト
グラム形式で出力します。
各ネットについて 2 つのグラフが出力されます。1 つは通常のドライブ
チェックの結果で、もう 1 つは COMFRQ ファイルを使ったときのエレクトロ
マイグレーションを考慮したドライブチェックの結果です。ヒストグラムは
( 負荷容量 / ドライブリミット ) × 100 (%) で示されます。各ヒストグラムの全
長は 200% で、中央の I は 100% を表します。つまり、中央の I よりも長い場
合、ドライブリミットの制約に違反していることになります。
図 6–23 ドライブチェック結果ヒストグラムの例
DRIVE LIMIT - LOAD RELATIVE MAP LIST
* : TOTAL CAPACITANCE
X : TOTAL CAPACITANCE(MIGRATION CHECK)
I : DRIVE LIMIT POINT
3)
SIGNAL NAME
4)
5)
6)
DRIVE
WAY
(84.80)(68.00)(
19)
LOAD/DRIVE(%) LOAD
1) .OC001.S1N72
2) I********************I****----------------I
(124)
IXXXXXXXXX-----------I--------------------I
( 46)
(183.0) [frq=60] 7)
.OC002.S1N72
I********************I****----------------I
(124)
IXXXXXXXXX-----------I--------------------I
( 46)
(84.80)(68.00)(
19)
(183.0) [frq=60]
.N0065
I******************--I--------------------I
( 90)
IXXXXXX--------------I--------------------I
( 33)
(61.54)(68.00)(
14)
(183.0) [frq=60]
.N0038
I**********----------I--------------------I
( 54)
IXXXX----------------I--------------------I
( 20)
(36.83)(68.00)(
8)
(183.0) [frq=60]
.N0040
I**********----------I--------------------I
( 54)
IXXXX----------------I--------------------I
( 20)
(36.83)(68.00)(
8)
(183.0) [frq=60]
以下の番号は図 6–23 中の番号と対応しています。
1. ネットの階層名
2. ヒストグラム
上段の「*」は通常のドライブリミットチェックの結果を表します。下段の
「X」は動作周波数を考慮したドライブリミットチェックの結果を表します。
下段のヒストグラムは、動作周波数を考慮したドライブリミットチェックを
実行したときだけ出力されます。「*」、
「X」の 1 文字は 5% を表します。
3. 負荷容量とドライブリミットの比 [%]
110 サインオフシステム R1.9.1 コマンドリファレンス
DRVLIST ファイル
4. 負荷容量 [LU、fF]
駆動されるモジュールの入力ピンのゲート入力容量と配線容量の総和
TC240 シリーズ以降は fF( フェムトファラッド ) 単位
5. ドライブリミット
信号を駆動しているモジュールの出力ピンのドライブリミット値
6. ネットのファンアウト数
7. ネットの動作周波数 [MHz]
ドライブチェック結果一覧
drvper オプションまたは drvway オプションのいずれかを指定したときに作
成されます。
drvper オプションを指定すると、
( 容量 / ドライブリミット ) が指定した比率
(%) 以上になるネットの情報だけ出力されます。この場合、容量 / ドライブリ
ミット比率の大きい順にソートされます。
drvway オプションを指定すると、WAY 数 ( 分岐ネット数 ) が指定した数以上
のネットの情報だけが出力されます。この場合、WAY 数の大きい順にソートさ
れます。
drvper オプション、drvway オプションの両方を指定した場合、両方の条件
を超えたネットの情報が出力されます。この場合、容量 / ドライブリミット比
の大きい順にソートされます。
図 6–24 ドライブチェック結果一覧の例
PERCENT/WAY OVER LIST
LIMIT PERCENT = 70.0 1)
LIMIT WAY
3)
SIGNAL NAME
= 10
2)
4)
5)
LOAD/DRIVE(%) LOAD
6)
DRIVE
7)
WAY
------------------------------------------ ------------- ----- ----- ----.A001
133.4
148.1 111.0
33
.OC002.S1N72
131.3
89.25 68.00
20
.OC001.S1N72
131.3
89.25 68.00
20
.N00248
121.4
134.7 111.0
30
.N0065
97.1
65.99 68.00
15
.OC001.S1N82
88.9
60.45 68.00
16
.OC002.S1N82
88.9
60.45 68.00
16
.OC002.S1N81
86.9
59.09 68.00
13
.OC001.S1N81
86.9
59.09 68.00
13
サインオフシステム R1.9.1 コマンドリファレンス 111
.....
DV E R
6
DV E R
RDTLIST ファイル
PERCENT/WAY OVER LIST
DEFAULT FREQUENCY = 33
LIMIT PERCENT
= 70.0
LIMIT WAY
= 10
8)
9)
SIGNAL NAME
LOAD
(%) LOAD
DRIVE FRQ
WAY
/DRIVE
------------------------------------------ --------- ----- ----- --- ----.A001
80.9
148.1 183.0
60
33
.N00248
73.6
134.7 183.0
60
30
以下の番号は図 6–24 中の番号と対応しています。
1. drvper オプションで指定した比率
2. drvway オプションで指定した WAY 数
3. 信号名
4. 負荷容量とドライブリミットの比 [%]
5. 負荷容量
6. ドライブリミット
7. ネットの WAY 数
8. デフォルトの動作周波数 (COMFRQ ファイルを使ったときのみ )
9. ネットの動作周波数 (COMFRQ ファイルを使ったときのみ )
RDTLIST ファイル
..................................................
RDTLIST ファイルには、冗長セルの識別名が 1 行に 1 つずつ出力されます。
gateeat=OFF と rdtlistsw=FORCE を同時に指定すると、回路上の冗長セル
は削除されずに冗長セルの一覧がファイルに出力されます。図 6–25 に
RDTLIST ファイルの例を示します。
図 6–25 RDTLIST ファイルの例
.BIX201020.MDIDEX00040.BABTHL00100.U114
.BIX201020.MDIDEX00040.BABTHL00100.U125
.BIX201020.MDIDEX00040.BABTHL00100.U128
.BIX201020.MDIDEX00040.BABTHL001
.BIX201020.MDIDEX00140.PABT004960
.REGCS17240.U40
112 サインオフシステム R1.9.1 コマンドリファレンス
CTSLIST ファイル
CTSLIST ファイル
..................................................
CTSLIST ファイル ( クロックツリー合成用のテンプレートファイル ) は
ctsout オプションで ON を指定すると生成されます。デフォルトのファイル名
は「回路名 .ctslist」です。F/F やラッチに接続するクロック信号、セッ
ト・リセット信号のネットの内、ctsway オプションで指定した WAY 数以上
のネットが出力されます。このファイルはクロックツリー合成で使われます。
ルートバッファ、挿入するサブクロックバッファ、対象となるクロック信号、
セット・リセット信号、動作周波数などを指定します。図 6–26 に CTSLIST
ファイルの例を示します。
図 6–26 CTSLIST ファイルの例
### For sGAL/CTS V3.3.0 ###
### For Chipin/CTS V4.0.0 ###
CTROUTE NOINTERACTIVE
ROOTDRIVER ROOTDRV GCLBTIFX2
# ROOTDRIVER ROOTDRV
SUBDRIVER SUBDRV XXX XXX
# DELAYINVERTER XXX
#SIGNALTYPE CLOCK
CLOCKNET
FREQ
CLUSTERCAP
AUTOBUFF
# TARGETDELAY
# SKEWLIMIT
##ROOT
##WAY
OC005/S1N10
100.00
100
SUBDRV
XXX
XXX
GCLBTIFX2,OC005/O1N31
8
CLOCKNET
FREQ
CLUSTERCAP
AUTOBUFF
# TARGETDELAY
# SKEWLIMIT
##ROOT
##WAY
OC004/S1N10
100.00
100
SUBDRV
XXX
XXX
GCLBTIFX2,OC004/O1N31
8
CLOCKNET
FREQ
CLUSTERCAP
AUTOBUFF
# TARGETDELAY
# SKEWLIMIT
##ROOT
##WAY
OC003/S1N10
100.00
100
SUBDRV
XXX
XXX
GCLBTIFX2,OC003/O1N31
5
#SIGNALTYPE RESET
CLOCKNET
FREQ
CLUSTERCAP
AUTOBUFF
OC005/S1N9
100.00
100
SUBDRV
サインオフシステム R1.9.1 コマンドリファレンス 113
.....
DV E R
6
DV E R
CLOCKLIST ファイル
# TARGETDELAY
# SKEWLIMIT
##ROOT
##WAY
XXX
XXX
GCLBTIFX2,OC005/O1N30
8
CLOCKNET
FREQ
CLUSTERCAP
AUTOBUFF
# TARGETDELAY
# SKEWLIMIT
##ROOT
##WAY
OC004/S1N9
100.00
100
SUBDRV
XXX
XXX
GCLBTIFX2,OC004/O1N30
8
CLOCKNET
FREQ
CLUSTERCAP
AUTOBUFF
# TARGETDELAY
# SKEWLIMIT
##ROOT
##WAY
OC003/S1N9
100.00
100
SUBDRV
XXX
XXX
GCLBTIFX2,OC003/O1N30
5
;
# Attention:Change Reset Signal From "FREQxxx" to "NOBALANCE"
F/F やラッチに直接接続しないクロック信号、セット・リセット信号のネッ
トはコメントとして出力されます。
CLOCKLIST ファイル
..................................................
CLOCKLIST ファイルは clocklistsw オプションで ON を指定すると生成さ
れます。デフォルトのファイル名は「回路名 .clocklist」です。
CLOCKLIST ファイルにはクロック信号に関するすべての情報が入ります。図
6–27 に CLOCKLIST ファイルの例を示します。
図 6–27 CLOCKLIST ファイルの例
10/03/1999 15:28:49
*** TOSHIBA CORP ***
DVER (V1.76)
NET NAME
ORIGIN(PIN NAME)
------------------------ -----------------------.CK33
.SYSCTL.I638.I4.Z
.SYSCTL.I638.I3.Z
.SYSCTL.I638.I2.Z
.SYSCTL.I638.I1.Z
CLOCK LIST
O-TYPE
FI/FO CELLNAME(PIN NAME)
N-TYPE
DRIVE
LOAD
-------- -------- ------- ----------------- -------- --------- --------IDRV24
4/2909 .VRESET.\I674-63.CP
FD2Q
24832.000 4423.237
IDRV24
.VRESET.\I674-62.CP
FD2Q
IDRV24
.VRESET.\I674-61.CP
FD2Q
IDRV24
.VRESET.\I674-60.CP
FD2Q
.VRESET.\I674-59.CP
FD2Q
.VRESET.\I674-58.CP
FD2Q
.VRESET.\I674-57.CP
FD2Q
.VRESET.\I674-56.CP
FD2Q
.VRESET.\I674-55.CP
FD2Q
.VRESET.\I674-54.CP
FD2Q
.VRESET.\I674-53.CP
FD2Q
.VRESET.\I674-52.CP
FD2Q
.VRESET.\I674-51.CP
FD2Q
.VRESET.\I674-50.CP
FD2Q
.VRESET.\I674-49.CP
FD2Q
.VRESET.\I674-48.CP
FD2Q
114 サインオフシステム R1.9.1 コマンドリファレンス
EDP
.....
....................................
7
この章では EDP について以下のことを説明します。
♦ EDP の機能
♦ 入出力ファイル
♦ EDP の実行方法
♦ シミュレーション結果リスティング
♦ SIGNALS ファイル
♦ 信号名リストファイル
EDP の機能
..................................................
EDP ( イベントダンププログラム ) は シミュレーション結果ファイルを読み
込み、理解可能なテキスト形式のリストを出力します。VLCAD の VDSP コマ
ンドと同様の出力が可能です。
デフォルトでは、EDP はシミュレーション結果ファイル中のすべての信号を
表示します。コマンドラインオプションを使うと、外部入力ピン、出力ピン、
双方向ピンだけを表示することができます。特定の信号だけを表示する場合、
テキストエディタで信号名リストファイルを作成し、表示したい信号名を記述
し、-sigfile オプションを指定して EDP を実行してください。
デフォルトでは、EDP の出力ファイル中の信号値は、0、1、X、Z を使って、
テーブル形式で表示されます。-wave オプションを指定すると、指定した信号
の変化と値が、文字を使った波形形式で表示されます。
EDP はサインオフ環境に依存せず、単体で動作します。そのため、デザイン
キットに含まれる他のコマンドと実行方法が異なりますので注意してくださ
い。
入出力ファイル
..................................................
以下に EDP の入出力ファイルを示します。
サインオフシステム R1.9.1 コマンドリファレンス 115
7
EDP
入出力ファイル
図 7–1 EDP の入出力ファイル
ピン情報
シミュレーション
結果ファイル
信号名リスト
ファイル
pininf
vcd. テスト識別子
ow. テスト識別子
vcd2. テスト識別子
EDP
signals
evlst または
evlst_No{n}
信号情報
シミュレーション
結果リスティング
入力ファイル
EDP の入力ファイルは以下のとおりです。
♦ 回路名 .pininf
PRESRA、PRETSO または TRAGEGEN により生成される、回路の外部入
出力ピンの情報が記述されているファイルです。
♦ 回路名 .vcd [. テスト識別子 ]
シミュレーション結果を VCD 形式で保存したファイルです。
Verilog-XL、NC-Verilog、VCS、Gemini、ModelSim、Leapfrog、Voyager
で生成されます。
♦ 回路名 .ow [. テスト識別子 ]
シミュレーション結果を WIF 形式で保存したファイルです。VSS で生成
されます。
♦ 回路名 .vcd2 [. テスト識別子 ]
シミュレーション結果を VCD 形式で保存したファイルです。Gemini で
生成されます。
Gemini を使うと VCD 形式のシミュレーション結果
ファイルが 2 つ生成されます。EDP を実行すると
き、この 2 つのファイルを入力ファイルとして読み
込みます。vcd2 ファイルは、-vcd2 オプションで指
定する必要があります。
116 サインオフシステム R1.9.1 コマンドリファレンス
EDP の実行方法
♦ 信号名リストファイル ( 任意 )
特定の信号について表示したい場合、エディットして生成します。フォー
マットについては 121 ページの「信号名リストファイル」を参照してくだ
さい。
出力ファイル
EDP の出力ファイルは以下のとおりです。
♦ 回路名 .evlst_No{n} または回路名 .evlst ( 任意 )
シミュレーション結果リスティングです。-width オプションで指定した
値より信号数が多い場合、複数のファイルに分割されて出力されます。
♦ 回路名 .signals
信号の情報が一覧表示されるファイルです。シミュレーション結果ファイ
ル中の外部入出力ピンに関する情報が格納されています。
EDP の実行方法
..................................................
この項では EDP のシンタックスとオプションについて説明します。
シンタックス
EDP を実行するには、UNIX のシェルプロンプトで以下のコマンドを入力し
ます。
edp シミュレーション結果ファイル名 PININF ファイル インスタンス名
シミュレータ名 [ オプション ...]
以下にオプションを示します。
EDP のオプションは、tsb.config ファイルに記述
できません。コマンドラインで指定してください。
-sigsort
-sigfile ファイル名
-input
-output
-bidirect
-width 信号数
-cycle テストサイクル
-divider {.|/}
サインオフシステム R1.9.1 コマンドリファレンス 117
.....
EDP
7
EDP
EDP の実行方法
-fmode {vcd|wif}
-vcd2
-from 時刻
-to 時刻
-separate
-wave
-jwave
オプション
-sigsort
信号名で信号をソートします。
-sigfile ファイル名
信号名リストファイルを指定します。信号名リストファイ
ルについては 121 ページの「信号名リストファイル」を参照
してください。
-input
外部入力ピンのみ表示します。
-output
外部出力ピンのみ表示します。
-bidirect
外部双方向ピンのみ表示します。
-width 信号数
1 行に表示する信号数を指定します。デフォルトではすべて
の信号を 1 行で表示します。
-cycle テストサイクル
テストサイクル時間 (ns) を指定します。指定したテストサ
イクル時間ごとにコメント行を挿入して表示します。
-divider {.|/}
階層区切り文字を指定します。
-fmode {vcd|wif}
入力ファイルとなるシミュレーション結果ファイルの形式
を指定します。
-vcd2
入力ファイルとなるシミュレーション結果ファイルの形式
を指定します。Gemini を使う場合に -fmode vcd とともに
指定します。
-from 時刻
出力開始時刻を指定します。デフォルトは 0.0 です。
-to 時刻
出力終了時刻を指定します。デフォルトはシミュレーショ
ンの最終時刻です。
-separate
シミュレーション結果リスティングファイルを分割して出
力します。デフォルトでは、ファイル名は、回路名
.evlst_No<n> です。ここで n は 1、2、3... です。
-wave
文字を使った波形形式で信号を表示します。
-jwave
日本語環境でのみ使用できます。日本語の罫線文字を使っ
た、波形形式で信号を表示します。
118 サインオフシステム R1.9.1 コマンドリファレンス
シミュレーション結果リスティング
実行例
以下に EDP の実行例を示します。
♦ 次のコマンドは VCD 形式のシミュレーション結果ファイルを読み込みま
す。
edp TEST.vcd TEST.pininf wave.TEST_wave verilog
edp TEST.vcd TEST.pininf TEST_TEST/UUT
modelsim -divider /
シミュレーション結果リスティング
..................................................
いずれかの信号が変化するごとに、その時刻におけるすべての信号値が表示
されます。シミュレーションの最終時刻は必ずしもシミュレーションの最終イ
ベントの時刻とは限りません。
図 7–2 にテーブル形式のシミュレーション結果リスティングの例を示します。
図 7–2 テーブル形式のシミュレーション結果リスティングの例
***** Event file dump program listing file V2.21 : Page
================================================
TOSHIBA S/O service utility
Event file dump program : edp (V2.21)
(C) Copyright TOSHIBA CORPORATION, since 1997.
================================================
Listing time : from 0 to SIMULATION END
Start time End time
(3)
0.370
0.460
100.000
100.390
200.000
:
:
1914.070
2000.370
QQQQCRCCCCCCCCCCCC
AAAALE000000000000
1234KS000000000000
E222222222222
T............
AAAAAAAAAAAA
000000000000
444333222111
............
CCDCCDCCDCCD
PD PD PD PD
||||||||||||||||||
||||||||||||||||||
VVVVVVVVVVVVVVVVVV
XXXX01XXXXXXXXX0XX
XXXX01X1XX1XX1X01X
XXXX11X1XX1XX1X01X
XXXX11X1XX1XX1X11X
XXXX01X1XX1XX1X11X
:
:
011111111110110110
000101111110110010
1 *****
(1)
(2)
サインオフシステム R1.9.1 コマンドリファレンス 119
.....
EDP
7
EDP
シミュレーション結果リスティング
2200.000 E <-- Simulation END.
(4)
1. 信号名
2. 信号値
3. シミュレーション時刻
4. シミュレーション終了時刻
図 7–3 に -wave オプションを指定した場合の波形形式のシミュレーション結
果リスティングの例を示します。ここで、文字列「|」を使って L または 0、H
または 1 を表します。文字列「I」が右側にある場合、H または 1 を表します。
不定値は「X」
、ハイインピーダンスは「Z」です。日本語環境の場合、
-jwave オプションを指定するとさらに見やすくなります。
図 7–3 波形形式のシミュレーション結果リスティングの例
***** Event file dump program listing file V2.21 : Page
================================================
TOSHIBA S/O service utility
Event file dump program : edp (V2.21)
(C) Copyright TOSHIBA CORPORATION, since 1997.
================================================
Listing time : from 0 to SIMULATION END
Q
A
1
0.000
130.000
239.700
239.790
240.000
300.000
314.070
314.930
316.530
.000
500.000
510.100
714.070
800.000
14300.000
14310.950
14400.000
14600.000
Q
A
2
Q
A
3
Q
A
4
C
L
K
R
E
S
E
T
|
|
V
|
+-+
|
|
+-+
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
V
V
V
V
V
|X| |X| |X| |X| |
|X| |X| |X| |X| |
|X| |X| |X|
| |
|
|
|
| |
|
|
|
| |
|
|
|
| +-+
+-+
|
|
|
|
|
+-+ +-+
|
|
|
|
|
+-+
|
|
|
|
|
+-+
|
+-+ |
|
+-+
+-+
| |
|
|
+-+
| |
|
|
|
| |
|
+-+
:
:
| |
| |
+-+
|
+-+ +-+
| |
|
|
|
|
| |
+-+
|
E <-- Simulation END.
120 サインオフシステム R1.9.1 コマンドリファレンス
1 *****
SIGNALS ファイル
SIGNALS ファイル
..................................................
SIGNALS ファイル ( 回路名 .signals) には外部入出力信号や入力、出力、
双方向信号の数などが一覧表示されます。図 7–4 に SIGNALS ファイルの例を
示します。
図 7–4 SIGNALS ファイルの例
================================================
TOSHIBA S/O service utility
Event file dump program : edp (V2.21)
(C) Copyright TOSHIBA CORPORATION, since 1997
================================================
******* SIGNALS *********
1 : (
OUTPUT ) QA1
2 : (
OUTPUT ) QA2
3 : (
OUTPUT ) QA3
4 : (
OUTPUT ) QA4
5 : (
INPUT ) CLK
6 : (
INPUT ) RESET
***** END SIGNALS *******
****************************************
Input signal count
: 2
Output signal count
: 4
Bidirect signal count : 0
---------------------------------------Total signal count
: 6
****************************************
信号名リストファイル
..................................................
特定の信号だけを表示させたい場合は、信号名リストファイルにその信号を
指定します。ファイル名は任意です。EDP 実行に -sigfile オプションを
使ってファイル名を指定してください。以下に、信号名リストファイルの
フォーマットを示します。
SIGNAL: 信号名 1, 信号名 2, ... ;
ワイルドカードとしてアスタリスク (*) のみ信号名の最後に使用できます。
[正]
SIGNAL: A, B, C;
SIGNAL: A*, BC*, D*;
[誤]
SIGNAL: *A, *B, *C;
SIGNAL: A*B , C*D* ;
サインオフシステム R1.9.1 コマンドリファレンス 121
.....
EDP
7
EDP
信号名リストファイル
122 サインオフシステム R1.9.1 コマンドリファレンス
8
IOPARAM
.....
....................................
この章では IOPARAM について以下のことを説明します。
♦ IOPARAM の機能
♦ 入出力ファイル
♦ IOPARAM の実行方法
IOPARAM の機能
..................................................
IOPARAM は、出力ピンに接続されるチップ外部の素子のタイプ (CMOS また
は TTL)、負荷容量、および入力ピンのスルーレートなどの I/O ピンのインタ
フェースパラメータを指定するためのテンプレートとなる
IOPARAM_TEMPLATE ファイルを作成します。IOPARAM_TEMPLATE ファイ
ルは遅延時間を計算するときに DCAL で使用します。
入出力ファイル
..................................................
図 8–1 に IOPARAM の入出力ファイルを示します。
図 8–1 IOPARAM の入出力ファイル
TDGS データ
ベースファイル
tdgs
ioparam
任意
IOPARAM
ioparam_template
DCAL で使用
ioparamlst
実行リスティング
ファイル
サインオフシステム R1.9.1 コマンドリファレンス 123
8
I O PA R A M
IOPARAM の実行方法
入力ファイル
IOPARAM の入力ファイルは以下のとおりです。
♦ 回路名 .tdgs
TDGS データベースファイルです。
♦ 回路名 .ioparam ( 任意 )
すでにある IOPARAM ファイルを入力として与えると、ファイル中の指定
が出力 IOPARAM_TEMPLATE ファイルにそのままコピーされます。
出力ファイル
IOPARAM の出力ファイルは以下のとおりです。
♦ 回路名 .ioparam_template
このファイルにはネットリスト中に記述されているすべての I/O ピンが入
ります。すでに存在する IOPARAM ファイルを IOPARAM の入力として使
用した場合は、ファイル中の指定がそのままこのファイルにコピーされま
す。その他の入出力ピンについてはすべてデフォルト値 ( すなわち標準
テスタ条件 ) が適用されます。デフォルト以外の値を使いたいときは、テ
キストエディタで修正してください。ファイルのシンタックスについては
43 ページの「IOPARAM ファイルを使った I/O インタフェースパラメータ
の指定」を参照してください。
♦ 回路名 .ioparamlst
実行リスティングファイルです。
IOPARAM の実行方法
..................................................
この項では IOPARAM のシンタックスとオプションについて説明します。
シンタックス
IOPARAM を実行するには、UNIX のシェルプロンプトで以下のコマンドを入
力します。
ioparam オプション ...
124 サインオフシステム R1.9.1 コマンドリファレンス
IOPARAM の実行方法
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *IOPARAM 部分にも記述できます。これらのオプションについては
「付録 A コンフィグレーションファイル」を参照してください。
simulator =
[VERILOG|VCS|GEMINI|MODELSIM|LEAPFROG|VSS|VOYAGER]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
toplevel = [CHIP|FUNCTION]
gateeat = [ON|OFF]
{noeat|noeatdir|noeatext} = ファイル名
{tdgs|tdgsdir|tdgsext} = ファイル名
paragraph = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------msout = [ON|OFF]
*WCAP に分類されるオプション
以下は IOPARAM と DCAL の両方で共通のオプションであり、tsb.config
ファイルの *WCAP 部分に記述できます。
{ioparam|ioparamdir|ioparamext} = ファイル名
{ioparam_template|ioparam_templatedir|ioparam_templateext}
= ファイル名
*IOPARAM に分類されるオプション
以下は IOPARAM で使用するオプションであり、tsb.config ファイルの
*IOPARAM 部分に記述できます。
{list|listdir|listext} = ファイル名
オプション
以下に *WCAP、*IOPARAM に分類されるオプションについて説明します。
*WCAP に分類されるオプション
{ioparam|ioparamdir|ioparamext} = ファイル名
入力 IOPARAM ファイルの名前を指定します。
サインオフシステム R1.9.1 コマンドリファレンス 125
.....
I O PA R A M
8
I O PA R A M
IOPARAM の実行方法
{ioparam_template|ioparam_templatedir|ioparam_templateext}
= ファイル名
IOPARAM により作成される IOPARAM_TEMPLATE ファイ
ルの名前を指定します。
*IOPARAM に分類されるオプション
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。
実行例
以下に IOPARAM の実行例を示します。
♦ 次のコマンドは最も簡単な起動方法です。実行ディレクトリにある「回
路名 .tdgs」という名前のファイルが TDGS データベースファイルとし
て使われます。
ioparam
♦ 次のコマンドは入力ファイルとして使う TDGS データベースファイルが
存在するディレクトリを指定します。tdgs1 というディレクトリにある
「回路名 .tdgs」という名前の TDGS データベースファイルが使われま
す。TDGS データベースファイルの名前がデフォルトと違うか、別の
ディレクトリにある場合は、tdgs、tdgsdir または tdgsext オプショ
ンを使って指定します。
ioparam tdgsdir=./tdgs1
♦ 次のコマンドは出力される IOPARAM_TEMPLATE ファイルの拡張子を指
定します。「回路名 .padload」という名前の IOPARAM_TEMPLATE
ファイルが作成されます。
ioparam ioparam_templateext=padload
126 サインオフシステム R1.9.1 コマンドリファレンス
LD2CL
.....
....................................
9
この章では LD2CL について以下のことを説明します。
♦ LD2CL の機能
♦ LD2CL の実行方法
♦ メガセル・特殊セルライブラリ
LD2CL の機能
..................................................
当社のサインオフシステムを使って回路を設計するとき、回路中で使われて
いるセルのタイミングなど特性の情報が必要になります。この章では、この情
報をテクノロジーデータベースとして準備する方法について説明します。
テクノロジーデータベースは、まず LDDL2 (Library Data Description Language
2) を使って記述します。LDDL2 で記述する内容には、2 種類あります。1 つ
は、セルのタイミング制約や遅延に関する記述です。もう 1 つは、テクノロ
ジーに関する記述で、対象となるテクノロジーに属するすべてのセルに共通の
内容です。
LD2CL の入力ファイルは、ASCII 形式の LDDL2 ファイルです。LD2CL は
LDDL2 コンパイラ・リンカで、LDDL2 ソース記述をオブジェクトコードに変
換するために使います。出力は、TDOBJ ファイルというオブジェクト形式の
ファイルになります。また、LD2CL を実行すると MASTER ファイルをいう
ファイルが生成されます。MASTER ファイルには、どの TDOBJ ファイルにど
のセルの情報が格納されているかを示すセル名とファイル名のインデックス
テーブルがバイナリ形式で格納されます。これにより、サインオフシステムの
プログラムは必要なセルの情報を素早く検索することができます (TDOBJ ファ
イル自体のサーチパスは tsb.config ファイル中で libdir オプションを
使って指定します )。
LD2CL は、一度に複数の LDDL2 ファイルを入力ファイルとして読み込むこ
とができます。この場合、各入力ファイルに対して TDOBJ ファイルを生成し
ます。すでに MASTER ファイルが存在する場合、LD2CL は、入力ファイルと
なる LDDL2 ファイル中に記述されているセル名と MASTER ファイル中に記述
されているセル名を照合することにより、MASTER ファイル中のインデックス
を作成しなおします。このとき、インデックスをどのように更新するかはコマ
ンドラインのオプションを使って指定できます。
サインオフシステム R1.9.1 コマンドリファレンス 127
9
LD2CL
LD2CL の実行方法
MASTER ファイルと TDOBJ ファイルは、テクノロジーデータベースとして、
デザインキット中のライブラリの一部として提供されます。
また、ユーザーが MDLGEN を使ってメガセル、コンパイラブルセルのライ
ブラリを新規に生成することがあります。MDLGEN はユーザーが指定したセ
ルタイプ、ワード・ビット構成の RAM や ROM のモデルを自動生成します。
MDLGEN は、オブジェクト形式の LDDL2 ファイル、つまり TDOBJ ファイル
を直接出力します。したがって、この場合、LD2CL では MASTER ファイルの
更新しのみを行います。
LD2CL の実行方法
..................................................
この項では LD2CL のシンタックスとオプションについて説明します。
シンタックス
LD2CL を実行するには、UNIX のシェルプロンプトで以下のコマンドを入力
します。
ld2cl オプション ...
以下にオプションを示します。
LD2CL のオプションは tsb.config ファイルに記述
できません。コマンドラインで指定してください。
-s LDDL2 ファイル名 ...
-b TDOBJ ファイル名 ...
-i [MASTER ファイル名 ]
{-a|-r|-ra} [MASTER ファイル名 ]
-p パス名 :...
-np パス名
-log 実行ログファイル名
オプション
-s LDDL2 ファイル名 ...
コンパイルする LDDL2 ファイルの名前を指定します。複数
のファイルを指定する場合は、ファイル名を空白で区切り
ます。拡張子を省略すると、デフォルトで .ld2cl が付加
されます。-s *.lddl2 のようにワイルドカードを使うこ
とができます。
128 サインオフシステム R1.9.1 コマンドリファレンス
LD2CL の実行方法
-b TDOBJ ファイル名 ...
MASTER ファイルの生成および更新時の入力ファイルとな
る TDOBJ ファイルの名前を指定します。複数のファイルを
指定する場合は、空白で区切ります。拡張子を省略すると、
デフォルトで .tdobj が付加されます。
-i [MASTER ファイル名 ]
LD2CL は、デフォルトでは「テクノロジー名 .master」と
いう名前の MASTER ファイルを読み込みます。デフォルト
以外の MASTER ファイルを入力ファイルとして読み込む場
合に、ファイル名を指定します。ここで「テクノロジー名」
は LDDL2 の共通事項で定義したテクノロジー名です。-a、
-r、-ra オプションを指定するとき、入力ファイルとして
MASTER ファイルが必要です。
{-a|-r|-ra} [MASTER ファイル名 ]
-a、-r、-ra オプションは、MASTER ファイルの更新を制
御します。「MASTER ファイル名」には、更新後の
MASTER ファイルの名前を指定します。ファイル名を省略
すると、デフォルトで「テクノロジー名 .master」という
名前になります。ここで「テクノロジー名」は LDDL2 の共
通事項で定義したテクノロジー名です。
-a
LDDL2 ファイル中のセル名をチェックし、新しいセ
ルのインデックスのみを MASTER ファイルに追加し
ます。
-r
LDDL2 ファイル中のセル名をチェックし、既存のセ
ルと一致するセルのみ MASTER ファイルのインデッ
クスを更新します。新しいセルは無視されます。
-ra
-a と -r の両方の機能を果たします。LDDL2 ファイル
中のセル名をチェックし、新しいセルのインデックス
を MASTER ファイルに追加し、既存のセルと一致す
るセルについては MASTER ファイル中のインデック
スを更新します。
-p パス名 :...
-b オプションで指定した TDOBJ ファイルのパスを指定しま
す。パス名はコロン (:) または空白で区切ります。デフォル
トは実行ディレクトリです。
-np パス名
生成される TDOBJ ファイルの出力先のパス名を指定します。
デフォルトは実行ディレクトリです。
-log 実行ログファイル名
生成される実行ログファイルの名前を指定します。
サインオフシステム R1.9.1 コマンドリファレンス 129
.....
LD2CL
9
LD2CL
メガセル・特殊セルライブラリ
メガセル・特殊セルライブラリ
..................................................
R1.9.1 では、当社データブックに掲載されている標準のメガセル、コンパイ
ラブルセルであれば、MDLGEN を使ってモデルを生成できます。MDLGEN は
ユーザーが指定したセルタイプ、ワード・ビット構成の RAM や ROM のモデ
ルを自動生成します。
新しくメガセル、コンパイラブルセルのライブラリを生成したら、LD2CL を
使って MASTER ファイルを更新しなければなりません。
標準でリリースされるライブラリに対する MASTER ファイルは
$TOSH_ROOT/toshiba_common/lib_< プラットフォー
ム >/< テクノロジー名 >/lddl2/< 動作電圧 > ディレクトリに格納されていま
す。ファイル名は「テクノロジー名 .master」です。
当社の MDLGEN で生成したメガセルモデルまたは個別対応の特殊セルモデ
ルがある場合は、これらのセルを MASTER ファイルに追加しなければなりま
せん。
VSO/VCSSO/GEMINISO の場合、モデルは次の 2 つのファイルで構成されま
す。
♦ TDOBJ ファイル
♦ Verilog シミュレータライブラリファイル ( ファイル名 .tsbvlibp または
ファイル名 .tsbvlib)
VITALSO/VOYSO の場合、モデルは次の 3 つのファイルで構成されます。
♦ TDOBJ ファイル
♦ VHDL パッケージ宣言ファイル (megacellPackage.vhd)
♦ VITAL ライブラリファイル ( コンパイル済みオブジェクト )
例えば、AI3016A、RA6004A という 2 つのメガセルを使っているとします。
ホームディレクトリを antelope とします。VSO/VCSSO/GEMINISO の場合、
図 9–1 に示すように、TDOBJ ファイルと MASTER ファイルは tsb_lib のサ
ブディレクトリに置きます。また、VITALSO/VOYSO の場合、ディレクトリの
構成は図 9–2 のようになります。
130 サインオフシステム R1.9.1 コマンドリファレンス
メガセル・特殊セルライブラリ
図 9–1 メガセルライブラリ (VSO/VCSSO/GEMINISO の場合 )
antelope
antelope.master
実行ディレクトリ
tsb.config
tsb_lib
AI3016A.tdobj
RA6004A.tdobj
AI3016A.tsbvlib
RA6004A
.tsbvlib
図 9–2 メガセルライブラリ (VITALSO/VOYSO の場合 )
antelope
実行ディレクトリ
tsb.config
tsb_lib
TC220G.master
AI3016A.tdobj
megacell
RA6004A.tdobj
VITAL ライブラリ
ファイル
VHDL パッケージ
宣言ファイル
1. 「テクノロジー名 .master」を実行ディレクトリにコピーして、書き込み許
可を与えます。以下のように入力します。
% cd tsb_lib
% cp /usr/toshiba_vso/toshiba_common
/lib_< プラットフォーム >/TC220G/lddl2/3.3
/TC220G.master ./antelope.master
% chmod 755 antelope.master
2. AI3016A.tdobj、RA6004A.tdobj、その他のファイルを図 9–1、図 9–2
に示したようにコピーします。
3. LD2CL を使って、MASTER ファイルを更新します。MASTER ファイルのあ
るディレクトリで、以下のコマンドを実行します。
サインオフシステム R1.9.1 コマンドリファレンス 131
.....
LD2CL
9
LD2CL
メガセル・特殊セルライブラリ
ld2cl
-b
-p
-a
-log
TDOBJ ファイル名
TDOBJ ファイルのディレクトリ名
MASTER ファイル名
ログファイル名
-b TDOBJ ファイル名
すべての TDOBJ ファイルの名前を空白で区切って指定しま
す。ワイルドカードを使ってもかまいません。
-p TDOBJ ファイルのディレクトリ名
-b オプションで指定した TDOBJ ファイルをコピーした先の
ディレクトリのパス名をコロンで区切って指定します。デ
フォルトは実行ディレクトリです。
-a MASTER ファイル名
MASTER ファイルの名前を指定します。
-log 実行ログファイル名
実行ログファイルの名前を指定します。
以下に実行例を示します。
% ld2cl -b AI3016A.tdobj RA6004A.tdobj
-a antelope.master
% ld2cl -b *.tdobj -a antelope.master -log ld2cl.log
4. tsb.config ファイルを以下のように修正します。
♦ libdir オプションに TDOBJ ファイルをコピーした先のディレクトリを
指定します。VSO/VCSSO/GEMINISO の場合は、Verilog シミュレータラ
イブラリファイルも指定します。
libdir=.:/usr/antelope/tsb_lib
♦ masterfile オプションで MASTER ファイルの名前を指定します。
masterfile=./tsb_lib/antelope.master
5. ネットリスト指定ファイルを作成します (VITALSO/VOYSO の場合のみ )。
入力ファイルとなるネットリストファイルを指定します。$TOSH_ROOT/
< シミュレータ名 >/sample ディレクトリの下に sample.files という
ファイルがあります。実行ディレクトリに「回路名 .files」というファイ
ル名でコピーして、以下の行を追加します。これらの行は、WORK キーワー
ドよりもまえに指定してください。
megacell:/antelope/tsb_lib/megacellPackage.vhd
設計手法により、さらにファイルを指定しなけれ
ばならない場合がありますので、当社 ASIC デザ
インセンターの指示に従ってください。
132 サインオフシステム R1.9.1 コマンドリファレンス
メガセル・特殊セルライブラリ
6. VHDL 記述中の初めに以下の行を追加します (VITALSO/VOYSO の場合の
み )。
library megacell;
use megacell.all;
サインオフシステム R1.9.1 コマンドリファレンス 133
.....
LD2CL
9
LD2CL
メガセル・特殊セルライブラリ
134 サインオフシステム R1.9.1 コマンドリファレンス
MDLGEN (VSO/VCSSO/VITALSO のみ )
.....
....................................
10
この章では MDLGEN について以下のことを説明します。
♦ MDLGEN の機能
♦ 入出力ファイル
♦ MDLGEN の実行方法
♦ メガセルモデルの生成フロー
MDLGEN の機能
..................................................
MDLGEN は、メガセル ( コンパイラブルセル ) のライブラリから、指定した
テクノロジー、回路構成の当社メガセル ( コンパイラブルセル ) のモデルを生
成します。生成されるメガセルのモデルファイルのフォーマットは、
Verilog-HDL、VHDL、LDDL2 ( バイナリ形式 ) に対応しています。
VSO/VCSSO/VITALSO の環境で遅延計算、シミュレーションを行う場合、当社
LDDL2 ( バイナリ形式 ) と、Verilog-HDL または VHDL の 2 つのフォーマット
でモデルを生成する必要があります。MDLGEN でサポートしているメガセル
( コンパイラブルセル ) の最新情報については、当社 ASIC デザインセンターの
技術担当者にお問い合わせください。
入出力ファイル
..................................................
図 10–1 に MDLGEN の入出力ファイルを示します。
サインオフシステム R1.9.1 コマンドリファレンス 135
10
M D L G E N ( V S O / V C S S O / V I TA L S O の み )
入出力ファイル
図 10–1 MDLGEN 入出力ファイル
メガセルデータ
PD ファイル
PD ファイルの
テンプレート
mgdata
pd または pdb
pdtemplate
MDLGEN
セル名 .tdobj
セル名 .tsbvlib
セル名 .vhd
mdlgen.log
実行ログファイル
モデルファイル
入力ファイル
MDLGEN の入力ファイルは以下の通りです。
♦ 回路名 .mgdata
ユーザーが使用するメガセルのセルタイプ、ワード数、ビット数を指定
する MGDATA ファイルです。ASCII 形式のファイルです。MGDATA
ファイルを使えば、1 回の実行で複数のモデルを生成できます。以下に
MGDATA ファイルの例を示します。
図 10–2 MGDATA ファイルの例
RAMS1A
RAMS2A
ROMS1A
RFS12A
WORD=64 BIT=8 ;
WORD=64 BIT=16 ;
WORD=128 BIT=8 ;
WORD=64 BIT=8 ;
♦ セルタイプ .pd またはセルタイプ .pdb
テクノロジーライブラリのパフォーマンスデータが格納される PD ファ
イルです。通常、ライブラリの一部として提供され、自動的にサーチさ
れるため、ユーザーは意識しなくてかまいません。しかし、特殊なコン
パイラブルセルに対応する目的で特別に提供された PD ファイルを使用
する場合は、ユーザーは -pd オプションでその PD ファイル名を指定し
なければなりません。
♦ セルタイプ .pdtemplate
テクノロジーライブラリの PD ファイルのテンプレートファイルです。
通常、ライブラリの一部として提供され、自動的にサーチされるため、
ユーザーは意識しなくてかまいません。しかし、特殊なコンパイラブル
セルに対応する目的で特別に提供された PDTEMPLATE ファイルを使用
136 サインオフシステム R1.9.1 コマンドリファレンス
MDLGEN の実行方法
する場合は、ユーザーは -t オプションでその PDTEMPLATE ファイル
の存在するディレクトリ名を指定しなければなりません。
出力ファイル
MDLGEN の出力ファイルは以下のとおりです。
♦ モデルファイル
MDLGEN は指定されたモデルを、メガセル ( コンパイラブルセル ) ごと
に生成します。以下にモデルファイル名、パッケージファイル名を示し
ます。
•
LDDL2 モデルの場合
セル名 .tdobj
•
Verilog-HDL モデルの場合
セル名 .tsbvlib
•
VHDL モデルの場合
セル名 .vhd、セル名 _pack.vhd
例えば 64 ワード × 8 ビットの RAMS1A の場合、ファイル名はそれぞれ
以下のようになります。
•
LDDL2 モデル
EAS060008A.tdobj
•
Verilog-HDL モデル
EAS060008A.tsbvlib
•
VHDL モデル
EAS060008A.vhd, EAS060008A_pack.vhd
♦ mdlgen.log
実行ログファイルです。
MDLGEN の実行方法
..................................................
この項では MDLGEN のシンタックスとオプションについて説明します。
シンタックス
MDLGEN を実行するには、UNIX のシェルプロンプトで以下のコマンドを入
力します。
mdlgen オプション ...
サインオフシステム R1.9.1 コマンドリファレンス 137
.....
M D L G E N ( V S O / V C S S O / V I TA L S O の み )
10
M D L G E N ( V S O / V C S S O / V I TA L S O の み )
MDLGEN の実行方法
以下にオプションを示します。
MDLGEN のオプションは、tsb.config ファイルに
記述できません。コマンドラインで指定してくだ
さい。
-target
ターゲットフォーマット , ...
これら 3 つは必須です。
-tech
テクノロジー名
-mg
MGDATA ファイル名
-log
実行ログファイル名
-pd
PD ファイル名
-t
PDTEMPLATE ファイルディレクトリ
-errors_only
-help
オプション
-target ターゲットフォーマット ,...
当社メガセルのモデルフォーマット (Verilog-HDL、VHDL、
LDDL2) を指定します。小文字で指定してください。有効な
ターゲットフォーマットは、lddl2、verilog、vital で
す。2 つのターゲットフォーマットをカンマで区切って指定
してください。VSO/VCSSO では LDDL2 と Verilog-HDL 形
式でモデルを生成する必要があります。VITALSO では
LDDL2 と VHDL 形式でモデルを生成する必要があります。
このオプションは必須です。
-tech テクノロジー名
テクノロジー名を指定します。小文字で指定してください。
このオプションは必須です。
-mg MGDATA ファイル名
入力ファイルとなる MGDATA ファイルの名前を指定しま
す。このオプションは必須です。
-log 実行ログファイル名
生成される実行ログファイルの名前を指定します。デフォ
ルトは mdlgen.log です。
-pd PD ファイル名
入力ファイルとなる PD ファイル名を指定します。通常、指
定する必要はありませんが、特殊なコンパイラブルセルに
対応するために特別に提供された PD ファイルを使用する場
合、PD ファイル名を指定します。デフォルトでは、
$TOSH_ROOT/toshiba_common/lib_< プラットフォーム
名 >/< テクノロジー名 >/param の下の「セルタイプ名
.pdb」または「セルタイプ名 .pd」という PD ファイルが使
われます。ここに PD ファイルがない場合は、ディレクトリ
$TOSH_ROOT/ toshiba_common/lib/< テクノロジー名 >
138 サインオフシステム R1.9.1 コマンドリファレンス
メガセルモデルの生成フロー
/param の下の「セルタイプ名 .pdb」または「セルタイプ
名 .pd」という PD ファイルが使われます。ディレクトリ中
に両方の PD ファイルが存在する場合、
「セルタイプ名
.pdb」が優先されます。
-t PDTEMPLATE ファイルディレクトリ
PDTEMPLATE ファイルの格納されているディレクトリを指
定します。通常、指定する必要はありませんが、特殊なコ
ンパイラブルセルに対応するために特別に提供された
PDTEMPLATE ファイルを使用する場合、PDTEMPLATE
ファイルが格納されているディレクトリを指定します。デ
フォルトでは、$TOSH_ROOT/toshiba_common/
template/< ターゲットフォーマット >/< テクノロジー名 >
です。PDTEMPLATE ファイルの名前は、「セルタイプ名
.pdtemplate」です。
-errors_only
このオプションを指定すると、致命的エラーのみメッセー
ジが生成されます。
-help
コマンドシンタックスが表示されます。このオプションは
単独で使用してください。他のオプションを指定しても無
視されます。
実行例
以下に MDLGEN の実行例を示します。
mdlgen -target lddl2,verilog -tech tc240ct -mgdata
design1.mgdata -log design1.log
メガセルモデルの生成フロー
..................................................
メガセルのモデルは通常、次の 2 段階で生成します。
1. LDDL2 モデル ( バイナリ形式 ) と Verilog-HDL または VHDL モデルを生成
します。
2. VHDL モデルの場合、使用するシミュレータに合ったモデルにコンパイル
します。
MDLGEN を使用しモデルを生成する方法
MGDATA ファイルを使って、使用するすべてのメガセルを指定します。例
えば、3 種類の RAM を生成したい場合、以下のような MGDATA ファイルを作
成します。
サインオフシステム R1.9.1 コマンドリファレンス 139
.....
M D L G E N ( V S O / V C S S O / V I TA L S O の み )
10
M D L G E N ( V S O / V C S S O / V I TA L S O の み )
メガセルモデルの生成フロー
図 10–3 MGDATA ファイルの例
RAMS1A WORD=128 BIT=8 ;
RAMS1A WORD=128 BIT=16 ;
RAMS2A WORD=64 BIT=8 ;
この MGDATA ファイルを使い LDDL2 と Verilog-HDL または VHDL のモデル
を生成します。
♦ LDDL2 と Verilog-HDL モデルの生成
mdlgen -target lddl2,verilog -tech tc240ct
-mg design1.mgdata
♦ LDDL2 と VHDL モデルの生成
mdlgen -target lddl2,vital -tech tc240ct
-mg design1.mgdata
上記コマンドを実行すると、それぞれ以下のファイルが生成されます。
•
LDDL2
EAS1A070008A.tdobj, EAS1A070016A.tdobj,
EAS2A060008A.tdobj
•
Verilog-HDL
EAS1A070008A.tsbvlib,EAS1A070016A.tsbvlib,
EAS2A060008A.tsbvlib
•
VHDL
EAS1A070008A.vhd, EAS1A070016A.vhd, AS2A060008A.vhd,
EAS1A070008A_pack.vhd, EAS1A070016A_pack.vhd,
EAS2A060008A_pack.vhd
MASTER ファイルの更新
ターゲットフォーマットに lddl2 を指定した場合、LDDL2 モデル ( バイナ
リ形式 ) が生成されます。以下に示すように、LD2CL を実行して、LDDL2 モ
デルを MASTER ファイルに追加してください。
ld2cl -b EAS1A070008.tdobj EAS1A070016.tdobj EAS2A060008.tdobj
-a TC240CT.master
その他のモデルファイルについては、各システム
のマニュアルを参照してください。例えば VHDL
の場合は、VHDL のモデルファイルをシミュレー
タにあわせてコンパイルする必要があります。
生成されたメガセルモデルの設定については「9章 LD2CL」を参照してく
ださい。
140 サインオフシステム R1.9.1 コマンドリファレンス
.....
MEMT2V
(VSO/VCSSO/VITALSO/GEMINISOのみ)
....................................
11
この章では MEMT2V について以下のことを説明します。
♦ MEMT2V の機能
♦ 入出力ファイル
♦ MEMT2V の実行方法
♦ 変換例
♦ 実行リスティングファイル
MEMT2V の機能
..................................................
MEMT2V は、当社の形式で記述された ROM データファイルを Verilog シ
ミュレータ、VHDL それぞれのシミュレータで使用できる形式に変換します。
♦ 1 回の実行で入力できるファイルは 1 つだけです。
♦ 1 つの入力ファイルに複数の ROM のデータを記述してもかまいません。
ただし、Verilog シミュレータ、VHDL シミュレータでは 1 つのファイル
につき 1 つの ROM のデータしか許していないので、MEMT2V は個々の
ROM に対して ROM データファイルを別々に出力します。
♦ 出力される ROM データファイルのフォーマットは、tsb.config ファイ
ル中の simulator オプションの値によって自動的に決定されます
(VERILOG、VCS の場合は Verilog-HDL 形式、VSS、LEAPFROG、
MODELSIM の場合は VHDL 形式です )。
♦ Verilog シミュレータには、ROM のデータを読み込む方法がいくつかあり
ます。Verilog シミュレータを使う場合、MEMT2V は ROM データファイ
ルを $readmemh タスクにより読み込むことができる形式に変換します。
♦ VHDL シミュレータには、読み込む ROM データファイルのフォーマット
にルールはありません。VHDL シミュレータを使う場合、MEMT2V は
ROM データファイルを VHDL シミュレータにより読み込むことができ
る形式に変換します。
1 ワードのビット数は 128 に制限されています。
サインオフシステム R1.9.1 コマンドリファレンス 141
11
M E M T 2 V ( V S O / V C S S O / V I TA L S O / G E M I N I S O の み )
入出力ファイル
入出力ファイル
..................................................
図 11–1 に MEMT2V の入出力ファイルを示します。
図 11–1 MEMT2V の入出力ファイル
当社 ROM
データファイル
rom
MEMT2V
回路名 _
ファイル番号
.romver
memt2vlst
ROM データファイル 実行リスティング
ファイル
入力ファイル
MEMT2V は 1 回の実行につき 1 つの当社形式の ROM データファイルを入力
とします。ファイル名は「回路名 .rom」です。複数の ROM データファイルが
ある場合、1 つ 1 つのファイルに対して MEMT2V を実行してください。デ
フォルト以外のファイル名を使いたい場合は、rom、romdir、romext オプ
ションで指定できます。
出力ファイル
MEMT2V の出力ファイルは以下のとおりです。
♦ 回路名 _ ファイル番号 .romver
Verilog-HDL または VHDL 形式の ROM データファイルです。入力ファ
イル中の ROM データの数だけ出力され、ファイル番号は 0、1、2、
3...(ROM データ数 -1) と連番になります。
♦ 回路名 .memt2vlst
実行リスティングファイルです。東芝 ROM データ記述言語ファイル中
の MODULE 文で指定したインスタンス名と Verilog-HDL または VHDL 形
式の ROM データファイル名の対応が出力されます。
142 サインオフシステム R1.9.1 コマンドリファレンス
MEMT2V の実行方法
MEMT2V の実行方法
..................................................
この項では MEMT2V のシンタックスとオプションについて説明します。
シンタックス
MEMT2V を実行するには、UNIX のシェルプロンプトで以下のコマンドを入
力します。
memt2v オプション ...
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *MEMT2V 部分にも記述できます。これらのオプションについては
「付録 A コンフィグレーションファイル」を参照してください。
simulator = [VERILOG|VCS|GEMINI|MODELSIM|LEAPFROG|VSS]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
paragraph = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------msout = [ON|OFF]
*MEMT2V に分類されるオプション
以下は MEMT2V で使用するオプションであり、tsb.config ファイルの
*MEMT2V 部分に記述できます。
{rom|romdir|romext} = ファイル名
romverdir = パス名
romverext = 拡張子
{list|listdir|listext} = ファイル名
オプション
以下に *MEMT2V に分類されるオプションについて説明します。
{rom|romdir|romext} = ファイル名
当社形式の入力 ROM データファイルの名前を指定します。
サインオフシステム R1.9.1 コマンドリファレンス 143
.....
M E M T 2 V ( V S O / V C S S O / V I TA L S O / G E M I N I S O の み )
11
M E M T 2 V ( V S O / V C S S O / V I TA L S O / G E M I N I S O の み )
変換例
romverdir = パス名
Verilog-HDL または VHDL 形式の ROM データファイルを出
力するディレクトリを指定します。
romverext = 拡張子
MEMT2V で作成される Verilog-HDL または VHDL 形式の
ROM データファイルの拡張子を指定します。
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。
実行例
以下に MEMT2V の実行例を示します。「ak3yok.romdata」という名前の
ROM データファイルを入力ファイルとして読み込みます。
memt2v rom=ak3yok.romdata
変換例
..................................................
図 11–2 に当社形式の ROM データファイルの例を示します。
図 11–2 当社形式の ROM データファイルの例
MODULE ECC_CORE/ICODE01 ;
WORD 1024,HEX ;
BIT 16,BIN ;
DATA :
000/ 0100000000000000 ;
001/ 0100000100100001 ;
002/ 0100000101100000 ;
003/ 0100000101100110 ;
004/ 0100000101101100 ;
005/ 0100000101110010 ;
006/ 0100000101111000 ;
007/ 0100000101111110 ;
008/ 0100000110001011 ;
009/ 0100000110001101 ;
00A/ 0100001010101010 ;
00B/ 0100000111110111 ;
00C/ 0100000111111001 ;
00D/ 0100001001100110 ;
00E/ 0100000110000111 ;
00F/ 0100000000101100 ;
010/ 0100000000110010 ;
011/ 0100000001010111 ;
012/ 0100000001100001 ;
013/ 0100000001101011 ;
014/ 0100000001110011 ;
015/ 0100000001111011 ;
016/ 0100000010000011 ;
017/ 0100000010001011 ;
018/ 0100000010010000 ;
019/ 0100000010010101 ;
144 サインオフシステム R1.9.1 コマンドリファレンス
変換例
01A/ 0100000010011101
01B/ 0100000010100101
.......
3FC/ 0000000000000000
3FD/ 0000000000000000
3FE/ 0000000000000000
3FF/ 0000000000000000
END MODULE ;
END ;
;
;
;
;
;
;
図 11–3 に MEMT2V で作成される Verilog-HDL 形式の ROM データファイル
の例を示します。
図 11–3 Verilog-HDL 形式の ROM データファイルの例
@0 4000
@1 4121
@2 4160
@3 4166
@4 416C
@5 4172
@6 4178
@7 417E
@8 418B
@9 418D
@a 42AA
@b 41F7
@c 41F9
@d 4266
@e 4187
@f 402C
@10 4032
@11 4057
@12 4061
@13 406B
@14 4073
@15 407B
@16 4083
@17 408B
@18 4090
@19 4095
.......
Verilog シミュレータで ROM にデータをロードす
るには、入力波形モジュールまたは TSC で生成さ
れる WAV ファイル、DRIVE ファイルに以下の行
を追加してください。
defparam
インスタンス名 .ROM_DATA="ROM データファイル " ;
ここでインスタンス名は ROM の階層的インスタンス名です。
defparam 文の例を以下に示します。
defparam
ECC_CORE.ICODE01.ROM_DATA="ak3yok.romver" ;
サインオフシステム R1.9.1 コマンドリファレンス 145
.....
M E M T 2 V ( V S O / V C S S O / V I TA L S O / G E M I N I S O の み )
11
M E M T 2 V ( V S O / V C S S O / V I TA L S O / G E M I N I S O の み )
変換例
図 11–4 に MEMT2V で作成される VHDL 形式の ROM データファイルの例を
示します。
図 11–4 VHDL 形式の ROM データファイルの例
0100000000000000
0100000100100001
0100000101100000
0100000101100110
0100000101101100
0100000101110010
0100000101111000
0100000101111110
0100000110001011
0100000110001101
0100001010101010
0100000111110111
0100000111111001
0100001001100110
0100000110000111
0100000000101100
0100000000110010
0100000001010111
0100000001100001
0100000001101011
0100000001110011
0100000001111011
0100000010000011
0100000010001011
0100000010010000
0100000010010101
0100000010011101
0100000010100101
.......
0000000000000000
0000000000000000
0000000000000000
0000000000000000
VHDL で ROM にデータをロードするには、ROM
モデルが参照される行の直後で、generic map 文を
使って指定します。
ラベル名 : ROM モデル名 port map( 信号並び )
generic map ( ROM_Data_file => "ROM データファイル名 " );
以下に例を示します。
INST: RC8004A port map( ... )
generic map ( ROM_Data_file => "DEMO.romver" );
146 サインオフシステム R1.9.1 コマンドリファレンス
実行リスティングファイル
実行リスティングファイル
..................................................
図 11–5 に MEMT2V の実行リスティングファイルの例を示します。
図 11–5 実行リスティングファイルの例 ( 回路名 .memt2vlst)
************************************************************************
*
Toshiba New Delay Model System
*
*
Toshiba S/O System for Solaris Release 1.9.1
*
*
Toshiba VERILOG S/O System for Solaris Release 1.9.1
*
*
TC200C Library (TSBVLIBP) Release 1.9.1
*
*
TC200C Library (TDOBJ) Release 1.9.1
*
Toshiba layout i/f System
*
Release 1.9.1
*
*
(c) Copyright Toshiba Corporation, since 1994.
*
*
All rights reserved.
*
************************************************************************
m2v-2000 Target toshiba-ROM-Data file is "./ATMCR.rom".
If there is not a file "./ATMCR.rom", it causes error.
m2v-2002 The file extension of Verilog/VHDL-ROM-Data files is "romver".
If there are some files those have same extension "romver", they might be overwritten.
********************** Verilog ROM DATA FILE LIST **********************
ROM-DATA FILE : ./ATMCR_0.romver
--->
ROM-INSTANCE NAME : .sqrom.ROM0
ROM-DATA FILE : ./ATMCR_1.romver
--->
ROM-INSTANCE NAME : .sqrom.ROM1
************************* Memt2v EXECUTION LOG *************************
Program version: MEMT2V VERSION 1.45
Userid
: verisrc
Module
: ATMCR
Simulator
: verilog
Technology
: TC200C
Master type
: T9X33
Library type
:
Options
:
Process
: SUCCESS
Condition
: listdir=. ,
listext=memt2vlst ,
romverdir=. ,
romverext=romver ,
romdir=. ,
romext=rom ,
msout=ON
>> Execution time <<
Start time
: Fri Dec 17 18:45:23 1999
End time
: Fri Dec 17 18:45:24 1999
CPU time
: 00:00:00.22
********************** Error message count table ***********************
*
COMMON
Memt2v
: Message level
= (
0 )
(
: Warning level
= (
0 )
(
0 )
: Error level
= (
0 )
(
0 )
*
2 )
サインオフシステム R1.9.1 コマンドリファレンス 147
.....
M E M T 2 V ( V S O / V C S S O / V I TA L S O / G E M I N I S O の み )
11
M E M T 2 V ( V S O / V C S S O / V I TA L S O / G E M I N I S O の み )
実行リスティングファイル
: Fatal level
= (
0 )
(
0 )
: System level
= (
0 )
(
0 )
: Design warning
= (
0 )
(
0 )
: Design error
= (
0 )
(
0 )
****************************** END OF LOG ******************************
以下に、エラーが発生した場合に出力されるエラーメッセージの例を、エ
ラーの対象となった ROM データファイルの例とともに示します。「^」はエ
ラーの発生した箇所の周辺を示す印です。
[ROM データファイル ]
MODULE inst1 ;
WORD 32, HEX ;
BIT 16, HEX ;
DEFAULT HAA55 ;
REM Sample ;
DATA
1/FF0F, A0F8 ;
4/30C8 ;
8/20G8 ;
16/1F408 ;
ENDMODULE ;
♦ 次のメッセージは、「top.rom」というファイルの 9 行目の「^」印周辺
にエラーがあることを示しています。ここでは、16 進表記では使わない
「G」が記述されていることがエラーの原因です。
..Design Error t2v-5063 Data value format error.
Correct TOSHIBA-ROM-Data file.
F"./top.rom" - L9 : 8/20G8;
^ ←G が誤り
♦ 次のメッセージは、「top.rom」というファイルの 10 行目の「^」印周辺
にエラーがあることを示しています。ここでは、1F408 という記述が、
指定した 16 ビット幅を超えていることがエラーの原因です。
..Design Error t2v-5080 Bit width exceeds definition.
Correct TOSHIBA-ROM-Data file.
F"./top.rom" - L10 : 16 / 1F408 ;
^
↑
ビット幅が定義した 16 を超えている
148 サインオフシステム R1.9.1 コマンドリファレンス
MEMVER2T (VSO/VCSSO のみ )
.....
....................................
12
この章では MEMVER2T について以下のことを説明します。
♦ MEMVER2T の機能
♦ 入出力ファイル
♦ MEMVER2T の実行方法
♦ 変換例
♦ 実行リスティングファイル
MEMVER2T の機能
..................................................
MEMVER2T は、Verilog-HDL の形式で記述された ROM データファイルを当
社の形式に変換します。Verilog シミュレータではデータをメモリに読み込む方
法はいろいろありますが、MEMVER2T では、$readmemh タスクで読み込める
形式の ROM データしか受け付けません。また、入力 ROM データは別個の
ファイルに記述されていなければなりません。
入出力ファイル
..................................................
図 12–1 に MEMVER2T の入出力ファイルを示します。
サインオフシステム R1.9.1 コマンドリファレンス 149
12
MEMVER2T (VSO/VCSSO のみ )
MEMVER2T の実行方法
図 12–1 MEMVER2T の入出力ファイル
Verilog-HDL
ROM データファイル
MEMVER2T
rom
memver2tlst
当社
実行リスティング
ROM データファイル
ファイル
入力ファイル
MEMVER2T は 1 回の実行につき 1 つの Verilog-HDL 形式の ROM データファ
イルを入力とします。入力ファイル名は任意です。
出力ファイル
MEMVER2T の出力ファイルは以下のとおりです。
♦ ファイル名 .rom
当社形式の ROM データファイルです。
♦ ファイル名 .memver2tlst
実行リスティングファイルです。
MEMVER2T の実行方法
..................................................
この項では MEMVER2T のシンタックスとオプションについて説明します。
シンタックス
MEMVER2T を実行するには、UNIX のシェルプロンプトで以下のコマンドを
入力します。
memver2t 入力ファイル オプション ...
150 サインオフシステム R1.9.1 コマンドリファレンス
MEMVER2T の実行方法
ここで、
「入力ファイル」は当社の記述形式に変換する Verilog-HDL 形式の
ROM データファイルの名前です。ファイル拡張子を省略するとデフォルトで
romver とみなされます。
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *MEMVER2T 部分にも記述できます。これらのオプションについて
は「付録 A コンフィグレーションファイル」を参照してください。
simulator = [VERILOG|VCS]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
paragraph = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------msout = [ON|OFF]
*MEMVER2T に分類されるオプション
以下は MEMVER2T で使用するオプションであり、tsb.config ファイルの
*MEMVER2T の部分に記述できます。
word = ワード数
bit = ビット数
instname = インスタンス名
{out|outdir|outext} = ファイル名
{list|listdir|listext} = ファイル名
オプション
以下に *MEMVER2T に分類されるオプションについて説明します。
word = ワード数
ROM のワード数を指定します。このオプションを指定しな
いと、デフォルトで ( 最終アドレス +1) となります。指定し
たワード数がこれより大きいと、エラーメッセージを出力
し、変換を中止します。
ワード数は 10 進数、16 進数、または 8 進数で指定できま
す。先頭にプレフィックスがない場合、すなわち 1~9 の数
字で始まる場合、10 進数とみなされます。0x または 0X で
サインオフシステム R1.9.1 コマンドリファレンス 151
.....
MEMVER2T (VSO/VCSSO のみ )
12
MEMVER2T (VSO/VCSSO のみ )
変換例
始まる場合、16 進数とみなされます。0 で始まり、その次
の数字が 1~7 の場合、8 進数とみなされます。
bit = ビット数
1 ワード当たりのビット数を指定します。このオプションを
指定しないと、デフォルトでは入力 ROM データファイル中
の最大ビット数となります。最大ビット数が指定したビッ
ト数より大きいと、エラーメッセージを出力し、変換を中
止します。
ビット数は 10 進数、16 進数、または 8 進数で指定できま
す。先頭にプレフィックスがない場合、すなわち 1~9 の数
字で始まる場合、10 進数とみなされます。0x または 0X で
始まる場合、16 進数とみなされます。0 で始まり、その次
の数字が 1~7 の場合、8 進数とみなされます。
instname = インスタンス名
データを変換する ROM のインスタンス名をドット (.) で始
まる階層名 ( フルパス名 ) で指定します。このオプションで
指定したインスタンス名は当社形式の ROM データファイル
において MODULE 文に出力されます。デフォルトは
INSTNAME です。
{out|outdir|outext} = ファイル名
MEMVER2T で作成される当社形式の ROM データファイル
名を指定します。
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。
実行例
以下に MEMVER2T の実行例を示します。
memver2t DEMO.romdata bit=4 word=0xf
instname=.demo1.mkcode.romno1
変換例
..................................................
図 12–2 に $readmemh タスクでロードできる Verilog-HDL 形式の ROM デー
タファイルの例を示します。
図 12–2 Verilog-HDL 形式の ROM データファイルの例
@0
@1
@2
@3
@4
@5
@6
4000
4121
4160
4166
416C
4172
4178
152 サインオフシステム R1.9.1 コマンドリファレンス
変換例
@7 417E
@8 418B
@9 418D
@a 42AA
@b 41F7
@c 41F9
@d 4266
@e 4187
@f 402C
@10 4032
@11 4057
@12 4061
@13 406B
@14 4073
@15 407B
@16 4083
@17 408B
.......
図 12–3 に MEMVER2T で作成される当社形式の ROM データファイルの例を
示します。
図 12–3 当社形式の ROM データファイルの例
MODULE : .demol.mkcode.romnol ;
WORD : 1024 , HEX ;
BIT : 16 , BIN ;
ROM インスタンス名
REMARK DEFAULT : 0 ;
REMARK WRITTEN BY MEMVER2T ;
DATA :
000/ 0100000000000000 ;
001/ 0100000100100001
002/ 0100000101100000
003/ 0100000101100110
004/ 0100000101101100
005/ 0100000101110010
006/ 0100000101111000
007/ 0100000101111110
008/ 0100000110001011
009/ 0100000110001101
00A/ 0100001010101010
00B/ 0100000111110111
00C/ 0100000111111001
00D/ 0100001001100110
00E/ 0100000110000111
00F/ 0100000000101100
010/ 0100000000110010
011/ 0100000001010111
012/ 0100000001100001
013/ 0100000001101011
014/ 0100000001110011
015/ 0100000001111011
016/ 0100000010000011
017/ 0100000010001011
018/ 0100000010010000
.......
3FC/ 0000000000000000
3FD/ 0000000000000000
;
;
;
;
;
;
;
;
;
;
;
;
;
;
;
;
;
;
;
;
;
;
;
;
;
;
サインオフシステム R1.9.1 コマンドリファレンス 153
.....
MEMVER2T (VSO/VCSSO のみ )
12
MEMVER2T (VSO/VCSSO のみ )
実行リスティングファイル
3FE/ 0000000000000000 ;
3FF/ 0000000000000000 ;
END MODULE ;
END ;
実行リスティングファイル
..................................................
図 12–4 に MEMVER2T の実行リスティングファイルの例を示します。
図 12–4 実行リスティングファイルの例 ( 回路名 .memver2tlst)
************************************************************************
*
Toshiba New Delay Model System
*
*
Toshiba S/O System for Solaris Release 1.9.1
*
*
Toshiba VERILOG S/O System for Solaris Release 1.9.1
*
*
TC200C Library (TSBVLIBP) Release 1.9.1
*
*
TC200C Library (TDOBJ) Release 1.9.1
*
Toshiba layout i/f System
Release 1.9.1
*
*
*
(c) Copyright Toshiba Corporation, since 1994.
*
*
All rights reserved.
*
************************************************************************
m2t-2000 Making toshiba-ROM-Data file is "./sqrom0.rom".
************************ Memver2t EXECUTION LOG ************************
Program version: MEMVER2T VERSION 1.36
Userid
: verisrc
Module
: ATMCR
Technology
: TC200C
Master type
: T9X33
Library type
:
Options
: instname=.sqrom.ROM0
Process
: SUCCESS
Condition
: listdir=. ,
listext=memver2tlst ,
outdir=. ,
outext=rom ,
instname=.sqrom.ROM0 ,
msout=ON
>> Execution time <<
Start time
: Fri Dec 17 18:44:30 1999
End time
: Fri Dec 17 18:44:31 1999
CPU time
: 00:00:00.16
********************** Error message count table ***********************
*
COMMON
: Message level
154 サインオフシステム R1.9.1 コマンドリファレンス
= (
0 )
Memver2t
(
1 )
*
実行リスティングファイル
: Warning level
= (
0 )
(
0 )
: Error level
= (
0 )
(
0 )
: Fatal level
= (
0 )
(
0 )
: System level
= (
0 )
(
0 )
: Design warning
= (
0 )
(
0 )
: Design error
= (
0 )
(
0 )
****************************** END OF LOG ******************************
以下に、エラーが発生した場合に出力されるエラーメッセージの例を示しま
す。このメッセージは「TEST.romver」というファイルの 1 行目の「^」の周
辺にエラーがあることを示しています。
..Design Error m2t-5052 Data exceeds bit width definition.
Check the data description.
F"TEST.romver" - L1 : @8 8
^
サインオフシステム R1.9.1 コマンドリファレンス 155
.....
MEMVER2T (VSO/VCSSO のみ )
12
MEMVER2T (VSO/VCSSO のみ )
実行リスティングファイル
156 サインオフシステム R1.9.1 コマンドリファレンス
MKLIBNAME (VSO/VCSSO のみ )
.....
....................................
13
この章では MKLIBNAME について以下のことを説明します。
♦ MKLIBNAME の機能
♦ 出力ファイル
♦ MKLIBNAME の実行方法
MKLIBNAME の機能
..................................................
MKLIBNAME は、シミュレーション時に指定しなければならないライブラリ
ファイル名を、libname ファイル中にまとめて出力します。出力されるライ
ブラリファイル名は、tsb.config ファイル中で指定した、テクノロジー名に
合った Verilog-HDL ライブラリのファイル名です。libname ファイルを生成し
ておくと、シミュレーション時に Verilog シミュレータの -f オプションを指定
することで、このファイルに記述されているライブラリファイルを読み込むこ
とができます。そのため、1 つ 1 つファイル名を指定しなくてすみます。
出力ファイル
..................................................
図 13–1 に MKLIBNAME の出力ファイルを示します。
図 13–1 MKLIBNAME の出力ファイル
MKLIBNAME
libname
libname ファイル
MKLIBNAME の出力ファイルは libname ファイルです。
MKLIBNAME の実行方法
..................................................
この項では MKLIBNAME のシンタックスとオプションについて説明します。
サインオフシステム R1.9.1 コマンドリファレンス 157
13
MKLIBNAME (VSO/VCSSO のみ )
MKLIBNAME の実行方法
シンタックス
MKLIBNAME を実行するには、UNIX のシェルプロンプトで以下のコマンド
を入力します。
mklibname
*COMMON に分類されるオプション
MKLIBNAME はコマンド実行時に以下のオプションを参照します。
MKLIBNAME を実行する場合、これらのオプションを tsb.config ファイル
の *COMMON 部分に記述します。これらのオプションについては「付録 A コン
フィグレーションファイル」を参照してください。
simulator = [VERILOG|VCS]
edaversion= EDA バージョン
technology = テクノロジー名
libdir = パス名 : パス名 :...
158 サインオフシステム R1.9.1 コマンドリファレンス
MODLIBGEN
.....
....................................
14
この章では MODLIBGEN について以下のことを説明します。
♦ MODLIBGEN の機能
♦ MODLIBGEN の実行方法
♦ 実行リスティングファイル
MODLIBGEN の機能
..................................................
TC240 シリーズ以降、I/O セルはソフトマクロセル化され、メインバッファ
とプリバッファを組み合わせた階層構造になります。そのため、回路中で使用
されている I/O ソフトマクロセル中のメインバッファとプリバッファの組み合
わせが正しいかどうかをチェックする必要があります。
MODLIBGEN は、TC240 シリーズ以降のテクノロジーを使って設計する場合
で、新しく I/O ソフトマクロセルを追加したときに実行し、DVER の I/O
チェック機能によりメインバッファとプリバッファの組み合わせをチェックす
るためのデータベースを生成します。
新しく I/O ソフトマクロセルを追加する場合、当
社 ASIC デザインセンターに相談してください。
入出力ファイル
..................................................
図 14–1 に MODLIBGEN の入出力ファイルを示します。
サインオフシステム R1.9.1 コマンドリファレンス 159
14
MODLIBGEN
入出力ファイル
図 14–1 MODLIBGEN の入出力ファイル
追加する I/O ソフト
マクロセルの
Verilog-HDL/VHDL
ライブラリファイル
オリジナルの
MODLIB ファイル
テクノロジー名
.modlib
MODLIBGEN
テクノロジー名
.modlib
MODLIB ファイル
テクノロジー名
.modliblst
実行リスティング
ファイル
DVER で使用
入力ファイル
MODLIBGEN の入力ファイルは以下のとおりです。
♦ 追加するI/OソフトマクロセルのVerilog-HDLまたはVHDLライブラリファ
イル
追加する I/O ソフトマクロセルを定義したライブラリファイルです。
ファイルは libdir オプションまたは addmodlibdir、
addmodlibfile オプションを使って指定します。ファイル名は、
Verilog-HDL の場合は「I/O ソフトマクロセル名 .tsbvlibp」、VHDL の
場合は < テクノロジー名 >IO.vhd」です。ファイル名は任意です。
♦ テクノロジー名 .modlib
オリジナルの I/O チェック用のデータベースファイルです。デザイン
キットに入っています。
出力ファイル
MODLIBGEN の出力ファイルは以下のとおりです。
♦ テクノロジー名 .modlib
I/O チェック用のデータベースファイルです。DVER で使用します。
♦ テクノロジー名 .modliblst
実行リスティングファイルです。
160 サインオフシステム R1.9.1 コマンドリファレンス
MODLIBGEN の実行方法
MODLIBGEN の実行方法
..................................................
この項では MODLIBGEN のシンタックスとオプションについて説明します。
シンタックス
MODLIBGEN を実行するには、UNIX のシェルプロンプトで以下のコマンド
を入力します。
modlibgen オプション ...
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *MODLIBGEN 部分にも記述できます。これらのオプションについ
ては「付録 A コンフィグレーションファイル」を参照してください。
simulator =
[VERILOG|VCS|GEMINI|MODELSIM|LEAPFROG|VSS|VOYAGER]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
libdir = パス名 : パス名 :...
{modlib|modlibdir|modlibext} = ファイル名
paragraph = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------msout = [ON|OFF]
*MODLIBGEN に分類されるオプション
以下は MODLIBGEN で使用するオプションであり、tsb.config ファイル
の *MODLIBGEN 部分に記述できます。
addmodlibfile = ファイル名 ...
addmodlibdir = パス名
modlibaddsw = [ON|OFF]
{list|listdir|listext} = ファイル名
サインオフシステム R1.9.1 コマンドリファレンス 161
.....
MODLIBGEN
14
MODLIBGEN
MODLIBGEN の実行方法
オプション
以下に *MODLIBGEN に分類されるオプションについて説明します。
addmodlibfile = ファイル名 ...
追加する I/O ソフトマクロセルの HDL ライブラリファイル
の名前を指定します。ファイルが複数の場合は空白で区
切って指定します。
addmodlibdir = パス名
追加する I/O ソフトマクロセルの HDL ライブラリファイル
のディレクトリ名を指定します。デフォルトは実行ディレ
クトリです。
modlibaddsw = [ON|OFF]
I/O ソフトマクロセルの名前が同じで、プリバッファとメイ
ンバッファの組み合わせが異なる場合、内容を上書きする
かどうか指定します。ON を指定すると内容を上書きしま
す。
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。
実行例
以下に MODLIBGEN を実行するときの tsb.config ファイルの記述例を示
します。オリジナルの MODLIB ファイル ( テクノロジー名 .modlib) は
$TOSH_ROOT/lib/< シミュレータ名 >/< テクノロジー名 >/etc の下にあります。
実行ディレクトリにコピーしてください。
以下は追加する HDL ライブラリファイルを libdir オプションを使って指
定する場合の tsb.config ファイルの記述例です。modlibaddsw オプション
で ON を指定します。
*COMMON
modlib = TC240ET.modlib
libdir = .
...
*MODLIBGEN
modlibaddsw = ON
...
以下は追加する HDL ライブラリファイルを addmodlibdir、
addmodlibfile オプションを使って指定する場合の tsb.config ファイルの
記述例です。modlibaddsw オプションで ON を指定します。
*COMMON
modlib = TC240ET.modlib
...
*MODLIBGEN
addmodlibdir = ./myLibraryFiles
162 サインオフシステム R1.9.1 コマンドリファレンス
実行リスティングファイル
addmodlibfile = ADD1.vhd ADD2.vhd ADD3.vhd
modlibaddsw = ON
...
以下に MODLIBGEN の実行例を示します。
modlibgen
MODLIBGEN 実行後、新しく生成され MODLIB ファイルを使って DVER を
実行し、I/O ソフトマクロセルのメインバッファとプリバッファの組み合わせ
をチェックします。
実行リスティングファイル
..................................................
以下に、MODLIBGEN の実行リスティングファイルの例を示します。
図 14–2 実行リスティングファイルの例 ( テクノロジー名 .modliblst)
************************************************************************
*
Toshiba New Delay Model System
*
*
Toshiba S/O System for Solaris Release 1.9.1
*
*
Toshiba MODELSIM S/O System for Solaris Release 1.9.1
*
TC260EP Library (TSBVLIBP) Release 1.9.1
*
TC260EP Library (TDOBJ) Release 1.9.1
*
Toshiba layout i/f System
Release 1.9.1
*
*
*
*
*
(c) Copyright Toshiba Corporation, since 1994.
*
*
All rights reserved.
*
************************************************************************
ml-990001 Modification Library completed normally.
********************
Program version:
Sim.
MODLIBGEN EXECUTION LOG
***************************
MODLIBGEN VERSION 1.04
version:
Userid
:
ndm
Design
:
test
Module
:
test
Technology
:
TC260EP
Array type
:
T8H00PT5
EDA version
:
5.2
Option
:
none
Condition
:
design = test
:
module = test
:
technology = TC260EP
:
arraytype = T8H00PT5
サインオフシステム R1.9.1 コマンドリファレンス 163
.....
MODLIBGEN
14
MODLIBGEN
実行リスティングファイル
:
edaversion = 5.2
:
modlib = ./TC260EP.modlib
:
list = ./TC260EP.modliblst
:
msout = ON
>> LDDL2 MASTER FILE <<
:/common/libraries/TBD/NDM1.9B/toshiba_common/lib_Solaris/TC260EP/ldd
l2/
1.5/TC260EP.master
>> Execution time <<
Start time
:
Tue Dec
7 19:15:17 1999
End time
:
Tue Dec
7 19:15:24 1999
CPU time
:
00:00:01.98
>> Configuration file <<
File name : ./tsb.config
*******************
Error message count table
COMMON
*******************
MODLIBGEN
: Message level
= (
1 )
(
1 )
: Warning level
= (
0 )
(
0 )
: Error
level
= (
0 )
(
0 )
: Fatal
level
= (
0 )
(
0 )
: System
level
= (
0 )
(
0 )
: Design Warning = (
0 )
(
0 )
: Design Error
0 )
(
0 )
***************************
164 サインオフシステム R1.9.1 コマンドリファレンス
= (
END OF LOG
**************************
NETMOD
.....
....................................
15
この章では NETMOD について以下のことを説明します。
♦ NETMOD の機能
♦ 入出力ファイル
♦ NETMOD の実行方法
♦ CLKBUF ファイル
♦ CHGCIR ファイル
♦ HDLM ファイルに関する注意点
♦ NETMODXREF ファイル
NETMOD の機能
..................................................
NETMOD を実行する目的はレイアウトで変更された回路の情報を、元のネッ
トリストに反映させることです。NETMOD は回路変更情報にもとづき、新た
なネットリスト (HDLM ファイル ) を作成し、TDGS データベースファイルを
更新します。大別すると次の 6 つの機能があります。
♦ サブクロックバッファの挿入
♦ タイミングの最適化、低消費電力化による回路変更
( セルの種類の変更、セルの挿入・削除、ファンアウトの分解 )
♦ スキャン化後の TDGS データベースファイルの Verilog-HDL または VHDL
ネットリスト変換
♦ スキャンチェーンリオーダリングによる回路変更
♦ 階層レイアウト手法を用いた場合のレイアウト結果 (SEGLEN ファイル )
のマージ
♦ レイアウト後に Synopsys 社の Design Compiler を使って再最適化を行うた
めに必要なバックアノテーション用ファイルの生成
サブクロックバッファの挿入
レイアウトにおいて、クロック信号のスキューを小さくするために、図 15–1
に示すように 1 段または数段のサブクロックバッファを追加します。サブク
ロックバッファを挿入することにより、クロックネットのファンアウトが分割
されます。サブクロックバッファ間は新しいネットで接続されます。
サインオフシステム R1.9.1 コマンドリファレンス 165
15
NETMOD
NETMOD の機能
図 15–1 クロックバッファの挿入
[ レイアウト前 ]
クロックレシーバ
F/F
F/F
クロックバッファ
F/F
F/F
[ レイアウト後 ]
F/F
F/F
元のクロックバッファ
( ルートバッファ)
F/F
F/F
元のクロックバッファをルートバッファといいます。追加したバッファをサ
ブクロックバッファといいます。また、クロックが供給されるセルをクロック
レシーバといいます。
レイアウト後のシミュレーションを実行するときは、追加されたサブクロッ
クバッファとネット、および変更されたネットの接続を各 EDA システムに
フィードバックしなければなりません。
当社から CLKBUF ファイルというサブクロックバッファに関する情報を記述
したファイルをお渡しします。NETMOD は CLKBUF ファイルの情報にもとづ
いて、新たに Verilog-HDL または VHDL ネットリストを作成します。また、同
時に TDGS データベースファイルが更新されます。
元の階層構造ができるだけ保持されるように、サブクロックバッファはでき
るだけクロックレシーバの近くすなわち最下位に近いレベルに挿入されます。
サブクロックバッファが挿入される場所により、挿入されるサブクロック
バッファ、ネットの階層的パス名は違ってきます。したがって、SEGLEN ファ
イルと CELL ファイル中のそれらの階層的パス名も修正する必要があります。
NETMOD はこれらのファイル中の階層的パス名も自動的に変更するので、か
ならず SEGLEN ファイル、CELL ファイル ( 任意 )、FBXREF ファイル ( 任意 )
を入力してください。変更された名前は、NETMODXREF ファイルに一覧が出
力されます。
NETMOD を実行したときは、NETMOD で生成さ
れた Verilog-HDL または VHDL ネットリストファ
イル (HDLM ファイル )、SEGLENM ファイル、
CELLM ファイルを用いて、以降のフローを実行し
てください。
166 サインオフシステム R1.9.1 コマンドリファレンス
NETMOD の機能
タイミングの最適化・低消費電力化による回路変更
レイアウトでは、回路のタイミングの最適化や低消費電力化などを目的とし
て、セルの種類の変更、セルの挿入・削除、ファンアウトの分解などを行うこ
とがあります。
図 15–2 レイアウト実行時の回路変更
.駆動能力の最適化
IVP
IV
.配線長の長いパスへの中間バッファの挿入
.負荷容量の分散
(case1)
(case2)
タイミングの厳しいネット
レイアウト後のシミュレーションを実行するときは、変更された回路の接続
情報を各 EDA システムにフィードバックしなければなりません。
そのため、当社から CHGCIR ファイルという回路の変更に関する情報を記述
したファイルをお渡しします。NETMOD は CHGCIR ファイルの情報にもとづ
いて、Verilog-HDL または VHDL ネットリストを作成し、TDGS データベース
ファイルを更新します。
NETMOD を実行したときは、NETMOD で生成さ
れた Verilog-HDL または VHDL ネットリストファ
イル (HDLM ファイル )、SEGLENM ファイル、
CELLM ファイルを用いて、以降のフローを実行し
てください。
スキャンチェーンリオーダリングによる回路変更
レイアウト中、スキャン F/F の接続順を変更し、最適化することができます。
これをスキャンチェーンリオーダリング (SCR) といいます。SCR では、まず、
スキャンネットを元のネットリストから削除し、そして配線長が短くなるよう
サインオフシステム R1.9.1 コマンドリファレンス 167
.....
NETMOD
15
NETMOD
NETMOD の機能
に、近くに配置された F/F どうしを、新しくスキャンネットで接続しなおしま
す。その結果、スキャンネットによる配線領域が小さくなり、テスト時のパ
フォーマンスが向上します。
レイアウト後のシミュレーションを実行するときは、変更された回路の接続
情報を各 EDA システムにフィードバックしなければなりません。
そのため、当社から CHGCIR ファイルという回路の変更に関する情報を記述
したファイルをお渡しします。NETMOD は CHGCIR ファイルの情報にもとづ
いて、Verilog-HDL または VHDL ネットリストを作成し、TDGS データベース
ファイルを更新します。
テスト容易化設計にともなうネットリスト変換
テスト容易化ツールでスキャンテスト回路や JTAG バウンダリスキャン回路
を追加した場合に生成される TDGS データベースファイルを、Verilog-HDL 形
式または VHDL 形式のネットリストに変換します。この場合、SEGLEN ファイ
ルおよび CLKBUF ファイルは不要です。
SEGLEN ファイルのマージ
大規模な回路の場合、階層レイアウト手法を用いて配置配線 ( レイアウト )
を行います。階層レイアウトでは回路を小さなブロックに分割し、ブロックご
とにレイアウトを行います。各ブロックのレイアウトが終わったら、各ブロッ
クをつなぎ合わせて回路全体のレイアウトが完了します。階層レイアウト手法
を使うと、SEGLEN ファイルおよび FBXREF ファイルはブロックごとに生成さ
れます。また、クロックツリー合成やタイミングの最適化、低消費電力化、ス
キャンチェーンリオーダリングにより変更されたブロックについては、
CLKBUF ファイル、CHGCIR ファイルも、ブロックごとに生成されます。
この場合、NETMOD はレイアウトにより生成されたすべての SEGLEN ファ
イル、CLKBUF ファイル、CHGCIR ファイル、FBXREF ファイルを入力ファイ
ルとして使います。NETMOD はブロックごとの SEGLEN ファイルを 1 つの
ファイルにマージした SEGLENM ファイルを出力します。また、CLKBUF
ファイル、CHGCIR ファイルの回路変更情報にもとづいて Verilog-HDL または
VHDL ネットリストを作成し、TDGS データベースファイルを更新します。
Desi gn Compiler とのインタフェース
レイアウト後に回路が期待どおりに動かない場合、回路を論理合成システム
に戻し、最適化したいことがあります。NETMOD は CLKEMU の入力ファイル
として使用する CLKPATH ファイル ( 回路名 .clkpath) を生成します。
CLKEMU は、レイアウト後の正確なクロック遅延情報を Design Compiler のレ
イアウト前の回路にバックアノテートするために必要な SDF ファイルとスクリ
プトファイルを生成します。これにより、Design Compiler の IPO (In-place
optimization) を利用することができます。Design Compiler とのインタフェース
については「1章 CLKEMU」を参照してください。
168 サインオフシステム R1.9.1 コマンドリファレンス
入出力ファイル
入出力ファイル
..................................................
図 15–3 にサインオフの標準フローにおける NETMOD の入出力ファイルを示
します。
図 15–3 NETMOD 入出力ファイル
TDGS データ
ベースファイル
tdgs
当社提供
当社提供
clkbuf
chgcir
当社提供
当社提供
seglen
cell
任意
任意
当社提供
当社提供
fbxref
netmodcom
任意
任意
NETMOD
tdgs
hdlm
netmodxref
NETMOD 実行後の NETMOD 実行後の
TDGS データ
ネットリスト
ベースファイル
レイアウト後の
レイアウト後の サインオフフローで使用
サインオフフローで使用
seglenm
NETMOD 実行後の
SEGLEN ファイル
DVER、
DCAL、
SRA、
PWR、TOG で使用
任意
netmodlst
cellm
実行リスティング NETMOD 実行後の
CELL ファイル
ファイル
clkpath
CLKEMU で使用
PWR で使用
NETMOD を実行するときにオプションで mergesw=ON を指定すると、通常
のレイアウトにおける回路変更をフロンドエンドに反映させるだけでなく、階
層レイアウトで生成されたブロックごとの SEGLEN ファイルをマージできま
す。図 15–4 に階層レイアウトの入出力ファイルを示します。
サインオフシステム R1.9.1 コマンドリファレンス 169
.....
NETMOD
15
NETMOD
入出力ファイル
図 15–4 階層レイアウトの入出力ファイル
当社提供
回路全体の
TDGS データ
ベースファイル
ブロックごとの
ブロックごとの
CLKBUF ファイル CHGCIR ファイル
clkbuf
tdgs
当社提供
chgcir
当社提供
ブロックごとの
SEGLEN ファイル
seglen
任意
当社提供
ブロックごとの
FBXREF ファイル
fbxref
当社提供
netmodcom
任意
NETMOD
tdgs
hdlm
netmodxref
NETMOD 実行後の NETMOD 実行後の
TDGS データベース
ネットリスト
レイアウト後の
サインオフフロー
で使用
レイアウト後の
サインオフフロー
で使用
seglenm
マージ実行後の
SEGLEN ファイル
DVER、
DCAL、
SRA、
PWR、TOG で使用
netmodlst
clkpath
実行リスティング
回路全体の
CLKPATH ファイル
ファイル
CLKEMU で使用
入力ファイル
NETMOD の入力ファイルは以下のとおりです。
♦ 回路名 .tdgs
TDGS データベースファイルです。
170 サインオフシステム R1.9.1 コマンドリファレンス
入出力ファイル
♦ 回路名 .clkbuf
レイアウト中に挿入されたサブクロックバッファの接続情報が入ってい
ます。当社で作成し、お渡しします。
♦ 回路名 .chgcir ( 任意 )
レイアウト中に、タイミングの最適化、低消費電力化、スキャンチェー
ンリオーダリングにより、回路が変更された場合に生成されるファイル
です。当社で作成し、お渡しします。
♦ 回路名 .netmodcom ( 任意 )
CLKBUF ファイル名、CHGCIR ファイル名、SEGLEN ファイル名、
FBXREF ファイル名を指定するファイルです。CLKBUF ファイルと
CHGCIR ファイルを両方使う場合や、どちらかのファイルが複数ある場
合、および SEGLEN ファイルのマージ機能を使う場合は、必須の入力
ファイルです。
♦ 回路名 .seglen
レイアウトシステムで生成される実配線長ファイルです。当社で作成し、
お渡しします。
♦ 回路名 .cell ( 任意 )
レイアウトシステムで生成されるファイルです。各ロウに配置されてい
るインスタンスを列挙しています。
♦ 回路名 .fbxref ( 任意 )
当社のレイアウトインタフェースプログラムで生成された代替名の対応
が記述されているファイルです。レイアウトシステムの制約を超える文
字数や文字を使っているネット名やインスタンス名があると、レイアウ
トインタフェースプログラムにより、それらの名前に対して自動的に代
替名が割り付けられます。このファイルには、元の名前と代替名の対応
が記述されています。NETMOD は、レイアウトの前後の名前を一致させ
るためにこのファイルを使用します。
出力ファイル
NETMOD の出力ファイルは以下のとおりです。
♦ 回路名 .tdgs
NETMOD による回路変更のあとの TDGS データベースファイルです。
通常は、入力した TDGS データベースファイルが更新されます。
♦ 回路名 .hdlm
NETMOD による回路変更のあとのネットリストです。simulator オプ
ションで VERILOG、VCS、GEMINI を指定した場合は Verilog-HDL 形式、
VSS、LEAPFROG、MODELSIM、VOYAGER を指定した場合は VHDL 形式で
生成されます。
サインオフシステム R1.9.1 コマンドリファレンス 171
.....
NETMOD
15
NETMOD
NETMOD の実行方法
♦ 回路名 .seglenm
NETMOD により変更された階層的パス名が反映された SEGLEN ファイ
ルです。また、SEGLEN ファイルをマージした場合は、マージ結果が出
力されます。
♦ 回路名 .cellm ( 任意 )
NETMOD により変更された階層的パス名が反映された CELL ファイルで
す。
♦ 回路名 .clkpath
レイアウトで挿入されたクロックツリーの遅延を Design Compiler にバッ
クアノテートするために、CLKEMU の入力ファイルとして使われます。
CLKBUF ファイル、CHGCIR ファイルを入力したときに作成されます。
♦ 回路名 .netmodxref
CLKBUF ファイル、CHGCIR ファイルにより追加されたネットおよびセ
ルの元の名前と置換後の名前の対応を示すファイルです。
♦ 回路名 .netmodlst
実行リスティングファイルです。
NETMOD の実行方法
..................................................
この項では NETMOD のシンタックスとオプションについて説明します。
NETMODCOM ファイル
NETMODCOM ファイルは NETMOD の入力ファイル名を記述するものです。
通常のサインオフフローでは不要ですが、以下の場合に作成する必要がありま
す。
♦ CLKBUF ファイルと CHGCIR ファイルが両方ある場合、またはどちらか
のファイルが複数ある場合。
♦ レイアウトでブロックごとに作成された SEGLEN ファイルをマージする
場合 (NETMOD 実行時、オプションで mergesw=ON を指定した場合 )。
NETMODCOM ファイルのデフォルトのファイル名は「回路名
.netmodcom」です。このファイルがあると、NETMOD は自動的に入力しま
す。
NETMODCOM ファイルのシンタックスは以下のとおりです。
# コメント
< キーワード >
172 サインオフシステム R1.9.1 コマンドリファレンス
NETMOD の実行方法
ファイル名 1
ファイル名 2
...
< キーワード >
ファイル名 1
ファイル名 2
...
♦ キーワードは指定するファイルの種類を示します。大文字、小文字の区
別はありません。キーワードは以下の 4 種類です。
$CLKBUF
$CHGCIR
$SEGLEN
$FBXREF
CLKBUF ファイルを指定します。
CHGCIR ファイルを指定します。
SEGLEN ファイルを指定します。
FBXREF ファイルを指定します。
SEGLEN ファイルをマージする場合は、4 種類すべて記述できます。
マージしない場合は、$CLKBUF、$CHGCIR のみ記述できます。
♦ それぞれのキーワードの後にファイル名を記述します。複数のファイル
名を指定できます。ただし、1 行に 1 ファイルしか記述できません。以
下にファイル名のフォーマットを示します。
< ディレクトリ >/< モジュール名 >.< 拡張子 >
ディレクトリは絶対パス、相対パス、実行ディレクトリ (.) のいずれかの
書式で指定できます ( 図 15–6 参照 )。また、指定そのものを省略できま
す。モジュール名は最上位モジュールの名前を指定します。ただし、
SEGLEN ファイルをマージする場合は、サブモジュールのファイル名は
その階層モジュール名にしなければなりません。
♦ 「#」で始まる行は、コメント行とみなされます。複数行にまたがるとき
は、それぞれの行頭に「#」をつけます。
♦ コメント、キーワード、ファイル名は行頭から記述してください。
NETMOD は NETMODCOM ファイルに記述された
順番で処理をします。NETMODCOM ファイルの
キーワードを記述するときは、レイアウトで実行
した処理の順番と一致させてください。例えば、
レイアウトでクロックツリー合成を実行した後でタイミング
の最適化・低消費電力化・スキャンチェーンリオーダリング
を実行した場合、NETMODCOM ファイルには $CLKBUF、
$CHGCIR の順番で記述します。
図 15–5 に複数の CLKBUF ファイルと CHGCIR ファイルを入力ファイルとし
て使うときの、NETMODCOM ファイルの例を示します。
サインオフシステム R1.9.1 コマンドリファレンス 173
.....
NETMOD
15
NETMOD
NETMOD の実行方法
図 15–5 NETMODCOM ファイルの例 (a)
$CLKBUF
DEMO1.clkbuf
$CHGCIR
DEMO1.chgcir1
DEMO1.chgcir2
図 15–6 に SEGLEN ファイルをマージするときの、NETMODCOM ファイル
の例を示します。
図 15–6 NETMODCOM ファイルの例 (b)
##TOP###
$CLKBUF
TOP.clkbuf
モジュール TOP について
TOP.clkbuf、
TOP.chgcir の順に処理する。
$CHGCIR
TOP.chgcir
$SEGLEN
TOP.seglen
$FBXREF
TOP.fbxref
##SUB1###
$CHGCIR
../sub1/SUB1.chgcir
モジュール SUB1 について
$CLKBUF
SUB1.chgcir、SUB1.clkbuf の順に処理する。
../sub1/SUB1.clkbuf
$SEGLEN
../sub1/SUB1.seglen
##SUB2###
$CLKBUF
../sub2/SUB2.clkbuf
$SEGLEN
../sub2/SUB2.seglen
##SUB3###
$SEGLEN
../sub3/SUB3.seglen
$SEGLEN キーワード、$FBXREF キーワード中に
記述されたファイルの順番は考慮されません。
シンタックス
NETMOD を実行するには、UNIX のシェルプロンプトで以下のコマンドを入
力します。
netmod オプション ...
174 サインオフシステム R1.9.1 コマンドリファレンス
NETMOD の実行方法
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *NETMOD 部分にも記述できます。これらのオプションについては
「付録 A コンフィグレーションファイル」を参照してください。
simulator =
[VERILOG|VCS|GEMINI|MODELSIM|LEAPFROG|VSS|VOYAGER]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
gateeat = [ON|OFF]
paragraph = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------msout = [ON|OFF]
*WCAP に分類されるオプション
以下は tsb.config ファイルの *WCAP 部分に記述できます。
{seglen|seglendir|seglenext} = ファイル名
{fbxref|fbxrefdir|fbxrefext} = ファイル名
{seglenm|seglenmdir|seglenmext} = ファイル名
*NETMOD に分類されるオプション
以下は NETMOD で使用するオプションであり、tsb.config ファイルの
*NETMOD 部分に記述できます。
{clkbuf|clkbufdir|clkbufext} = ファイル名
{chgcir|chgcirdir|chgcirext} = ファイル名
{netmodcom|netmodcomdir|netmodcomext} = ファイル名
{hdlm|hdlmdir|hdlmext} = ファイル名
netmodxrefsw = [ON|OFF|ONELINE]
{netmodxref|netmodxrefdir|netmodxrefext} = ファイル名
{clkpath|clkpathdir|clkpathext} = ファイル名
mergesw = [ON|OFF]
hdlform = [IMPLICIT|EXPLICIT] (Verilog-HDL のみ )
{list|listdir|listext} = ファイル名
dummycellsw = [ON|OFF]
vhdl_lib_clause = VHDL のライブラリ宣言 (VHDL のみ )
サインオフシステム R1.9.1 コマンドリファレンス 175
.....
NETMOD
15
NETMOD
NETMOD の実行方法
オプション
以下に *WCAP に分類されるオプションおよび *NETMOD に分類されるオプ
ションについて説明します。
*WCAP に分類されるオプション
{seglen|seglendir|seglenext} = ファイル名
NETMOD で使用する SEGLEN ファイルの名前を指定しま
す。
{fbxref|fbxrefdir|fbxrefext} = ファイル名
NETMOD で使用する FBXREF ファイルの名前を指定しま
す。
{seglenm|seglenmdir|seglenmext} = ファイル名
NETMOD により作成される SEGLENM ファイルの名前を指
定します。
*NETMOD に分類されるオプション
{clkbuf|clkbufdir|clkbufext} = ファイル名
NETMOD で使用する CLKBUF ファイルの名前を指定しま
す。
{chgcir|chgcirdir|chgcirext} = ファイル名
NETMOD で使用する CHGCIR ファイルの名前を指定しま
す。
{netmodcom|netmodcomdir|netmodcomext} = ファイル名
NETMOD で使用する NETMODCOM ファイルの名前を指定
します。
{hdlm|hdlmdir|hdlmext} = ファイル名
NETMOD により作成される HDLM ファイルの名前を指定
します。
netmodxrefsw = [ON|OFF|ONELINE]
NETMODXREF ファイルを作成するかどうか指定します。
ON を指定すると、作成します。ONELINE を指定すると、
NETMODXREF ファイル中に出力される変換前後の名称が
横 1 行で表示されます。
{netmodxref|netmodxrefdir|netmodxrefext} = ファイル名
NETMOD により作成される NETMODXREF ファイルの名前
を指定します。
{clkpath|clkpathdir|clkpathext} = ファイル名
NETMOD により作成される CLKPATH ファイルの名前を指
定します。
176 サインオフシステム R1.9.1 コマンドリファレンス
NETMOD の実行方法
mergesw = [ON|OFF]
SEGLEN ファイルをマージするかどうか指定します。ON を
指定すると、マージします。
hdlform = [IMPLICIT|EXPLICIT] (Verilog-HDL のみ有効 )
NETMOD が作成する Verilog-HDL の接続記述の書式を指定
します。IMPLICIT を指定するとネット名のみ出力されま
す。EXPLICIT を指定するとピン名とネット名が出力され
ます。VHDL の場合、常にピン名とネット名が出力されま
す (EXPLICIT に相当します )。以下に例を示します。
♦ IMPLICIT の場合
モジュールタイプ インスタンス ( ネット ,...);
♦ EXPLICIT の場合
モジュールタイプ インスタンス ( ピン ( ネット ),...);
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。
dummycellsw = [ON|OFF]
パススルーネットの記述が、NETMOD によって生成される
ネットリストにどのような書式で出力されるかを指定しま
す。パススルーネットについては「25章 TDLGEN」を参
照してください。
以下に Verilog-HDL と VHDL の出力フォーマットを示しま
す。
♦ Verilog-HDL の場合
ON
当社ダミーセル名 インスタンス名
( 出力ネット名 , 入力ネット名 );
OFF
assign 出力側ネット名 = 入力側ネット名 ;
NETMOD が作成した Verilog-HDL ネットリストを
Design Compiler や PrimeTime で使う場合は、
OFF を指定しなければなりません。
♦ VHDL の場合
ON
出力側ネット名 <= 入力側ネット名 ;
OFF
当社ダミーセル名 インスタンス名
( 出力ネット名 , 入力ネット名 );
vhdl_lib_clause = VHDL のライブラリ宣言 (VHDL のみ )
VHDL の library 文と use 文を指定します。通常は、
VITAL のプリミティブセルと当社のセルを指定します。
サインオフシステム R1.9.1 コマンドリファレンス 177
.....
NETMOD
15
NETMOD
CLKBUF ファイル
指定されたパラメータはセミコロンの後で改行されます。
三層配線以上のテクノロジーや、当社メガセル、
特殊セルを使用する場合、かならず指定してくだ
さい。具体的な指定方法については、当社発行の
マニュアル『VITALSO R1.9.1 ユーザーガイド』の
第 2 章を参照してください。
図 15–7 VHDL ライブラリ宣言の指定と生成される VHDL ネットリスト
[ オプション指定 ]
*COMMON
technology = TC220ET
*NETMOD
vhdl_lib_clause = library IEEE;use
IEEE.STD_LOGIC_1164.ALL; library TC220E;
library MEGACELL;
オプション、パラメータは一行に記述してくださ
い。
[NETMOD によって生成される VHDL ネットリスト ]
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library TC220E;
library MEGACELL;
実行例
以下に NETMOD の実行例を示します。
♦ 次のコマンドは最も簡単な起動方法です。実行ディレクトリにある「回
路名 .tdgs」という名前のファイルが TDGS データベースファイルとし
て使われ、ファイルが更新されます。
netmod
♦ 次のコマンドはネットリストと SEGLENM ファイルをサブディレクトリ
net に出力します。
netmod hdlmdir=./net seglenmdir=./net
♦ 次のコマンドは SEGLEN ファイルをマージします。
netmod mergesw=ON
CLKBUF ファイル
..................................................
CLKBUF ファイルにはレイアウト中に挿入されたサブクロックバッファの接
続情報が記述されています。CLKBUF ファイルはレイアウトにより自動的に作
成されます。このファイルは修正しないでください。
178 サインオフシステム R1.9.1 コマンドリファレンス
CLKBUF ファイル
レイアウトでは、通常 1 つの回路に対して、1 つのファイルが生成されます。
NETMOD は、デフォルトでは、ファイル「回路名 .clkbuf」を自動的に入力
ファイルとして読み込みます。
レイアウトで複数のファイルが生成された場合、NETMODCOM ファイルを
使うとそれらすべてを入力ファイルとして読み込ませることができます。
NETMODCOM ファイルについては、172 ページの「NETMODCOM ファイル」
を参照してください。
図 15–8 に CLKBUF ファイルのシンタックスを示します。
図 15–8 CLKBUF ファイルのシンタックス
MODULE 最上位モジュール名 ;
ADD:
セルインスタンス名 ( 出力ネット 1 = ピン名 ,
出力ネット 2 = ピン名 , ...) =
セル名 ( 入力ネット 1 = ピン名 ,
入力ネット 2 = ピン名 , ...) ;
...
END;
CONNECT:
ネット名 = ( セルインスタンス名 ( ピン名 )) ;
...
END;
END;
♦ MODULE キーワード
回路の最上位モジュール名を示します。
♦ ADD ブロック (ADD: から END; まで )
挿入されたサブクロックバッファの接続情報です。サブクロックバッ
ファは最上位モジュールになければなりません。サブクロックバッファ
が 1 入力 1 出力の場合、システムによっては以下のように記述されます。
セルインスタンス名 ( 出力ネット )= セル名 ( 入力ネット );
♦ CONNECT ブロック (CONNECT: から END; まで )
サブクロックバッファとクロックレシーバの接続情報です。
♦ END キーワード
CLKBUF ファイルの終りを示します。
図 15–9 に CLKBUF ファイルの例を示します。
サインオフシステム R1.9.1 コマンドリファレンス 179
.....
NETMOD
15
NETMOD
CHGCIR ファイル
図 15–9 CLKBUF ファイルの例
MODULE SAMPLE1;
ADD:
C1( SUBCLK1 ) = SCKB( CLK1 );
C2( SUBCLK2 ) = SCKB( CLK1 );
END;
CONNECT:
SUBCLK1 = ( MOD1/M2(CP) );
SUBCLK1 = ( MOD1/M3(CP) );
SUBCLK2 = ( MOD1/M4(CP) );
SUBCLK2 = ( MOD1/M5(CP) );
END;
END;
図 15–10 に NETMOD 実行前後のクロック回路を示します。
図 15–10 クロック回路システム
[NETMOD 実行前 ]
MOD1
SUB1
M2
クロックレシーバ
M3
CLK1
M4
クロックバッファ
M5
MOD1
[NETMOD 実行後 ]
SUB1_TSBCK00001
SUBCLK1
C1
M2
M3
CLK1
SUBCLK2
元のクロックバッファ
( ルートバッファ)
M4
C2
M5
CHGCIR ファイル
..................................................
CHGCIR ファイルにはレイアウトで変更された回路の接続情報が記述されて
います。CHGCIR ファイルは、レイアウトにより自動的に作成されます。この
ファイルは修正しないでください。
180 サインオフシステム R1.9.1 コマンドリファレンス
CHGCIR ファイル
レイアウトでは、通常 1 つの回路に対して、1 つのファイルが生成されます。
NETMOD は、CLKBUF ファイルが存在しない場合に、ファイル「回路名
.chgcir」を自動的に入力ファイルとして読み込みます。
CHGCIR ファイルは、CLKBUF ファイルと併用することができます。また、
レイアウトで複数のファイルが生成された場合、NETMODCOM ファイルを使
うとそれらすべてを入力ファイルとして読み込ませることができます。
NETMODCOM ファイルについては、172 ページの「NETMODCOM ファイル」
を参照してください。
図 15–11 に CHGCIR ファイルのシンタックスを示します。
図 15–11 CHGCIR ファイルのシンタックス
MODULE 最上位モジュール名 ;
ADD:
セルインスタンス名 ( 出力ネット 1 = ピン名 ,
出力ネット 2 = ピン名 , ...) =
セル名 ( 入力ネット 1 = ピン名 ,
入力ネット 2 = ピン名 , ...) ;
END;
CHANGE:
セルインスタンス名 ( 出力ネット 1 = ピン名 ,
出力ネット 2 = ピン名 , ...) = 変更後のセル名
( 入力ネット 1 = ピン名 , 入力ネット 2 = ピン名 , ...);
END;
CONNECT:
ネット名 = ( セルインスタンス名 ( ピン名 ));
END;
DELETE:
セルインスタンス名 ( 出力ネット 1 = ピン名 ,
出力ネット 2 = ピン名 , ...) =
セル名 ( 入力ネット 1 = ピン名 ,
入力ネット 2 = ピン名 , ...);
END;
END;
♦ MODULE キーワード
回路の最上位モジュール名を示します。
♦ ADD ブロック (ADD: から END; まで )
挿入されたセルインスタンスの接続情報です。書式は CLKBUF ファイル
と同じです。対象となるセルが 1 入力 1 出力の場合、システムによって
は以下のように記述されます。
セルインスタンス名 ( 出力ネット )= セル名 ( 入力ネット );
♦ CHANGE ブロック (CHANGE: から END; まで )
変更後のセル名が定義されます。対象となるセルが 1 入力 1 出力の場合、
システムによっては以下のように記述されます。
サインオフシステム R1.9.1 コマンドリファレンス 181
.....
NETMOD
15
NETMOD
CHGCIR ファイル
セルインスタンス名 = 変更後のセル名 ;
♦ CONNECT ブロック (CONNECT: から END; まで )
ネットに接続するピンが定義されます。書式は CLKBUF ファイルと同じ
です。
♦ DELETE ブロック (DELETE: から END; まで )
削除されたセルインスタンスとネットが定義されます。対象となるセル
が 1 入力 1 出力の場合は、システムによっては以下のように記述されま
す。
セルインスタンス名 ( 出力ネット )= セル名 ( 入力ネット );
♦ END キーワード
CHGCIR ファイルの終わりを示します。
同一ファイル中には、各ブロックはそれぞれ 1 回
だけしか記述できません。また、かならず図
15–11 の順番に記述されます。絶対にブロックの
記述順序を変更しないでください。ただし、
MODULE キーワードと END キーワード以外の各ブロックは
省略することができます。
図 15–12 に CHGCIR ファイルの例を示します。
図 15–12 CHGCIR ファイルの例
MODULE TEST;
ADD:
M4(S4)=IV(S1);
M5(S5)=IV(S1);
END;
CHANGE:
M2=IVP;
END;
CONNECT:
S2=(D(A));
S2=(E(A));
S4=(F(A));
S5=(G(A));
END;
DELETE:
M3(S3)=IV();
END;
END;
図 15–13 に NETMOD 実行前後の回路を示します。
182 サインオフシステム R1.9.1 コマンドリファレンス
HDLM ファイルに関する注意点
図 15–13 回路の変更例
[NETMOD 実行前 ]
S1
IV
S2
A
M1
M2
B
C
S3
D
M3
E
F
G
[NETMOD 実行後 ]
S1
IVP
S2
A
M1
M2
B
C
D
E
S4
M4
S5
F
G
M5
HDLM ファイルに関する注意点
..................................................
NETMOD によって生成される HDLM ファイルのフォーマットは、各言語仕
様に従います。この項では、生成されるネットリストに関する注意点や補足事
項を示します。
ヘッダー情報
ファイルの先頭に各言語のコメントが記述されます。記述される内容は、作
成プログラム名、プログラムバージョン、作成日付、使用ファイル名などで
す。VHDL の場合は、2 行目のコメント記述 ( プログラム名とそのバージョン
が記述されている行 ) を変更しないでください。この行は、TVHDL により参
照されます。
サインオフシステム R1.9.1 コマンドリファレンス 183
.....
NETMOD
15
NETMOD
HDLM ファイルに関する注意点
HDL 記述
VHDL
♦ ライブラリ宣言 (library 文、use 文 )
vhdl_lib_clause オプションで指定した記述が出力されます。詳細に
ついては、176 ページの「オプション」を参照してください。
♦ インスタンス名、ネット名の表示文字
HDL 中のインスタンス名、ネット名、セル名、ピン名は、すべて大文字
で出力されます。
モジュール名・インスタンス名・ネット名の変換
サブクロックバッファが挿入された階層では、モジュール名、サブクロック
バッファのインスタンス名およびネット名が変換されます。以下に名前の変換
ルールについて示します。
♦ モジュール名
サブクロックバッファがサブモジュールに挿入された場合、そのサブモ
ジュール内の回路構成が変わるため、モジュール名が変換されます。以
下に変換後のモジュール名のフォーマットを示します。
オリジナル名 + _TSBCK + 数値 (5 桁の連番 )
例
[ 変換前 ]
SUB1
→
[ 変換後 ]
SUB1_TSBCK00001
また、オプションで hdleatsw=ON を指定すると、ゲートイーティング
機能によりサブモジュール内のセルインスタンスが削除されることがあ
ります。このような場合も同様のフォーマットでモジュール名が変換さ
れます。
図 15–14 ゲートイーティングにともなうサブモジュールのモジュール名変換
[ 変換前 ]
[ 変換後 ]
inst1
inst1
オープン
SUB1
SUB1_TSBCK00001
♦ サブクロックバッファのセルインスタンス名
サブクロックバッファの元の名前は、CLKBUF ファイルに記述されてい
る名前です。
184 サインオフシステム R1.9.1 コマンドリファレンス
HDLM ファイルに関する注意点
サブクロックバッファがサブモジュールに挿入されると、サブクロック
バッファのセルインスタンス名が変換されます。以下に変換後のセルイ
ンスタンス名のフォーマットを示します。
サブモジュールのインスタンス名 + サブクロックバッファのセルイン
スタンス名
例
[ 変換前 ]
.inst1 →
[ 変換後 ]
.SUB1.SUB2.inst1
♦ サブクロックバッファのネット名
サブクロックバッファのネットの元の名前は、CLKBUF ファイルに記述
されている名前です。
サブクロックバッファがサブモジュールに挿入されると、サブクロック
バッファの出力側のネット名が変換されます。
サブモジュールのインスタンス名 + サブクロックバッファのネット名
例
[ 変換前 ]
.net1 →
[ 変換後 ]
.SUB1.SUB2.net1
♦ 下位モジュールの外部出力信号のバス記述
下位モジュールの外部出力信号がバス記述で、上位モジュールに対して
バスのビットがオープンになっている場合、NETMOD は、そのビットに
ネット名を追加します。これは、Verilog-HDL でバスの信号をネットリ
スト上に表示するときに、文法上、何らかのネット名が必要になるため
です ( 通常の入出力信号の場合は空白でかまいません )。以下に変換後の
ネット名のフォーマットを示します。
N_TSBCN + 数値 (4 桁の連番 )
図 15–15 に例を示します。ここでは、下位モジュールのバスの出力信号
が 3 ビットあるのに対して、上位モジュールの入力信号は 2 つなので、
オープンになっているビットにネット名が追加されます。
サインオフシステム R1.9.1 コマンドリファレンス 185
.....
NETMOD
15
NETMOD
NETMODXREF ファイル
図 15–15 下位モジュールのバスのビットに対するネット名の追加
[ 変換前 ]
S1
SUB1
S2
O1 [0:2]
[ 変換後 ]
S1
N_TSBCN0001
SUB1
設計上はオープンのまま
S2
O1 [0:2]
NETMODXREF ファイル
..................................................
NETMOD は CLKBUF ファイル、CHGCIR ファイルにより追加されたネット、
セルの名前の元の名前と置換後の名前の対応を示すクロスリファレンスを出力
します。デフォルトでは、このクロスリファレンスは 2 行ずつの構成になって
います。TR-NAME で始まる上の行は置換後の名前を示し、下の行は元の名前
を示します。
図 15–16 に、NETMODXREF ファイルの例を示します。
図 15–16 NETMODXREF ファイルの例
/*** Instance information ***********************************/
TR-NAME .OC005.INCK1_TSBGE4
.INCK1_TSBGE4
TR-NAME .OC005.INCK1_TSBGE3
.INCK1_TSBGE3
TR-NAME .OC005.INCK1_TSBGE2
.INCK1_TSBGE2
TR-NAME .OC005.INCK1_TSBGE1
.INCK1_TSBGE1
TR-NAME .OC005.INCK2_TSBGE8
.INCK2_TSBGE8
TR-NAME .OC005.INCK2_TSBGE7
.INCK2_TSBGE7
/*** Net information ****************************************/
186 サインオフシステム R1.9.1 コマンドリファレンス
NETMODXREF ファイル
TR-NAME .OC005.S1N10_TSBGEINCK2_TSBGE8
.S1N10_TSBGEINCK2_TSBGE8
TR-NAME .OC005.S1N10_TSBGEINCK2_TSBGE7
.S1N10_TSBGEINCK2_TSBGE7
TR-NAME .OC005.S1N10_TSBGEINCK2_TSBGE6
.S1N10_TSBGEINCK2_TSBGE6
TR-NAME .OC005.S1N10_TSBGEINCK1_TSBGE4
.S1N10_TSBGEINCK1_TSBGE4
TR-NAME .OC005.S1N10_TSBGEINCK2_TSBGE5
.S1N10_TSBGEINCK2_TSBGE5
サインオフシステム R1.9.1 コマンドリファレンス 187
.....
NETMOD
15
NETMOD
NETMODXREF ファイル
188 サインオフシステム R1.9.1 コマンドリファレンス
NPINMRG
.....
....................................
16
この章では NPINMRG について以下のことを説明します。
♦ NPINMRG の機能
♦ 入出力ファイル
♦ NPINMRG の実行方法
♦ NPINMRGLST ファイル
NPINMRG の機能
..................................................
NPINMRG は、TST 実行時に dcall=ON、または dcgen=ON を指定して作成
した、DC 未測定情報が一覧表示されている NPIN ファイルが複数存在する場
合にそれらをマージします。未測定の項目、ピンの組み合わせをすべてのテス
トデータを通して確認する場合に使用します。
入出力ファイル
..................................................
図 16–1 に NPINMRG の入出力ファイルを示します。
図 16–1 NPINMRG の入出力ファイル
TST で作成
npin
テスト識別子
DC 未測定
情報リスト
NPINMRG
npinmrglst
DC 未測定情報
マージリスト
サインオフシステム R1.9.1 コマンドリファレンス 189
16
NPINMRG
NPINMRG の実行方法
入力ファイル
NPINMRG の入力ファイルは NPIN ファイルです。ファイル名は、
「回路名
.npin [ テスト識別子 ]」です。TST 実行時に dcall=ON、または dcgen=ON を指
定して作成したファイルで、サーチできなかった DC 測定項目、ピンの組み合
わせが格納されたファイルです。
NPIN ファイルのテスト識別子の先頭にはピリオド
は付きません。
出力ファイル
NPINMRG の出力ファイルは DC 未測定情報がマージされた NPINMRGLST
ファイルです。ファイル名は、「回路名 .npinmrglst」です。すべての DC 未
測定情報リストに含まれている未測定のテスト項目、ピンの組み合わせのみが
格納されます。
NPINMRG の実行方法
..................................................
この項では NPINMRG のシンタックスとオプションについて説明します。
シンタックス
NPINMRG を実行するには、UNIX のシェルプロンプトで以下のコマンドを
入力します。
npinmrg npin={ テスト識別子 1, テスト識別子 2, ...}
オプション ...
ここで、テスト識別子 1, テスト識別子 2, ... には、入力 NPIN ファイルの
テスト識別子をカンマで区切って記述します。
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *NPINMRG 部分にも記述できます。これらのオプションについては
「付録 A コンフィグレーションファイル」を参照してください。
simulator =
[VERILOG|VCS|GEMINI|MODELSIM|LEAPFROG|VSS|VOYAGER]
edaversion = EDA バージョン
module = 回路名
instance = 最上位インスタンス名
190 サインオフシステム R1.9.1 コマンドリファレンス
NPINMRG の実行方法
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
toplevel = [CHIP|FUNCTION]
paragraph = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------msout = [ON|OFF]
*NPINMRG に分類されるオプション
以下は NPINMRG で使用するオプションであり、tsb.config ファイルの
*NPINMRG 部分に記述できます。
dcall = [ON|OFF]
dcgen = [ON|OFF]
iddscnt = IDD(S) 測定ポイント数
オプション
以下に *NPINMRG に分類されるオプションについて説明します。
dcall = [ON|OFF]
入力となる NPIN ファイルが、TST 実行時に dcall=ON を
指定して作成された場合に指定します。デフォルトでは
dcall=ON が採用されます。
dcgen = [ON|OFF]
入力となる NPIN ファイルが、TST 実行時に dcgen=ON を
指定して作成された場合に指定します。デフォルトでは
dcall=ON が採用されます。
dcall オプションと dcgen オプションの両方を ON
に指定できません。TST で dcgen=ON で作成した
NPIN ファイルと、dcall=ON で作成した NPIN
ファイルを混在させて NPINMRG を実行すると、
正しい結果が得られません。入力ファイルとなる NPIN ファ
イルを作成するときにどちらか一方のオプションに統一して
ください。
iddscnt = IDD(S) 測定ポイント数
IDD(S) 測定ポイントが指定した数だけ挿入されているかサー
チしたい場合に、ポイント数を指定します。デフォルトは 1
です。
実行例
以下に NPINMRG の実行例を示します。
サインオフシステム R1.9.1 コマンドリファレンス 191
.....
NPINMRG
16
NPINMRG
NPINMRGLST ファイル
♦ 次のコマンドは最も簡単な NPINMRG の起動方法です。入力となる NPIN
ファイルは 3 つで、テスト識別子はそれぞれ fnl、fn2、fn3 です。
npinmrg npin=fn1,fn2,fn3
♦ 次のコマンドは全テストデータを通して IDD(S) 測定ポイント数が 3 ポイン
ト挿入できているかをサーチします。
npinmrg npin=fn1,fn2,fn3 iddscnt=3
NPINMRGLST ファイル
..................................................
図 16–2 に NPINMRG を実行したときに生成される NPINMRGLST ファイルの
例を示します。
図 16–2 NPINMRGLST ファイルの例
*IDDSCNT 3 ← 1)
** NPIN LIST **
2)
3)
KIND
PIN
VOH/IOH
IO47
IO48
IO50
IO54
VOL/IOL
O49
O50
O51
IDD(S)
2 ← 4)
Searched by 2nd condition
KIND
PIN
VOH/IOL
O58
O59
TESTPATT
PATDATA
1. iddscnt オプションで指定した値
2. テスト項目
3. ピン名
4. IDD(S) 未測定ポイント数
192 サインオフシステム R1.9.1 コマンドリファレンス
ADDRESS
132
PNA
.....
....................................
17
この章では PNA について以下のことを説明します。
♦ PNA の機能
♦ 入出力ファイル
♦ PNACOM ファイル
♦ PNA の実行方法
♦ 対話形式とバッチ処理形式
♦ 実行リスティングファイル
PNA の機能
..................................................
PNA は回路のファンイン・ファンアウト経路を探索します。対話形式または
PNACOM ファイル ( 探索コマンドファイル ) によるバッチ処理形式で探索を行
うことができます。探索結果は実行画面および実行リスティングファイルに出
力することができます。
探索モードは 2 種類あります。1 つは、セルのパスを考慮した回路探索です
(delaypathsw=ON)。静的タイミング解析ツールと同等に経路を探索します。
デフォルトではこのモードで探索します。もう 1 つは、セルのパスを考慮しな
い回路探索です (delaypathsw=OFF)。セルのすべてのピンの組み合わせパス
を探索します。セルインスタンスとネットの接続関係だけを確認したい場合に
使用します。
入出力ファイル
..................................................
図 17–1 に PNA の入出力ファイルを示します。
サインオフシステム R1.9.1 コマンドリファレンス 193
17
PNA
PNACOM ファイル
図 17–1 PNA の入出力ファイル
TDGS データ
ベースファイル
tdgs
pnacom
任意
PNA
任意
pnalst
dspcom
実行リスティング
ファイル
入力ファイル
PNA の入力ファイルは以下のとおりです。
♦ 回路名 .tdgs
TDGS データベースファイルです。
♦ 回路名 .pnacom ( 任意 )
PNA の探索コマンドを記述するファイルです。このファイルが存在する
場合は、ファイルに記述されているコマンドをバッチ処理で実行します。
ファイルが存在しない場合は、対話形式になります。
出力ファイル
♦ 回路名 .pnalst
実行リスティングファイルです。回路接続の探索結果が出力されます。
♦ 回路名 .dspcom ( 任意 )
PNA で探索したネット名が DSP ファイル形式で出力されます。このファ
イルは、オプション dspcomsw=ON を指定すると作成されます。デフォ
ルトでは作成されません。
PNACOM ファイル
..................................................
この項では PNACOM ファイルおよび各コマンドについて説明します。この
ファイルを作成するとバッチ処理形式で PNA を実行できます。
194 サインオフシステム R1.9.1 コマンドリファレンス
PNACOM ファイル
ファイルのシンタックス
PNACOM ファイルの内容は一連のコマンドで構成されます。各コマンドは 1
行に 1 つ記述します。コマンド名、および ON、OFF キーワードには、大文字・
小文字の区別はありません。また、コマンド名は短縮名 ( 最初の 1 文字 ) で指
定することができます。ファイルの最後に END コマンドを記述すると、バッチ
処理が終ったあと、PNA が終了します。END コマンドを記述しないと、バッチ
処理終了後、次のコマンドの入力待ち状態になります。
PNACOM ファイルの例
図 17–2 に PNACOM ファイルの例を示します。
図 17–2 PNACOM ファイルの例
FORWARD .CMDLN, 2
B .OC001.S1N115
END
FORWARD コマンド
フォーマット
FORWARD 始点信号名 [, 段数 ] または、
FORWARD 始点ピン名 [, 段数 ]
説明
始点信号または始点ピンから、指定した段数だけ前方に経路を探索します。
始点ピンは、セルのピンのみ指定できます。サブモジュールのピンは指定で
きません。このコマンドは、PNA 実行中に何回指定してもかまいません。短
縮形は「F」です。キーワードの後には空白を入れます。段数の指定を省略
した場合は 1 段だけ探索します。
記述例
forward .OC001.S1N104, 2
F .CLK
f .INST1.A , 3
BACKWARD コマンド
フォーマット
BACKWARD 始点信号名 [, 段数 ] または、
BACKWARD 始点ピン名 [, 段数 ]
サインオフシステム R1.9.1 コマンドリファレンス 195
.....
PNA
17
PNA
PNACOM ファイル
説明
始点信号または始点ピンから、指定した段数だけ後方に経路を探索します。
始点ピンは、セルのピンのみ指定できます。サブモジュールのピンは指定で
きません。このコマンドは、PNA 実行中に何回指定してもかまいません。短
縮形は「B」です。キーワードの後には空白を入れます。段数の指定を省略
した場合は 1 段だけ探索します。
記述例
backward .OC001.S1N104, 2
B .OC002.S1N72
b .INST1.Z , 3
TERMINAL コマンド
フォーマット
TERMINAL {ON|OFF}
説明
探索結果を画面に表示するかどうか指定します。このオプションの指定に関
わらず探索結果はかならず実行リスティングファイルに出力されます。短縮
形は「T」です。キーワードの後には空白を入れます。
ON
OFF
探索結果を画面に表示します。デフォルトです。
探索結果を画面に表示しません。バッチ処理形式で実行する場合に
便利です。
記述例
terminal ON
READ コマンド
フォーマット
READ ファイル名
説明
探索コマンドを記述した PNACOM ファイル名を指定します。PNA はファイ
ル中に記述されたコマンドに従って経路を探索します。短縮形は「R」です。
記述例
read DEMO1.pnacom1
R DEMO1.pnacom2
196 サインオフシステム R1.9.1 コマンドリファレンス
PNACOM ファイル
DSP コマンド
フォーマット
DSP {ON|OFF}
説明
探索結果を DSPCOM ファイルに出力するか指定します。PNA 起動時にオプ
ション dspcomsw=ON を指定したときのみ有効です。短縮形は「D」です。
キーワードの後には空白を入れます。
ON
OFF
探索結果を DSPCOM ファイルに出力します。デフォルトです。
探索結果を DSPCOM ファイルに出力しません。
記述例
dsp on
HELP コマンド
フォーマット
HELP
説明
探索コマンドの一覧を画面に表示します。このコマンドの実行結果は実行リ
スティングファイルに出力されません。短縮形は「H」です。
END コマンド
フォーマット
END
説明
バッチ処理形式、対話形式の探索を終了します。PNACOM ファイルを読み込
んでバッチ処理形式で実行する場合は、PNACOM ファイルの最後に END コ
マンドを記述します。END コマンドを記述しないと、バッチ処理終了後、次
のコマンドの入力待ち状態になります。短縮形は「E」です。
QUIT コマンド
フォーマット
QUIT
サインオフシステム R1.9.1 コマンドリファレンス 197
.....
PNA
17
PNA
PNA の実行方法
説明
対話形式の探索を終了します。短縮形は「Q」です。QUIT は END と まった
く同じ機能です。
PNA の実行方法
..................................................
この項では PNA のシンタックスとオプションについて説明します。
シンタックス
PNA を実行するには、UNIX のシェルプロンプトで以下のコマンドを入力し
ます。
pna オプション ...
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *PNA 部分にも記述できます。これらのオプションについては「付
録 A コンフィグレーションファイル」を参照してください。
simulator =
[VERILOG|VCS|GEMINI|MODELSIM|LEAPFROG|VSS|VOYAGER]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
toplevel = [CHIP|FUNCTION]
{tdgs|tdgsdir|tdgsext} = ファイル名
paragraph = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------msout = [ON|OFF]
*PNA に分類されるオプション
以下は PNA で使用するオプションであり、tsb.config ファイルの *PNA
部分に記述できます。
delaypathsw = [ON|OFF]
dspcomsw = {ON|OFF}
198 サインオフシステム R1.9.1 コマンドリファレンス
PNA の実行方法
{pnacom|pnacomdir|pnacomext} = ファイル名
{list|listdir|listext} = ファイル名
{dspcom|dspcomdir|dspcomext} = ファイル名
オプション
以下に *PNA に分類されるオプションについて説明します。
delaypathsw = [ON|OFF]
セル内部のパス経路の種類を指定します。
ON
セルの内部遅延のパス経路を使用して回路を探索しま
す。これは、DCAL が作成した SDF ファイルのパス
と同じです。すなわち一般のパス遅延解析ツールやシ
ミュレータで探索されるパスに相当します。
OFF
入力ピンと出力ピンのすべての組み合わせのパス経路
を使用して回路を探索します。ネットとセルの接続関
係を確認したい場合に指定します。
dspcomsw = {ON|OFF}
DSPCOM ファイルを作成するかどうかを選択します。
{pnacom|pnacomdir|pnacomext} = ファイル名
PNACOM ファイルの名前を指定します。
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。
{dspcom|dspcomdir|dspcomext} = ファイル名
DSPCOM ファイルの名前を指定します。
実行例
以下に PNA の実行例を示します。
♦ 次のコマンドは最も簡単な起動方法です。実行ディレクトリにある「回
路名 .tdgs」という名前のファイルが TDGS データベースファイルとし
て使われ、PNACOM ファイルがない場合は、対話モードで起動します。
pna
♦ 次のコマンドは入力ファイルとして使う TDGS データベースファイルが
存在するディレクトリを指定します。TDGS データベースファイルの名
前がデフォルトと違うか、別ディレクトリにある場合は、tdgs、
tdgsdir または tdgsext オプションを使って指定します。
pna tdgsdir=./tdgs
サインオフシステム R1.9.1 コマンドリファレンス 199
.....
PNA
17
PNA
対話形式とバッチ処理形式
♦ 次のコマンドは PNA をバッチ処理形式で実行します。PNACOM ファイル
として、DEMO1.pnacom1 を入力します。
pna pnacom=DEMO1.pnacom1
対話形式とバッチ処理形式
..................................................
この項では、PNA の対話形式とバッチ処理形式の 2 つの処理形式について説
明します。
対話形式
PNACOM ファイルを作成しなかった場合、または指定された PNACOM ファ
イル ( デフォルトでは「回路名 .pnacom」) が存在しない場合は、PNA は対話
形式で実行します。初期画面が表示された後に、Listening... というプロ
ンプトが表示され、コマンド入力待ちの状態になります。任意の探索コマンド
を入力してください。PNA を終了するには、END コマンドまたは QUIT コマン
ドを入力します。以下に実行画面の例を示します。
図 17–3 対話形式の実行画面の例
************************************************************************
*
Toshiba S/O System for Solaris Release 1.9.1
*
*
Toshiba VERILOG S/O System for Solaris Release 1.9.1
*
*
TC220G Library (TSBVLIBP) Release 1.9.1
*
TC220G Library (TDOBJ) Release 1.9.1
*
Toshiba layout i/f System
Release 1.9.1
*
*
*
*
(c) Copyright Toshiba Corporation, since 1994.
*
*
All rights reserved.
*
************************************************************************
********************
Program version:
Sim.
PNA EXECUTION LOG
PNA
*******************************
VERSION 1.10
version:
Userid
:
user
Design
:
DEMO_GA
Module
:
DEMO_GA
Technology
:
TC220G
Array type
:
T3S45
Option
:
none
(PNA)
:
Creating Network...
Listening...
コマンド入力待ち状態
forward .CMDLN ,2
探索コマンド入力
探索結果
LEVEL =
1
.CMDLN ------------------> (A)
200 サインオフシステム R1.9.1 コマンドリファレンス
IV
[ .OC005.O1N31 ] (Z) -------> .OC005.S1N10
実行リスティングファイル
<<< NUMBER OF LEVEL-1 FANOUT = 1 >>>
LEVEL =
2
.OC005.S1N10 ------------> (CP)
FD2P [ .OC005.O1N39 ] (Q) -------> .SIN59
-> (CP)
FD2P [ .OC005.O1N39 ] (QN) ------> <<<OPEN OUTPUT
-> (CP)
FD2P [ .OC005.O1N38 ] (Q) -------> .SIN58
-> (CP)
FD2P [ .OC005.O1N38 ] (QN) ------> <<<OPEN OUTPUT
-> (CP)
FD2P [ .OC005.O1N37 ] (Q) -------> .SIN57
-> (CP)
FD2P [ .OC005.O1N37 ] (QN) ------> <<<OPEN OUTPUT
-> (CP)
FD2P [ .OC005.O1N36 ] (Q) -------> .SIN56
-> (CP)
FD2P [ .OC005.O1N36 ] (QN) ------> <<<OPEN OUTPUT
-> (CP)
FD2P [ .OC005.O1N35 ] (Q) -------> .SIN45
-> (CP)
FD2P [ .OC005.O1N35 ] (QN) ------> <<<OPEN OUTPUT
-> (CP)
FD2P [ .OC005.O1N34 ] (Q) -------> .SIN44
-> (CP)
FD2P [ .OC005.O1N34 ] (QN) ------> <<<OPEN OUTPUT
-> (CP)
FD2P [ .OC005.O1N33 ] (Q) -------> .SIN43
-> (CP)
FD2P [ .OC005.O1N33 ] (QN) ------> <<<OPEN OUTPUT
-> (CP)
FD2P [ .OC005.O1N32 ] (Q) -------> .SIN42
-> (CP)
FD2P [ .OC005.O1N32 ] (QN) ------> <<<OPEN OUTPUT
Listening...
コマンド入力待ち状態
quit
探索コマンド入力
バッチ処理形式
PNACOM ファイルを指定した場合は、PNA はバッチ処理形式で実行できま
す。バッチ処理形式の場合は、実行画面に Listening... というプロンプト
は表示されません。初期画面および探索結果のみが画面に表示されます。ただ
し、PNACOM ファイル中で「TERMINAL OFF」を指定した場合は、探索結果
は画面に表示されません。また、対話形式で PNA を実行している途中で、
READ コマンドにより PNACOM ファイル名を指定した場合は、その後の処理が
バッチ処理形式に切り替わります。
PNACOM ファイルに END または QUIT コマンドが指定されている場合、自
動的に PNA は終了します。そうでない場合は、Listening... のプロンプト
が表示され、コマンド入力待ち状態になります。
実行リスティングファイル
..................................................
以下に実行リスティングファイルの例を示します。
図 17–4 実行リスティングファイルの例 ( 回路名 .pnalst)
************************************************************************
*
Toshiba S/O System for Solaris Release 1.9.1
*
*
Toshiba VERILOG S/O System for Solaris Release 1.9.1
*
*
TC220G Library (TSBVLIBP) Release 1.9.1
*
サインオフシステム R1.9.1 コマンドリファレンス 201
.....
PNA
PNA
17
実行リスティングファイル
*
TC220G Library (TDOBJ) Release 1.9.1
*
Toshiba layout i/f System
*
Release 1.9.1
*
*
(c) Copyright Toshiba Corporation, since 1994.
*
*
All rights reserved.
*
************************************************************************
---------------------------------------------------------------------------
FORWARD SEARCH :
START = .CMDLN,
(1)
SEARCH FOR
(2)
LEVEL =
2 LEVELS.
(3)
1
(4)
.CMDLN ------------------> (A)
(5)
(6)
IV
[ .OC005.O1N31 ] (Z) -------> .OC005.S1N10
(7)
(8)
(9)
<<< NUMBER OF LEVEL-1 FANOUT =
(10)
1 >>>
(11)
LEVEL =
2
.OC005.S1N10 ------------> (CP)
FD2P [ .OC005.O1N39 ] (Q) -------> .SIN59
-> (CP)
FD2P [ .OC005.O1N39 ] (QN) ------> <<<OPEN OUTPUT
-> (CP)
FD2P [ .OC005.O1N38 ] (Q) -------> .SIN58
-> (CP)
FD2P [ .OC005.O1N38 ] (QN) ------> <<<OPEN OUTPUT
-> (CP)
FD2P [ .OC005.O1N37 ] (Q) -------> .SIN57
-> (CP)
FD2P [ .OC005.O1N37 ] (QN) ------> <<<OPEN OUTPUT
-> (CP)
FD2P [ .OC005.O1N36 ] (Q) -------> .SIN56
-> (CP)
FD2P [ .OC005.O1N36 ] (QN) ------> <<<OPEN OUTPUT
-> (CP)
FD2P [ .OC005.O1N35 ] (Q) -------> .SIN45
-> (CP)
FD2P [ .OC005.O1N35 ] (QN) ------> <<<OPEN OUTPUT
-> (CP)
FD2P [ .OC005.O1N34 ] (Q) -------> .SIN44
-> (CP)
FD2P [ .OC005.O1N34 ] (QN) ------> <<<OPEN OUTPUT
-> (CP)
FD2P [ .OC005.O1N33 ] (Q) -------> .SIN43
-> (CP)
FD2P [ .OC005.O1N33 ] (QN) ------> <<<OPEN OUTPUT
-> (CP)
FD2P [ .OC005.O1N32 ] (Q) -------> .SIN42
-> (CP)
FD2P [ .OC005.O1N32 ] (QN) ------> <<<OPEN OUTPUT
***** END OF PATH NETWORK ANALYZING *****
pna-0001 Path Network Analyzer completed.
********************
Program version:
Sim.
PNA EXECUTION LOG
PNA
*******************************
VERSION 1.10
version:
Userid
:
user
Design
:
DEMO_GA
Module
:
DEMO_GA
Technology
:
TC220G
Array type
:
T3S45
Option
:
none
Process
:
Creating Network...
Condition
:
simulator = VERILOG
:
module = DEMO_GA
202 サインオフシステム R1.9.1 コマンドリファレンス
( SUCCESS )
実行リスティングファイル
:
technology = TC220G
:
arraytype = TS45
:
voltage = 3.3
:
divider = .
:
delaypathsw = ON
:
list = ./DEMO_GA.pnalst
>> TDGS FILE <<
USER
:./DEMO_GA.tdgs
>> LDDL2 MASTER FILE <<
:/vso/R191/toshiba_common/lib_Solaris/TC220G/lddl2/3.3/TC220G.master
>> Execution time <<
Start time
:
Fri Dec 10 20:56:37 1999
End time
:
Fri Dec 10 20:57:31 1999
CPU time
:
00:00:01.05
*******************
Error message count table
COMMON
*******************
PNA
: Message level
= (
1 )
(
1 )
: Warning level
= (
0 )
(
0 )
: Error
level
= (
0 )
(
0 )
: Fatal
level
= (
0 )
(
0 )
: System
level
= (
0 )
(
0 )
: Design Warning = (
0 )
(
0 )
: Design Error
0 )
(
0 )
***************************
= (
END OF LOG
**************************
以下の番号は図 17–4 の番号と対応しています。
1. 探索方向 (FORWORD または BACKWORD)
2. サブコマンドで指定した始点信号名
3. サブコマンドで指定した探索段数
4. 出力内容の段数
5. 入力側信号名
1 段目は、始点信号名が出力されます。
2 段目以降は、前段の出力信号名が出力されます。
6. 入力ピン名
7. セル名
8. インスタンス名
9. 出力ピン名
10. 出力側信号名
サインオフシステム R1.9.1 コマンドリファレンス 203
.....
PNA
17
PNA
実行リスティングファイル
11. 始点信号から探索された出力信号数 ( オープンの信号も含む)
図 17–5 に、図 17–4 の 2 段目のインスタンス .OC005.O1N39 の接続状態の
一部を示します。
図 17–5 回路接続探索の回路例
.OC005.S1N10 ------------> (CP)
-> (CP)
FD2P [ .OC005.O1N39 ] (Q) -------> .SIN59
FD2P [ .OC005.O1N39 ] (QN) ------> <<<OPEN OUTPUT
.OC005.O1N39
Q
.OC005.S1N10
CP
QN オープン
FD2P
204 サインオフシステム R1.9.1 コマンドリファレンス
.S1N59
PRESRA (VSO/VCSSO のみ )
.....
....................................
18
この章では PRESRA について以下のことを説明します。
♦ PRESRA の機能
♦ 入出力ファイル
♦ SRACOM ファイル
♦ DSPCOM ファイル
♦ PRESRA の実行方法
PRESRA の機能
..................................................
PRESRA を実行するには、SRACOM ファイル ( 解析コマンドファイル ) を用
意する必要があります。PRESRA は Verilog シミュレータのタスクとして実行
されます。PRESRA は、SRACOM ファイル中で指定された信号をシミュレー
ション中にモニタし、ファイル中に信号値の変化をダンプします。ただし、I/O
信号は、I/O 関連のコマンドが指定されていなくてもダンプされます。
PRESRA はシミュレーション環境と相互にデータのやり取りをするために
PLI と VCL を利用しています。
Verilog シミュレータの場合、PRESRA と SRA は 2 通りの方法で実行できま
す。1 つはリアルタイムモードです。もう 1 つはシミュレーション結果ファイ
ル作成して、そのファイルを介して処理するモードです。
リアルタイムモードで PRESRA、SRA を実行する場合、realtime オプショ
ンで ON ( デフォルト ) を指定します。リアルタイムモードでは、シミュレー
ションとシミュレーション結果解析を同時に実行します。シミュレーション時
にデフォルトで解析が実行されますが、ASCII 形式のレポートを生成するには
SRA を実行する必要があります。リアルタイムモード時に外部ピンの変化だけ
を VCD 形式のシミュレーション結果ファイルに出力する場合、extout オプ
ション ( デフォルトは ON) を指定します。リアルタイムモードで PRESRA を
使ってシミュレーションを実行するとシミュレーションの実行時間は長くなり
ますが、シミュレーション結果ファイルを出力せずに解析することができま
す。
サインオフシステム R1.9.1 コマンドリファレンス 205
18
PRESRA (VSO/VCSSO のみ )
入出力ファイル
シミュレーション結果ファイルを介して処理するモードで PRESRA、SRA を
実行する場合、realtime オプションで OFF を指定します。解析に必要なすべ
ての観測ポイントの変化が出力されます。PRESRA により VCD 形式でシミュ
レーション結果ファイルを生成してから、SRA によりシミュレーション結果を
解析し、レポートを作成します。
入出力ファイル
..................................................
図 18–1 に PRESRA の入出力ファイルを示します。
図 18–1 PRESRA の入出力ファイル
タイミング情報
バイナリ形式
tpi
. テスト識別子
exp
. テスト識別子
sracom
. テスト識別子
dspcom
. テスト識別子
任意
任意
任意
PRESRA
Verilog シミュレータ
vcd. テスト識別子
sradb
. テスト識別子
シミュレーション
結果ファイル
pininf
. テスト識別子
TST、
TSG、
EDP で使用
presralst
. テスト識別子
実行リスティング
ファイル
入力ファイル
PRESRA の入力ファイルは以下のとおりです。
♦ 回路名 .exp [. テスト識別子 ] ( 任意 )
TSC で生成される EXP ファイル ( 期待値ファイル ) です。
♦ 回路名 .sracom [. テスト識別子 ]
SRA で実行する解析の種類を指定する SRACOM ファイル ( 解析コマン
ドファイル ) です。このファイルは ASCII 形式のテキストファイルで、
任意のテキストエディタで作成できます。SRACOM ファイル用のテンプ
レートを TSC で自動的に作成できます。PRESRA はこのファイルを参照
して、シミュレーション解析に必要な信号をシミュレーション中に保存、
解析します。
206 サインオフシステム R1.9.1 コマンドリファレンス
SRACOM ファイル
♦ 回路名 .dspcom [. テスト識別子 ] ( 任意 )
シミュレーション中に観測したい信号の名前を指定するファイルです。
ASCII 形式のテキストファイルで、任意のテキストエディタで作成でき
ます。
♦ 回路名 .tpi [. テスト識別子 ] ( 任意 )
TSC で生成される TPI ファイルです。シミュレーションの途中でサイク
ルが変わるテストパターンを用いる場合に必要です。
出力ファイル
PRESRA の出力ファイルは以下のとおりです。
♦ 回路名 .vcd [. テスト識別子 ]
シミュレーション結果ファイルで、EDP、SRA (realtime=OFF のとき
のみ )、TSG、TST の入力ファイルとして使用されます。このファイルは
realtime オプションを OFF に指定するか、または realtime オプション
と extout オプションを ON に指定すると生成されます。VCD ファイル
は Cadence 社 Value Change Dump (VCD) 形式のファイルです。
♦ 回路名 .pininf [. テスト識別子 ]
外部ピンの情報が記述されているファイルです。EDP、TSG、TST で使
用します。
♦ 回路名 .sradb [. テスト識別子 ]
リアルタイムモード (realtime=ON) で生成される SRADB ファイル ( シ
ミュレーション結果解析ファイル ) です。SRA で SRALST ファイル ( シ
ミュレーション結果の解析レポートファイル ) を生成するのに使用しま
す。
♦ 回路名 .presralst [. テスト識別子 ]
実行リスティングファイルです。
SRACOM ファイル
..................................................
この項では SRACOM ファイルおよび解析コマンドについて説明します。
SRACOM ファイルは、SRA で実行する解析コマンドを指定します。
ファイルの記述形式
SRACOM ファイルの内容は一連のコマンドで構成されます。各コマンドはセ
ミコロンで終わります。コマンドは自由形式で記述することができます。各
キーワードおよび区切り記号の後に任意の数の空白をいれてもかまいません。
サインオフシステム R1.9.1 コマンドリファレンス 207
.....
PRESRA (VSO/VCSSO のみ )
18
PRESRA (VSO/VCSSO のみ )
SRACOM ファイル
1 つのコマンドを複数行に渡って記述することも、また複数のコマンドを 1 行
に記述することもできます。ただし、1 行の長さは 1,024 文字以内でなければ
なりません。
許される文字は、大文字および小文字の英字 A~Z (a~z)、数字 0~9、および
キーボードで利用可能な特殊文字です。ただし、コマンドキーワードは大文字
で入力しなければなりません。
コメントは、/* で始めて、*/ で終わらせます。
CYCLE、MAXCHG 以外のコマンドは何度指定してもかまいません。ただし、
同じ検査対象に対して同じ種類の解析を条件を変えて行うことはできません。
コマンドクイックリファレンス
表 18–1 に解析コマンドの一覧を示します。
表 18–1 PRESRA・SRA の解析コマンド一覧表
コマンド
目的
CYCLE
テストサイクルを指定します。
FROM
解析開始時刻を指定します。
TO
解析終了時刻を指定します。
COMPARE
シミュレーション結果と期待値を比較します。
MARGIN
必要とされる最小安定領域を指定します。
CONFLICT
外部双方向ピンおよび内部 3 ステートバスのコンフリクトを検出し
ます。
FLOAT
外部双方向ピンおよび内部 3 ステートバスのフローティングを検出
します。
SPIKE
スパイクを検出します。
SWINDOW
スパイクを検出する時間をテストサイクルに対して相対的に指定し
ます。
MAXDLY
外部出力ピンおよび出力モードの双方向ピンの最大遅延時間を計算
します。
MAXCHG
同時スイッチングを検出します。
SETUP
セットアップ時間の違反を検出します。
HOLD
ホールド時間の違反を検出します。
PREFIX
階層的信号名の一部として使用するプレフィックスを指定します。
このコマンドは、サブモジュールの信号を指定するのに便利です。
SHMASK
セットアップ・ホールド検査から除外するセルを指定します。
UINITX
初期値以外の「X」を検出します。
208 サインオフシステム R1.9.1 コマンドリファレンス
SRACOM ファイル
TSTL2 で複数のタイミングが設定されている場合の検査
シミュレーションの途中でサイクルが変わる場合、検査の種類によっては、
タイミングごとに検査を行い、検査結果とダイヤグラムを作成することができ
ます。このとき、TSC で生成される TPI ファイル ( 回路名 .tpi [. テスト識別
子 ]) が必要です。表 18–2 に複数のタイミングがサポートされている範囲を示
します。
表 18–2 複数タイミングが設定されている場合の検査
コマンド
条件の設定
解析・解析結果リスト
ダイヤグラムの出力
CYCLE
(TPI ファイル中のサ
イクル情報を自動的
に読み込みます。)
-
-
FROM
サイクルが変更にな
る時刻を指定します。
-
-
TO
サイクルが変更にな
る時刻を指定します。
-
-
-
全タイミング一括
全タイミング一括
MARGIN
全タイミング一括
全タイミング一括
-
CONFLICT
全タイミング一括
全タイミング一括
タイミングごと
FLOAT
全タイミング一括
全タイミング一括
タイミングごと
SPIKE
全タイミング一括
タイミングごと
-
タイミングごと
タイミングごと
-
MAXDLY
-
タイミングごと
-
MAXCHG
タイミングごと
タイミングごと
-
SETUP
全タイミング一括
全タイミング一括
全タイミング一括
HOLD
全タイミング一括
全タイミング一括
全タイミング一括
PREFIX
-
-
-
SHMASK
-
-
-
UINITX
-
-
-
COMPARE
SWINDOW
* タイミングごとに解析条件を設定する場合は、かならず FROM コマンド・TO コマン
ドで範囲を指定してください。
SRACOM ファイルの例
図 18–2 に SRACOM ファイルの例を示します。
図 18–2 SRACOM ファイルの例
/* Analysis command file for .pattern.fn1 */
CYCLE
200 ;
COMPARE ;
サインオフシステム R1.9.1 コマンドリファレンス 209
.....
PRESRA (VSO/VCSSO のみ )
18
PRESRA (VSO/VCSSO のみ )
SRACOM ファイル
CONFLICT
CONFLICT
FLOAT
FLOAT
SETUP
HOLD
SHMASK
FROM
TO
MAXCHG
SPIKE
FROM
TO
SWINDOW
>0 (*BIDIRECT) ;
>10 #BUS ;
>400 (*BIDIRECT) ;
>10 #BUS ;
#ALL ;
#ALL ;
@FD1S,@FD1SP;
0;
1000;
>10 30,30,50 CHKBUF;
>10 (*TESTGEN)
1000;
100000;
20,80;
信号名・モジュール名置換
次の文字および文字列は、以下のように一連の信号名に展開されます。
(*I)
すべての外部入力信号と外部双方向信号の入力側信号を表
します。
(*INPUT)
(*I) と同じです。
(*O)
すべての外部出力信号と外部双方向信号のtriネットを表し
ます。
(*OUTPUT)
すべての外部出力信号と外部双方向信号の出力側信号を表
します。
(*BIDIRECT)
すべての外部双方向信号の tri ネットを表します。
(*TESTGEN)
(*INPUT) および (*OUTPUT) によって指定されるすべての信
号を表します。
(*IO)
すべての外部双方向信号の入力側信号、出力側信号を表しま
す。
*EXT
すべての外部入出力信号を表します。
*INT
すべての内部信号を表します。
#BUS
すべての内部 3 ステートバスを表します。
#ALL
SETUPコマンドおよび
すべてのF/Fおよびラッチを表します。
HOLD コマンドで用います。
#CLOCK_SIGNAL クロック信号を表します。
#RESET_SIGNAL リセット信号を表します。
#DATA_SIGNAL
データ信号を表します。
*
任意の文字列 (0 文字以上 ) を表します。
?
任意の 1 文字を表します。
210 サインオフシステム R1.9.1 コマンドリファレンス
SRACOM ファイル
アスタリスク (*) と疑問符 (?) には、以下の制限があります。
♦ 「*」と「?」は階層名の末尾でのみ使えます。
♦ 同一の文字列で「*」と「?」の両方を使うことはできません。
以下に正しい例を示します。
.A.C*
.A.B???
以下に上記の信号名が表す信号を示します。
表 18–3 信号名の置き換え
外部入力
信号
(*I)
(*INPUT)
外部出力
信号
•
双方向
バッファ
入力信号
双方向
バッファ
出力信号
•
(*O)
•
(*OUTPUT)
•
•
•
•
(*BIDIRECT)
(*TESTGEN)
•
•
(*IO)
•
*EXT
双方向
バッファの
tri ネット
•
•
•
•
•
•
•
•
信号名・モジュール名の記述
SRACOM ファイル中に信号名・モジュール名を指定するときは以下の制約に
従ってください。
♦ 外部入出力ピン名の先頭には階層区切り文字 (.) を記述してください。
.CLK
♦ 信号名はベクタネット形式で指定できません。展開して記述してくださ
い。
.A[0:2] → .A[0] .A[1] .A[2]
♦ ワイルドカード (*、?) を使い、ビット範囲を記述できます。
.A[?]
.A[*]
サインオフシステム R1.9.1 コマンドリファレンス 211
.....
PRESRA (VSO/VCSSO のみ )
18
PRESRA (VSO/VCSSO のみ )
SRACOM ファイル
CYCLE コマンド
フォーマット
CYCLE テストサイクル ;
説明
CYCLE コマンドは解析で使用するテストサイクルを ns 単位で指定します。
CYCLE コマンドは必須ではありません。ただし、テストサイクルを指定しな
いと、コンフリクトとフローティングのダイヤグラムを生成できません。ま
た、SWINDOW、MAXDLY、MAXCHG コマンドを実行できません。TSC で生成
された TPI ファイルが存在する場合は、サイクル情報は TPI ファイルから取
得されます。テストサイクルは整数、小数を使って指定できます。
記述例
CYCLE 200 ;
FROM コマンド・TO コマンド
フォーマット
FROM 開始時刻 ;
TO 終了時刻 ;
説明
FROM および TO コマンドは、解析の開始および終了時刻を ns 単位で指定しま
す。「開始時刻」と「終了時刻」は整数でなければなりません。
ファイル中に FROM コマンドが最初に現れるまで、
「開始時刻」のデフォルト
はシミュレーションの開始時刻になります。また、最初の TO コマンドが
ファイルに現れるまで、「終了時刻」のデフォルトはシミュレーションの終了
時刻になります。FROM (TO) コマンドは次の FROM (TO) コマンドが現れるま
で有効です。
FROM および TO コマンドは COMPARE コマンドに対しては無効になります。
記述例
FROM 2000 ;
TO
5000 ;
COMPARE コマンド
フォーマット
COMPARE [SLIST] ;
212 サインオフシステム R1.9.1 コマンドリファレンス
SRACOM ファイル
説明
COMPARE コマンドは、シミュレーション出力値と TSC で作成された EXP ファ
イル中の期待値を比較します。
♦ 各テストサイクルにおいてテストデータで指定されたストローブの幅の
あいだでシミュレーション結果を比較します。
♦ TSTL2 テストデータファイル中で X (don’t care) が指定されているサイク
ルではシミュレーション結果を比較しません。
不一致を検出すると、COMPARE コマンドは不一致リストを作成します。
SLIST オプションを指定すると、COMPARE コマンドは簡易形式でリストを作
成します。
シミュレーション結果と期待値の比較検証後、COMPARE コマンドは各外部出
力ピン、双方向ピンについて、ストローブの前後でどのくらいの時間、信号
値が安定しているかを計算します。これを安定領域またはストローブマージ
ンといいます。diagram オプションで OFF を指定しない限り、安定領域は
ダイヤグラムとしてプロットされます。安定領域については「22章 SRA」
を参照してください。
記述例
COMPARE SLIST ;
MARGIN コマンド
フォーマット
MARGIN [ 安定領域 ] ;
説明
MARGIN コマンドは、必要とされる最小安定領域を ns 単位で指定します。
「安
定領域」を省略するとデフォルトは 10 ns になります。指定された値を満た
さない外部出力ピンまたは出力モードの双方向ピンがあるとワーニングメッ
セージをリストに出力します。安定領域は整数、小数を使って指定できます。
記述例
MARGIN 5 ;
CONFLI CT コマンド
フォーマット
CONFLICT [> 時間幅 ] 信号名 1, 信号名 2, ... ;
サインオフシステム R1.9.1 コマンドリファレンス 213
.....
PRESRA (VSO/VCSSO のみ )
18
PRESRA (VSO/VCSSO のみ )
SRACOM ファイル
説明
CONFLICT コマンドは、指定した時間幅よりも長く継続するコンフリクトを
検出します。コンフリクトのチェックはテストサイクル単位で行われます。
♦ 時間幅
コンフリクトとして検出する時間幅を ns 単位で指定します。
「>」と次の
「時間幅」のあいだには空白を入れないでください。
「> 時間幅」を省略
すると、デフォルトで 10 ns が採用されます。時間幅は整数、小数を
使って指定できます。
♦ 信号名 1, 信号名 2, ...
階層的信号名をカンマで区切って指定します。#BUS、(*BIDIRECT) な
どを使うこともできます。双方向ネット、3 ステートバッファに接続さ
れないネット ( 例えば、*I、*INT、*INPUT など ) を指定することはで
きません。
コンフリクトを検出すると、コンフリクトリストを作成します。SRA 実行時
に diagram オプションで OFF を指定しない限り、指定された各信号の最長
コンフリクトを抽出して、コンフリクト領域をダイヤグラムとしてプロット
します。
コンフリクトに関する詳細な説明については「22章 SRA」を参照してくだ
さい。
記述例
CONFLICT >0 (*BIDIRECT) ;
CONFLICT #BUS ;
FLOAT コマンド
フォーマット
FLOAT [> 時間幅 ] 信号名 1, 信号名 2, ... ;
説明
FLOAT コマンドは、指定した時間幅よりも長く継続するフローティングを検
出します。フローティングのチェックはテストサイクル単位で行われます。
♦ 時間幅
フローティングとして検出する時間幅を ns 単位で指定します。「>」と次
の「時間幅」のあいだには空白を入れないでください。
「> 時間幅」を省
略すると、デフォルトで 10 ns が採用されます。時間幅は整数、小数を
使って指定できます。
214 サインオフシステム R1.9.1 コマンドリファレンス
SRACOM ファイル
♦ 信号名 1, 信号名 2, ...
階層的信号名をカンマで区切って指定します。#BUS、(*BIDIRECT) な
どを使うこともできます。双方向ネット、3 ステートバッファに接続さ
れないネット ( 例えば、*I、*INT、*INPUT など ) を指定することはで
きません。
フローティングを検出するとフローティングリストを作成します。SRA 実行
時に diagram オプションで OFF を指定しない限り、指定された信号の最長
フローティングを抽出して、フローティング領域をダイヤグラムとしてプ
ロットします。
フローティングに関する詳細な説明については「22章 SRA」を参照してく
ださい。
記述例
FLOAT >400 (*BIDIRECT) ;
FLOAT #BUS ;
SPIKE コマンド
フォーマット
SPIKE > 時間幅 信号名 1, 信号名 2, ... ;
説明
SPIKE コマンドは、指定した幅以下の 1、0、X、Z のスパイクを検出します。
♦ 時間幅
スパイクとして検出する時間幅を ns 単位で指定します。「>」と次の「時
間幅」のあいだには空白を入れないでください。
「> 時間幅」は省略でき
ません。時間幅は整数、小数を使って指定できます。
♦ 信号名 1, 信号名 2, ...
階層的信号名をカンマで区切って指定します。(*TESTGEN) などを使う
こともできます。
スパイクを検出するとスパイクリストを作成します。
記述例
SPIKE >5 (*TESTGEN) ;
SWINDOW コマンド
フォーマット
SWINDOW [ 開始時刻 ], [ 終了時刻 ] ;
サインオフシステム R1.9.1 コマンドリファレンス 215
.....
PRESRA (VSO/VCSSO のみ )
18
PRESRA (VSO/VCSSO のみ )
SRACOM ファイル
説明
SWINDOW コマンドは、スパイクを検出する範囲をテストサイクルに対し相対
的な時刻で指定します。このコマンドは、SPIKE コマンドと一緒に使いま
す。
♦ 開始時刻
テストサイクルの先頭からの時刻を指定します。省略すると、デフォル
トで 0 になります。開始時刻は整数、小数を使って指定できます。
♦ 終了時刻
テストサイクルの先頭からの時刻で終端を指定します。省略すると、デ
フォルトでサイクルの終端になります。終了時刻は整数、小数を使って
指定できます。
記述例
A)
CYCLE 200 ;
SPIKE >5 (*TESTGEN) ;
SWINDOW 50, 150 ;
B)
SWINDOW , 150 ;
C)
SWINDOW 50, ;
MAXDLY コマンド
フォーマット
MAXDLY 信号名 1, 信号名 2, ... ;
説明
MAXDLY コマンドは、指定された信号について、テストサイクルの先頭から
みて、もっとも遅い変化時刻 ( 最大遅延 ) を報告します。このコマンドは同
期回路で用います。
記述例
MAXDLY (#OUTPUT) ;
MAXCHG コマンド
フォーマット
MAXCHG > 時間幅 値 1, 値 2, 値 3 [CHKBUF] ;
216 サインオフシステム R1.9.1 コマンドリファレンス
SRACOM ファイル
説明
MAXCHG コマンドは、シミュレーション中に同時にスイッチングした出力ピ
ンおよび出力モードの双方向ピン数をカウントし、指定した数を超える同時
スイッチングを報告します。
♦ 時間幅
同時とみなす時間幅を ns 単位で指定します。出力ピンおよび出力モード
の双方向ピンのいずれかが 0 から 1 または 1 から 0 に切り換わるごとに、
その点がカウントの先頭に設定されます。「>」と次の「時間幅」のあい
だには空白を入れないでください。時間幅は整数、小数を使って指定で
きます。
♦ 値1
0 から 1 への最大許容変化回数を指定します。
♦ 値2
1 から 0 への最大許容変化回数を指定します。
♦ 値3
0 から 1 および 1 から 0 への変化の合計の最大許容回数を指定します。
図 18–3 同時スイッチングのカウント方法
カウントする範囲
♦ CHKBUF
指定した数を超える同時スイッチングが発生した場合、同時にスイッチ
ングした出力ピンを駆動しているバッファの種類を表示します。
同時にスイッチングした出力ピンが指定した数 ( 値 1、値 2、値 3) のいずれ
かを超えると、MAXCHG コマンドはシミュレーション時刻、同時スイッチン
グしたピン数、またオプションによりバッファの種類を示すリストを作成し
ます。
サインオフシステム R1.9.1 コマンドリファレンス 217
.....
PRESRA (VSO/VCSSO のみ )
18
PRESRA (VSO/VCSSO のみ )
SRACOM ファイル
記述例
MAXCHG >10 5,5,10 CHKBUF ;
SETUP コマンド
フォーマット
SETUP [ 記号 ][ 値 ] モジュール名 1, モジュール名 2, ... ;
説明
SETUP コマンドはセットアップ時間の違反を検出します。
♦ 記号
次の記号のいずれかを任意で指定できます。
> 次の値がユーザー指定のセットアップ時間であることを示します。
+ ライブラリに登録されているセットアップ時間に次の「値」を加えま
す。
- ライブラリに登録されているセットアップ時間から次の「値」を引き
ます。
♦ 値
上記の記号によって表される値を実数で指定します。「>」、「+」、
「-」と
「値」のあいだに空白を入れないでください。
「記号」と「値」を省略すると、ライブラリに登録されている値が使われ
ます。
サインオフシミュレーションでは、かならずライ
ブラリに登録されている値を使ってください。
♦ モジュール名 1, モジュール名 2, ...
検索対象とする F/F、ラッチの階層的インスタンス名をカンマで区切っ
て指定します。回路で使用しているすべての F/F とラッチを指定するに
は、#ALL を使います。
SETUP コマンドは、
SRA 実行時に diagram オプションで OFF を指定しない限
り、指定した各 F/F、ラッチについて最も小さい、すなわちタイミングが最
も厳しいマージンを抽出し、ダイヤグラムとしてプロットします。
記述例
SETUP
SETUP
SETUP
SETUP
#ALL ;
>0.35 .FUNC.FF1, .FUNC.FF2 ;
+0.15 .SUB.FF2 ;
-0.15 .SUB1.* ;
218 サインオフシステム R1.9.1 コマンドリファレンス
SRACOM ファイル
HOLD コマンド
フォーマット
HOLD [ 記号 ][ 値 ] モジュール名 1, モジュール名 2, ... ;
説明
HOLD コマンドはホールド時間の違反を検出します。
♦ 記号
次の記号のいずれかを任意で指定できます。
> 次の値がユーザー指定のホールド時間であることを示します。
+ ライブラリに登録されているホールド時間に次の「値」を加えます。
- ライブラリに登録されているホールド時間から次の「値」を引きま
す。
♦ 値
上記の記号によって表される値を実数で指定します。「>」、
「+」
、「-」と
「値」のあいだに空白を入れないでください。
「記号」と「値」を省略すると、ライブラリに登録されている値が使われ
ます。
サインオフシミュレーションでは、かならずライ
ブラリに登録されている値を使ってください。
♦ モジュール名 1, モジュール名 2, ...
検索対象とする F/F、ラッチの階層的インスタンス名をカンマで区切っ
て指定します。回路で使用しているすべての F/F とラッチを指定するに
は、#ALL を使います。
HOLD コマンドは、SRA 実行時に diagram オプションで OFF を指定しない限
り、指定した各 F/F、ラッチについて最も小さい、すなわちタイミングが最
も厳しいマージンを抽出し、ダイヤグラムとしてプロットします。
記述例
HOLD
HOLD
HOLD
HOLD
#ALL ;
>0.12 .FUNC.FF1, .FUNC.FF2 ;
+0.05 .SUB.FF2 ;
-0.1 .SUB1.* ;
PREFI X コマンド
フォーマット
PREFIX 文字列 ;
サインオフシステム R1.9.1 コマンドリファレンス 219
.....
PRESRA (VSO/VCSSO のみ )
18
PRESRA (VSO/VCSSO のみ )
SRACOM ファイル
説明
PREFIX コマンドは階層的インスタンス名を示す文字列を指定します。
PREFIX コマンドで指定された文字列は、それ以降のコマンドにおいて指定
されている信号名の前に付けられ、階層的信号名を形成します。PREFIX コ
マンドより、階層的信号名の指定が簡単になります。PREFIX コマンドは、
別の PREFIX コマンドが現れるまで有効です。
記述例
PREFIX
.FUNCTION.SUB ;
CONFLICT A, B ;
これは以下と等価です。
CONFLICT .FUNCTION.SUB.A, .FUNCTION.SUB.B ;
上記の例で CONFLICT コマンドのネット名 A と B
の前に階層区切り文字 (.) はつけません。階層区切
り文字で始まるネット名にはプレフィックスはつ
けられません。
SHMASK コマンド
フォーマット
SHMASK 範囲 1, 範囲 2, ... ;
説明
SHMASK コマンドはセットアップ・ホールド検査から除外するセルを指定し
ます。
♦ 範囲 1, 範囲 2, ...
「範囲」には検査から除外するセルを以下のように指定します。文字列の
まえに @ がついていると、FD1 や XF20 など、セルタイプ名またはモ
ジュール名とみなされます。@ がついていないと、セル、モジュールの
インスタンス名とみなされます。
•
階層的識別名
例 : .FUNC.SUB1
指定した階層以下のすべてのセル
•
@ セルタイプ名
例 : @FD1S
指定したセルタイプ名 (FD1S) すべて
•
@ モジュール名
例 : @XF20
指定したモジュール名 (XF20) のすべてのセル
220 サインオフシステム R1.9.1 コマンドリファレンス
DSPCOM ファイル
•
階層的識別名 .@ セルタイプ名
例 : .FUNC.SUB2.@FD1S
モジュール .FUNC.SUB2 以下の階層にある、すべての FD1S
•
@ モジュール名 .@ セルタイプ
例 : .@XF30.@FD1S
タイプ名が XF30 のモジュール以下の階層にある、すべての FD1S
記述例
SHMASK
SHMASK
SHMASK
SHMASK
SHMASK
.FUNC.SUB1 ;
@FD1S ;
@XF20 ;
.FUNC.SUB2.@FD1S ;
@XF30.@FD1S ;
階層的識別名は PREFIX コマンドと組み合わせて指定することができます。
以下の例では、SHMASK .FUNC.SUB.@FD1S; と指定したことになります。
PREFIX
SETUP
HOLD
SHMASK
.FUNC;
#ALL;
#ALL;
SUB.@FD1S;
UINI TX コマンド
フォーマット
UINITX 信号名 1, 信号名 2, ... ;
説明
UINITX コマンドは初期値以外の「X」を検出します。信号名は階層的信号
名をカンマで区切って指定します。#CLOCK_SIGNAL、#RESET_SIGNAL、
#DATA_SIGNAL などを使うこともできます。
記述例
UINITX
#CLOCK_SIGNAL ;
DSPCOM ファイル
..................................................
DSPCOM ファイル ( 回路名 .dspcom [. テスト識別子 ]) には、シミュレー
ション中に観測したい信号の名前を指定します。ASCII 形式のテキストファイ
ルで、任意のテキストエディタで作成できます。
ファイルの記述形式
♦ 各コマンドはセミコロンで終わらせます。
サインオフシステム R1.9.1 コマンドリファレンス 221
.....
PRESRA (VSO/VCSSO のみ )
18
PRESRA (VSO/VCSSO のみ )
DSPCOM ファイル
♦ コマンドはすべて自由形式で記述できます。各キーワードを区切り記号
の後に任意の数の空白を続けられます。
♦ 1 つのコマンドが複数行に減っても、複数のコマンドを 1 行に入力しても
かまいません。ただし、1 行の長さは 1,024 文字以内でなければなりませ
ん。
♦ 使用できる文字は、大文字および小文字の英字 (A-Z、a-z)、数字 0-9、お
よびキーボードにある特殊文字です。ただし、コマンドキーワードは大
文字で入力しなければなりません。
♦ コメントは /* と */ の間に記述します。コメントは複数行に渡ってもかま
いません。
コマンドクイックリファレンス
以下に DSPCOM ファイルに記述するコマンドの一覧を示します。
表 18–4 DSPCOM ファイルに記述するコマンドの一覧
コマンド
目的
PREFIX
階層信号名の一部として使用するプレフィックスを指定します。こ
のコマンドは、サブモジュールの信号を指定するのに便利です。
SELECT
表示する信号および表示フォーマットを指定します。
END
DSPCOM ファイルの終わりを示します。
DSPCOM ファイルの例
図 18–4 に DSPCOM ファイルの例を示します。
図 18–4 DSPCOM ファイルの例
SELECT .CLR,.CSN,,.WRN,.RDN,,.A1,.A0,,.D7,.D6,
.D5,.D4,.D3,.D2,.D1,.D0,,.CI,
.EQ,.CO;
END;
PREFIX コマンド
フォーマット
PREFIX 階層モジュール識別名 ;
説明
PREFIX コマンドは、階層信号 ( ピン ) 名の一部として使用するプレフィックス
を指定します。階層信号名のうちの共通の階層モジュール識別名の共通な部
分を記述します。後続する SELECT コマンドを使うと、文字列の先頭に
222 サインオフシステム R1.9.1 コマンドリファレンス
DSPCOM ファイル
PREFIX コマンドによって指定された階層モジュール識別名が付加されます。
階層区切り文字で始まる文字列には、PREFIX コマンドで指定した階層モ
ジュール識別名はつけられません。
PREFIX コマンドは、別の PREFIX コマンドまたは SELECT コマンドの PREFIX
引数が現れるまで有効です。
記述例
PREFIX .SEGGEN1;
SELECT FFCK,O7SEG;
上記のコマンドは、以下と等価です。
SELECT .SEGGEN1.FFCK,.SEGGEN1.O7SEG;
SELECT コマンド
フォーマット
SELECT 信号名 1, 信号名 2, ...
PREFIX= 階層モジュール識別名 ,
信号名 1, 信号名 2, ... ;
説明
SELECT コマンドは、シミュレーション結果ファイルに表示する信号を指定
します。
♦ 信号名
階層信号 ( ピン ) 名をカンマで区切って指定します。
♦ PREFIX
PREFIX 引数の機能は PREFIX コマンドと同じです。
「PREFIX=」の後に、
プレフィックスとして使用する階層モジュール識別名を指定します。プ
レフィックスは後続の信号名に付けられます。これにより、複数の階層
信号名を簡単に指定できます。PREFIX 引数は SELECT コマンド内での
み、また、次の PREFIX 引数が現れるまで有効です。
記述例
以下に SELECT コマンドの記述例を示します。
♦ 次の例では外部の入出力ピンを列挙しています。
SELECT .CLR,.CSN,,.WRN,.RDN,,.A1,.A0,,
.D7,.D6,.D5,.D4,.D3,.D2,.D1,.D0,,
.CI,,,.EQ,.CO;
♦ 次の例では 2 つの PREFIX 引数が使われています。PREFIX 引数は次の
PREFIX 引数が現れるまで有効です。
サインオフシステム R1.9.1 コマンドリファレンス 223
.....
PRESRA (VSO/VCSSO のみ )
18
PRESRA (VSO/VCSSO のみ )
PRESRA の実行方法
SELECT .N002146,.N002144,.IDATSEL,,
PREFIX=.X244-1,2.Z,3.Z,4.Z,5.Z,,
7.Z,8.Z,9.Z,10.Z,,
PREFIX=.X244-2,2.Z,3.Z,4.Z,5.Z,,;
END コマンド
フォーマット
END ;
説明
END コマンドは、DSPCOM ファイルの終わりを表します。END コマンドは必
須です。
PRESRA の実行方法
..................................................
この項では PRESRA のシンタックスとオプションについて説明します。
シンタックス
PRESRA は以下のどちらかの方法で実行します。
♦ UNIX のシェルプロンプトで vepresra タスク間接コマンドを入力しま
す。vepresra タスク間接コマンドはサインオフシミュレーションで必
要なすべての Verilog シミュレータオプションを自動的に Verilog シミュ
レータへ渡します。詳細は「34章 VERUN (VSO/VCSSO のみ )」を参照
してください。
vepresra HDL ファイル ... Verilog シミュレータオプション ...
VSO/VCSSO オプション ...
♦ Verilog-HDL ファイルに以下のタスク実行文を記述し、Verilog シミュレー
タを起動します。
$vepresra ("VSO/VCSSO オプション ",...);
HDL ファイル
シミュレーションを実行するのに必要な Verilog-HDL ファイ
ルの名前を列挙します ( ネットリストファイル、WAV ファ
イル、DRIVE ファイルなど )。
224 サインオフシステム R1.9.1 コマンドリファレンス
PRESRA の実行方法
Verilog シミュレータオプション
サインオフシミュレーションで許されないオプションでな
い限り、Verilog シミュレータのプラスオプションを指定で
きます。Verilog-HDL ファイルと Verilog シミュレータオプ
ションはどちらを先に指定してもかまいません。
VSO/VCSSO オプション
VSO/VCSSO のオプションを指定できます。
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *PRESRA 部分にも記述できます。これらのオプションについては
「付録 A コンフィグレーションファイル」を参照してください。
simulator = [VERILOG|VCS]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
rm-sdfsw = [ON|OFF]
{rm-sdf|rm-sdfdir|rm-sdfext} = ファイル名
paragraph = [ON|OFF]
delaymode = [MIN|TYP|MAX]
realtime = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------testext = テスト識別子
head = 接頭語
tail = 接尾語
{exp|expdir|expext} = ファイル名
{vcd|vcddir|vcdext} = ファイル名
msout = [ON|OFF]
*PRESRA に分類されるオプション
以下は PRESRA で使用するオプションであり、tsb.config ファイルの
*PRESRA 部分に記述できます。
cycle = テストサイクル
{pininf|pininfdir|pininfext} = ファイル名
{sracom|sracomdir|sracomext} = ファイル名
{list|listdir|listext} = ファイル名
サインオフシステム R1.9.1 コマンドリファレンス 225
.....
PRESRA (VSO/VCSSO のみ )
18
PRESRA (VSO/VCSSO のみ )
PRESRA の実行方法
{sradb|sradbdir|sradbext} = ファイル名
extout = [ON|OFF]
dsp = [ON|OFF]
{dspcom|dspcomdir|dspcomext} = ファイル名
オプション
以下に *PRESRA に分類されるオプションについて説明します。
cycle = テストサイクル
テストサイクルを ns 単位で指定します。
{pininf|pininfdir|pininfext} = ファイル名
PRESRA により生成される PININF ファイルの名前を指定し
ます。
{sracom|sracomdir|sracomext} = ファイル名
PRESRA で使用する SRACOM ファイルの名前を指定しま
す。
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。
{sradb|sradbdir|sradbext} = ファイル名
PRESRA のリアルタイムモードで生成される SRADB ファイ
ルの名前を指定します。
extout = [ON|OFF]
PRESRA をリアルタイムモードで実行するときに外部ピン
の変化だけをシミュレーション結果ファイルに出力するか
どうかを指定します。
dsp = [ON|OFF]
DSPCOM ファイルを入力するかどうかを指定します。
{dspcom|dspcomdir|dspcomext} = ファイル名
DSPCOM ファイルの名前を指定します。
実行例
以下に PRESRA の実行例を示します。
vepresra タスク間接コマンドを使う場合
次のコマンドはネットリストファイルと WAV ファイルを指定しています。
UNIX のシェルプロンプトにおいてタスク間接コマンドにより PRESRA を実行
するときは、ネットリストファイル、WAV ファイル、DRIVE ファイルなどの
シミュレーションを実行するのに必要な Verilog-HDL ファイルをすべて列挙し
なくてはなりません。SDF ファイルのデフォルトの名前は「回路名 .esdf」ま
たは「回路名 .sdf」です。
226 サインオフシステム R1.9.1 コマンドリファレンス
PRESRA の実行方法
以下に vepresra タスク間接コマンドの実行例を示します。
vepresra DEMO.net DEMO.wav.fn1 testext=fn1
引数に Verilog シミュレータのオプションを記述することができます。
vepresra DEMO.ver DEMO.wav.fn1 testext=fn1 +mindelays
Verilog シミュレータのオプションを記述するとき、値などを指定するために
スペースが入るオプションは「"」で挟んで記述します。
vepresra DEMO.ver DEMO.wav +ncsimargst+"-errormax 10"
サインオフシミュレーションの実行時には、指定
してはいけないオプションに注意してください。
詳しくは当社発行の『VSO/VCSSO R1.9.1 ユー
ザーガイド』を参照してください。
$vepresra タスク実行文を使う場合
PRESRA は Verilog シミュレータのシミュレーションとデータをやり取りしな
がら実行します。そして、回路中のすべての信号の値の変化をモニタするため
に、Verilog シミュレータをセットアップします。この段階では Verilog シミュ
レータのシミュレーション環境と相互にデータをやり取りするために Cadence
社または Chronologic Simulation 社の PLI と VCL を利用しています。
以下に $vepresra タスク実行文の例を示します。
$vepresra ("testext=fn1");
図 18–5 に $vepresra タスク文実行文を記述した Verilog-HDL ファイルの例
を示します。
図 18–5 $vepresra タスク実行文を記述した Verilog-HDL ファイルの例
‘timescale 1 ns / 10 ps
module task_ct1;
initial begin
$sdf_annotate("DEMO1.esdf", wave.DEMO1_wave);
$vepresra("testext=fn1");
end
endmodule
シミュレーション開始まえに $sdf_annotate を実
行してください。$sdf_annotate は、Verilog シ
ミュレータを起動するたびに実行しなくてはなり
ません。
サインオフシステム R1.9.1 コマンドリファレンス 227
.....
PRESRA (VSO/VCSSO のみ )
18
PRESRA (VSO/VCSSO のみ )
PRESRA の実行方法
228 サインオフシステム R1.9.1 コマンドリファレンス
PRETSO (VSO/VCSSO のみ )
.....
....................................
19
この章では PRETSO について以下のことを説明します。
♦ PRETSO の機能
♦ 入出力ファイル
♦ PRETSO の実行方法
PRETSO の機能
..................................................
PRETSO はシミュレーション中に回路内のすべての外部入出力ピンをモニタ
し、値の変化をファイルにダンプします。このファイルをシミュレーション結
果ファイルといいます。このファイルは TSG、TST の入力ファイルとして、シ
ミュレーション結果を当社 TSTL2 形式に変換するのに使います。PRETSO は
Verilog シミュレータのタスクとして実現されています。
PRESRA と PRETSO で生成されるシミュレーション結果ファイルの形式は保
存されるデータを除いて、まったく同じです。一般に、PRESRA で生成された
シミュレーション結果ファイルのほうが多くの信号が保存されます。これは、
PRESRA で外部入出力ピンに関するコマンドを指定しない場合でも、デフォル
トで最低限すべての外部入出力ピンの値が入るためです。したがって、
PRESRA を実行してある場合は、PRETSO を実行する必要はありません。
PRESRA で生成されたシミュレーション結果ファイルを TSG、TST の入力ファ
イルとして使用できます。
また、入出力ファイルとして DSPCOM ファイルにシミュレーション中に観
測したい信号を指定できます。
入出力ファイル
..................................................
図 19–1 に PRETSO の入出力ファイルを示します。
サインオフシステム R1.9.1 コマンドリファレンス 229
19
PRETSO (VSO/VCSSO のみ )
入出力ファイル
図 19–1 PRETSO の出力ファイル
dspcom
. テスト識別子
任意
Verilog シミュレータ
PRETSO
vcd
. テスト識別子
pininf
. テスト識別子
シミュレーション
結果ファイル
EDP、TSG、
TST で使用
pretsolst
. テスト識別子
実行リスティング
ファイル
入力ファイル
PRETSO の入力ファイルは DSPCOM ファイル ( 回路名 .dspcom[. テスト識
別子 ]) です。このファイルには、シミュレーション中に観測したい信号の名前
を指定します。ASCII 形式のテキストファイルで、任意のテキストエディタで
作成できます。ファイルの詳細については 221 ページの「DSPCOM ファイル」
を参照してください。
出力ファイル
PRETSO の出力ファイルは以下のとおりです。
♦ 回路名 .vcd [. テスト識別子 ]
シミュレーション結果ファイルで、EDP、SRA、TSG、TST の入力ファ
イルとして使用されます。このファイルは realtime オプションを OFF
に指定するか、または realtime オプションと extout オプションを
ON に指定すると生成されます。VCD ファイルは Cadence 社 Value Change
Dump (VCD) 形式のファイルです。
systemsim=ON を指定して生成されるシミュレー
ション結果ファイルと、systemsim=OFF を指定し
て生成されるシミュレーション結果ファイルとで
は格納される情報が異なります。systemsim=ON
を指定して生成したシミュレーション結果ファイルは TST
の入力ファイルとして使えないので注意してください。
♦ 回路名 .pininf [. テスト識別子 ]
回路の外部入出力ピンに関する情報が入っているバイナリ形式のファイ
ルです。EDP、TSG、TST で使用します。
230 サインオフシステム R1.9.1 コマンドリファレンス
PRETSO の実行方法
systemsim=ON を指定して生成される PININF
ファイルと、systemsim=OFF を指定して生成され
る PININF ファイルとでは格納される情報が異な
ります。systemsim=ON を指定して生成した
PININF ファイルは TST の入力ファイルとして使えないので
注意してください。
♦ 回路名 .pretsolst [. テスト識別子 ]
実行リスティングファイルです。
PRETSO の実行方法
..................................................
この項では PRETSO のシンタックスとオプションについて説明します。
シンタックス
PRETSO は以下のどちらかの方法で実行します。
♦ UNIX のシェルプロンプトで以下のタスク間接コマンドを入力します。
vepretso タスク間接コマンドはサインオフシミュレーションで必要な
すべての Verilog シミュレータのオプションを自動的に Verilog シミュ
レータへ受け渡します。詳細は「34章 VERUN (VSO/VCSSO のみ )」を
参照してください。
vepretso HDL ファイル ... Verilog シミュレータオプション ...
VSO/VCSSO オプション
♦ Verilog-HDL ファイルに以下のタスク実行文を記述し、Verilog シミュレー
タを起動します。
$vepretso ("VSO/VCSSO オプション ",...);
HDL ファイル
シミュレーションを実行するのに必要な Verilog-HDL ファイ
ルの名前を列挙します ( ネットリストファイル、WAV ファ
イル、DRIVE ファイルなど )。
Verilog シミュレータオプション
サインオフシミュレーションで許されないオプションでな
い限り、Verilog シミュレータのプラスオプションを指定で
きます。Verilog-HDL ファイルと Verilog シミュレータオプ
ションはどちらを先に指定してもかまいません。
サインオフシステム R1.9.1 コマンドリファレンス 231
.....
PRETSO (VSO/VCSSO のみ )
19
PRETSO (VSO/VCSSO のみ )
PRETSO の実行方法
VSO/VCSSO オプション
VSO/VCSSO のオプションを指定できます。
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *PRETSO 部分にも記述できます。これらのオプションについては
「付録 A コンフィグレーションファイル」を参照してください。
simulator = [VERILOG|VCS]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
rm-sdfsw = [ON|OFF]
{rm-sdf|rm-sdfdir|rm-sdfext} = ファイル名
paragraph = [ON|OFF]
systemsim = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------testext = テスト識別子
{vcd|vcddir|vcdext} = ファイル名
msout = [ON|OFF]
*PRETSO に分類されるオプション
以下は PRETSO で使用するオプションであり、tsb.config ファイルの
*PRETSO 部分に記述できます。
{pininf|pininfdir|pininfext} = ファイル名
{list|listdir|listext} = ファイル名
{dspcom|dspcomdir|dspcomext} = ファイル名
dsp = [ON|OFF]
オプション
以下に *PRETSO に分類されるオプションについて説明します。
{pininf|pininfdir|pininfext} = ファイル名
PRETSO により生成される PININF ファイルの名前を指定し
ます。
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。
232 サインオフシステム R1.9.1 コマンドリファレンス
PRETSO の実行方法
{dspcom|dspcomdir|dspcomext} = ファイル名
DSPCOM ファイルの名前を指定します。
dsp = [ON|OFF]
DSPCOM ファイルを入力するかどうか指定します。
実行例
以下に PRETSO の実行例を示します。
vepretso タスク間接コマンドを使う場合
次のコマンドはネットリストファイルと WAV ファイルを指定しています。
UNIX のシェルプロンプトにおいてタスク間接コマンドにより PRETSO を実行
するときは、ネットリストファイル、WAV ファイル、DRIVE ファイルなどの
シミュレーションを実行するのに必要な Verilog-HDL ファイルをすべて列挙し
なくてはなりません。SDF ファイルのデフォルトの名前は「回路名 .esdf」ま
たは「回路名 .sdf」です。
vepretso DEMO.net DEMO.wav.fn1 testext=fn1
$vepretso タスク実行文を使う場合
PRETSO は Verilog シミュレータのシミュレーションとデータをやり取りしな
がら実行します。そして、回路中のすべての信号の値の変化をモニタするため
に、Verilog シミュレータをセットアップします。この段階では Verilog シミュ
レータのシミュレーション環境と相互にデータをやり取りするために Cadence
社または Chronologic Simulation 社の PLI と VCL を利用しています。
以下に $vepretso タスク実行文の例を示します。
$vepretso ("testext=fn1");
図 19–2 に $vepretso タスク実行文を記述した Verilog-HDL ファイルの例を
示します。
図 19–2 $vepretso タスク実行文を記述した Verilog-HDL ファイルの例
‘timescale 1 ns / 10 ps
module task_ct1;
initial begin
$sdf_annotate("DEMO1.esdf", wave.DEMO1_wave);
$vepretso("testext=fn1");
end
endmodule
シミュレーション開始まえに $sdf_annotate を実
行してください。$sdf_annotate は、Verilog シ
ミュレータを起動するたびに実行しなくてはなり
ません。
サインオフシステム R1.9.1 コマンドリファレンス 233
.....
PRETSO (VSO/VCSSO のみ )
19
PRETSO (VSO/VCSSO のみ )
PRETSO の実行方法
234 サインオフシステム R1.9.1 コマンドリファレンス
PWR
.....
....................................
20
この章では PWR について以下のことを説明します。
♦ PWR の機能
♦ 入出力ファイル
♦ PWR の実行方法
♦ 消費電流ヒストグラム
♦ ROWLST ファイル
PWR の機能
..................................................
PWR はシミュレーション結果をもとに、指定した時間範囲の回路の消費電流
を計算し、時間軸に沿った消費電流の変化をヒストグラムとして示します。
レイアウト後は、消費電流は回路全体についてだけでなく、指定したロウに
ついても計算することができます。
ヒストグラムでは要因別に消費電流が表示されるので、いつ、どのような形
で電流が消費されているかを一目で確認することができます。
消費電流の情報からチップ温度の上昇を見積もることができ、チップ温度の
上昇をもとに、より適切なシミュレーション ( 遅延計算 ) 条件を決めることも
できます。
PWR はシミュレーション結果にもとづいて回路の
消費電流を計算するので、正確な見積もりをする
には、実動作確認用のテストパターンに対して
PWR を実行してください。
VSO/VCSSO では、PWR はタスクとして実現されているプログラムです。
消費電流の要因
PWR は以下の 3 種類の要因を考慮して、消費電流を計算します。
♦ 充放電による消費電流
ゲートの充放電により消費される電流で基本的にスイッチング周波数に
依存します。まず、P チャネルのトランジスタの容量 (C) が電圧 (V) まで
サインオフシステム R1.9.1 コマンドリファレンス 235
20
PWR
PWR の機能
充電されると、その結果、電荷 (CV) がゲートに蓄えられます。そのエネ
ルギーは CV2 と表せます。その後、P チャネルのトランジスタに蓄えら
れた電荷は、対になっている N チャネルのトランジスタから放電されま
す。スイッチング周波数を (f) とすると、この充放電による消費電流 (P)
は P=fCV2 になります。
一般的にゲートの充放電による消費電流は全消費電流の大半を占めます。
PWR はシミュレーション時の各セルの出力ピンのスイッチング周波数と
ネットの負荷容量をもとに充放電による消費電流を見積もります。
ソフトマクロセルやマクロファンクションのような複合セルについては、
セルの出力ピンにおけるスイッチングを考慮するだけでは不十分です。
セルの内部のゲートで起こるスイッチングを計算に含める必要がありま
す。そこで、複合セルについては、各入出力ピンで 1 MHz の信号変化が
起こったときに、内部で消費される電流がテクノロジーライブラリ中に
定義されています。この複合セルの内部で発生する消費電流はセルの入
出力ピンにおけるスイッチング周波数をもとに見積もります。
♦ 静的消費電流
静的電流はトランジスタがオフのときに流れ続ける電流で、本質的に
ASIC のプロセスに依存します。CMOS では回路が正常に動作している
とき、静的電流は極めて小さく ( 数 µA 程度 )、無視できます。
ただし、プルアップ、プルダウン抵抗付きの外部入力バッファでは、入
力バッファがプル抵抗と逆のレベルにあるとき、その静的電流は大きく
なります。そのため、入力バッファの静的消費電流特性はテクノロジー
ライブラリ中に登録されています。
♦ 貫通電流
貫通電流は VTH= 入力しきい値電圧、N・P= トランジスタ、VIN= 入力電
圧、VDD= 電源電圧としたとき VTH(N)<VIN<VDD-VTH(P) において P チャ
ネルおよび N チャネルのトランジスタが「H」から「L」
、または「L」
から「H」にスイッチングしたときに発生します。
CMOS では N チャネルと P チャネルのトランジスタは動作が相補的で
す。つまり、N がオンのとき、P はオフ、P がオンのとき、N はオフにな
ります。入力電圧が 0 V から VDD に、または VDD から 0 V に変化する
間のみ、短い時間 N チャネルと P チャネルの両方のトランジスタがオン
になります。安定した状態では、少なくともどちらかのトランジスタが
オフになるので、直流電流はほとんど消費されません。
ただし、ゲートの負荷が大きいと、波形の傾きはなだらかになります。
そのため、駆動されるゲートは N チャネルと P チャネルの両方のトラン
ジスタがオンになる傾きの部分で長い時間を要します。その結果、貫通
電流による消費電流が大きくなります。
PWR はマクロセルに接続されている負荷をもとに貫通電流による消費電
流を見積もります。配線負荷は考慮されます。
236 サインオフシステム R1.9.1 コマンドリファレンス
入出力ファイル
入出力ファイル
..................................................
図 20–1 に PWR の入出力ファイルを示します。
図 20–1 PWR の入出力ファイル
シミュレーション
結果ファイル
TDGS データ
ベースファイル
ロウ番号指定
tdgs
row
任意
**
*
vcd. テスト識別子
ow. テスト識別子
vcd2. テスト識別子
fbxref
任意
任意
***
PWR
バイナリ形式
rowmid
. テスト識別子
rowlst
. テスト識別子
Verilog シミュレータ
バイナリ形式
middle
. テスト識別子
ロウごとの消費電流
計算結果
pwrlst
. テスト識別子
消費電流
実行リスティング
ヒストグラム
ファイル
power
. テスト識別子
ロウごとの消費電流
計算結果
*
cell または
cellm
消費電流
ヒストグラム
読み込むファイルの形式は決められています。VSO/VCSSO の場合、必要ありませ
ん。
** GEMINISO/VITALSO/VOYSO の場合、必要です。
*** VSO/VCSSO の場合、シミュレータと相互にデータをやり取りします。
入力ファイル
PWR の入力ファイルは以下のとおりです。
♦ 回路名 .vcd [. テスト識別子 ]
シミュレーション結果を VCD 形式で保存したファイルです。Gemini、
ModelSim、Leapfrog、Voyager で生成されます。
♦ 回路名 .ow [. テスト識別子 ]
シミュレーション結果を WIF 形式で保存したファイルです。VSS で生成
されます。
サインオフシステム R1.9.1 コマンドリファレンス 237
.....
PWR
20
PWR
入出力ファイル
♦ 回路名 .vcd2 [. テスト識別子 ]
シミュレーション結果を VCD 形式で保存したファイルです。Gemini で
生成されます。
Gemini を使うと VCD 形式のファイルが 2 つ生成
されます。PWR を実行するとき、この 2 つのファ
イルを入力ファイルとして読み込みます。
♦ 回路名 .tdgs
TDGS データベースファイルです。
♦ 回路名 .fbxref ( 任意 )
当社のレイアウトインタフェースプログラムで生成された代替名の対応
が記述されているファイルです。レイアウトシステムの制約を超える文
字数や文字を使っているネット名やインスタンス名があると、レイアウ
トインタフェースプログラムにより、それらの名前に対して自動的に代
替名が割り付けられます。この場合、レイアウトインタフェースプログ
ラムにより、もとの名前と代替名を対応づけるクロスリファレンスが出
力されます。レイアウト後、このファイルはレイアウトの前後の名前を
一致させるために PWR に戻されます。
♦ 回路名 .cell または 回路名 .cellm ( 任意 )
このファイルはレイアウトシステムによって生成されます。このファイ
ルには、母体上の各ロウに配置されたインスタンスが列挙されています。
ロウはセルが配置される母体上の Y 軸方向の列を表わすレイアウトで用
いる用語です。NETMOD を使って、レイアウト時に挿入されたサブク
ロックバッファを回路にバックアノテートしている場合は、NETMOD に
よって生成されたファイルを使ってください。その場合、元のファイル
の拡張子は cell、NETMOD で生成されたファイルの拡張子は cellm
です。
♦ 回路名 .row ( 任意 )
ロウ番号を指定するファイルです。ロウごとに消費電流を計算する場合、
このファイル中に計算したいロウの番号を指定します。ファイルのシン
タックスについては、239 ページの「ROW ファイル」を参照してくださ
い。
中間出力ファイル
PWR は消費電流レポートを作成するまえに、以下の中間ファイルを生成しま
す。
♦ 回路名 .middle [. テスト識別子 ]
このファイルはバイナリ形式で、回路全体の消費電流計算結果が入りま
す。
238 サインオフシステム R1.9.1 コマンドリファレンス
PWR の実行方法
♦ 回路名 .rowmid [. テスト識別子 ] ( 任意 )
このファイルはバイナリ形式で、ロウごとの消費電流計算結果が入りま
す。ROW ファイルが存在する場合にのみ生成されます。
出力ファイル
PWR の出力ファイルは以下のとおりです。
♦ 回路名 .power [. テスト識別子 ]
このファイルには、回路全体の消費電流の時間ごとの変化を示すヒスト
グラムが入ります。
♦ 回路名 .rowlst [. テスト識別子 ] ( 任意 )
このファイルには、ロウごとの消費電流計算結果が入ります。ROW ファ
イルが存在する場合にのみ生成されます。
♦ 回路名 .pwrlst [. テスト識別子 ]
実行リスティングファイルです。
PWR の実行方法
..................................................
この項では PWR のシンタックスとオプションについて説明します。
ROW ファイル
ロウごとに消費電流を計算する場合、このファイルに計算したいロウの番号
を記述します。デフォルトのファイル名は、「回路名 .row」です。ロウ番号は
CELL ファイルまたは CELLM ファイル中に記述されています。ROW ファイル
がない場合は、回路全体について消費電流を計算します。図 20–2 にファイル
の例を示します。
図 20–2 ROW ファイルの例
1
15
20
40
ロウ番号は 1 行に 1 つのみ記述します。すべてのロウを指定する場合は
「ALL」と記述します。
シンタックス
VSO/VCSSO の場合
VSO/VCSSO の場合、PWR は以下のどちらかの方法で実行します。
サインオフシステム R1.9.1 コマンドリファレンス 239
.....
PWR
20
PWR
PWR の実行方法
♦ UNIX のシェルプロンプトで以下のタスク間接コマンドを入力します。
vepwr タスク間接コマンドはサインオフシミュレーションで必要なすべ
ての Verilog シミュレータのオプションを自動的に Verilog シミュレータ
へ受け渡します。詳細は「34 章 VERUN (VSO/VCSSO のみ )」を参照し
てください。
vepwr HDL ファイル ... Verilog シミュレータオプション ...
VSO/VCSSO オプション ...
♦ Verilog-HDL ファイルに以下のタスク実行文を記述し、Verilog シミュレー
タを起動します。
$vepwr ("VSO/VCSSO オプション ",...);
HDL ファイル
シミュレーションを実行するのに必要な Verilog-HDL ファイ
ルの名前を列挙します ( ネットリストファイル、WAV ファ
イル、DRIVE ファイルなど )。
Verilog シミュレータオプション
サインオフシミュレーションで許されないオプションでな
い限り、Verilog シミュレータのプラスオプションを指定で
きます。Verilog-HDL ファイルと Verilog シミュレータオプ
ションはどちらを先に指定してもかまいません。
VSO/VCSSO オプション
VSO/VCSSO のオプションを指定できます。
GEMINISO/VITALSO/VOYSO サインオフシステムの場合
GEMINISO/VITALSO/VOYSO の場合、PWR を実行するには、UNIX のシェル
プロンプトで以下のコマンドを入力します。
pwr オプション ...
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *PWR 部分にも記述できます。これらのオプションについては「付
録 A コンフィグレーションファイル」を参照してください。
240 サインオフシステム R1.9.1 コマンドリファレンス
PWR の実行方法
simulator =
[VERILOG|VCS|GEMINI|MODELSIM|LEAPFROG|VSS|VOYAGER]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
rm-sdfsw = [ON|OFF] (VSO/VCSSO のみ )
{rm-sdf|rm-sdfdir|rm-sdfext} = ファイル名 (VSO/VCSSO のみ )
paragraph = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------testext = テスト識別子
{vcd|vcddir|vcdext} = ファイル名
{wif|wifdir|wifext} = ファイル名 (VSS のみ )
{vcd2|vcd2dir|vcd2ext} = ファイル名 (GEMINISO のみ )
msout = [ON|OFF]
*WCAP に分類されるオプション
以下は配線容量の計算で使用するオプションであり、tsb.config ファイル
の *WCAP 部分に記述できます。
{fbxref|fbxrefdir|fbxrefext} = ファイル名
*PWR に分類されるオプション
以下は PWR で使用するオプションであり、tsb.config ファイルの *PWR
部分に記述できます。
starttime = 時刻
stoptime = 時刻
slicetime = 時間刻み
cellmsw = [ON|OFF]
{cellm|cellmdir|cellmext} = ファイル名
{cell|celldir|cellext} = ファイル名
{middle|middledir|middleext} = ファイル名
{power|powerdir|powerext} = ファイル名
{row|rowdir|rowext} = ファイル名
{rowmid|rowmiddir|rowmidext} = ファイル名
{rowlst|rowlstdir|rowlstext} = ファイル名
{list|listdir|listext} = ファイル名
サインオフシステム R1.9.1 コマンドリファレンス 241
.....
PWR
20
PWR
PWR の実行方法
オプション
以下に *WCAP に分類されるオプション、*PWR に分類されるオプションにつ
いて説明します。
*WCAP に分類されるオプション
{fbxref|fbxrefdir|fbxrefext} = ファイル名
PWR で使用する FBXREF ファイルの名前を指定します。
*PWR に分類されるオプション
starttime = 時刻
消費電流計算を開始する時刻を ns 単位で指定します。デ
フォルト時刻は 0 です。slicetime オプションで指定した
時間刻みの倍数でなければなりません。slicetime オプ
ションで指定した時間刻みの倍数でないと、ワーニング
メッセージが出力され、デフォルト値 0 が使われます。
stoptime = 時刻
消費電流計算を終了する時刻を ns 単位で指定します。デ
フォルトはシミュレーション終了時刻です。slicetime オ
プションで指定した時間刻みの倍数でないと、デフォルト
でシミュレーション終了時刻になります。
slicetime = 時間刻み
消費電流を指定した時間刻みで計算します。デフォルトは
100 ns です。
cellmsw = [ON|OFF]
OFF を指定すると、CELLM ファイルよりも CELL ファイルを
優先して使います。両方のファイルが存在する場合、デ
フォルトでは CELLM ファイルが使われます。
{cellm|cellmdir|cellmext} = ファイル名
PWR で使用する CELLM ファイルの名前を指定します。
CELLM ファイルを使用するためには、cellmsw オプショ
ンを ON にします。
{cell|celldir|cellext} = ファイル名
PWR で使用する CELL ファイルの名前を指定します。
CELL ファイルを使用するためには、cellmsw オプション
を OFF にします。
{middle|middledir|middleext} = ファイル名
PWR により生成される消費電流計算中間出力ファイル ( 回
路名 .middle) の名前を指定します。
{power|powerdir|powerext} = ファイル名
PWR により生成される POWER ファイル ( 回路名 .power)
の名前を指定します。
242 サインオフシステム R1.9.1 コマンドリファレンス
PWR の実行方法
{row|rowdir|rowext} = ファイル名
ROW ファイルの名前を指定します。
{rowmid|rowmiddir|rowmidext} = ファイル名
PWR により生成されるロウごとの消費電流計算中間出力
ファイル ( 回路名 .rowmid) の名前を指定します。
{rowlst|rowlstdir|rowlstext} = ファイル名
PWR により生成される ROWLST ファイル ( 回路名
.rowlst) の名前を指定します。
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。
実行例
VSO/VCSSO の場合
以下に VSO/VCSSO の場合の PWR の実行例を示します。
♦ vepwr タスク間接コマンドを使う場合
以下に vepwr タスク間接コマンドの実行例を示します。
•
次のコマンドはネットリストファイルと WAV ファイルを指定してい
ます。UNIX のシェルプロンプトにおいてタスク間接コマンドにより
PWR を実行するときは、ネットリストファイル、WAV ファイル、
DRIVE ファイルなどのシミュレーションを実行するのに必要な
Verilog-HDL ファイルをすべて列挙しなくてはなりません。SDF ファ
イルのデフォルトの名前は「回路名 .esdf」または「回路名 .sdf」
です。
vepwr DEMO.net DEMO.wav.ac testext=ac
•
次のコマンドは Verilog シミュレータのプラスオプション
+licq_vxl を指定しています。また、SDF ファイルをサブディレク
トリ sdf に探しにいきます。消費電流は時刻 0 から 2,000,000 ns に
おいて 100 ns おきに計算されます。
vepwr +licq_vxl DEMO.net DEMO.wav.ac
testext=ac rm-sdfdir=./sdf stoptime=2000000
♦ $vepwr タスク実行文を使う場合
PWR は Verilog シミュレータのシミュレーションとデータをやり取りし
ながら実行します。PWR は前処理と後処理の 2 段階で構成されており、
前処理は $vepwr タスク実行文により起動します。前処理では回路中の
すべての信号およびピンの値の変化をモニタするために、Verilog シミュ
レータをセットアップします。この段階では Verilog シミュレータのシ
ミュレーション環境と相互にデータをやり取りするために Cadence 社、
Chronologic Simulation 社の PLI と VCL を利用しています。PWR の後処
サインオフシステム R1.9.1 コマンドリファレンス 243
.....
PWR
20
PWR
消費電流ヒストグラム
理はシミュレーション終了後、自動的に起動し、出力ファイルを作成し
ます。
以下に $vepwr タスク実行文の例を示します。シミュレーション時刻 0
から 2,000,000 ns における回路の消費電流を 100 ns おきに計算します。
$vepwr ("testext=ac","stoptime=2000000") ;
図 20–3 に $vepwr タスク実行文を記述した Verilog-HDL ファイルの例を
示します。
図 20–3 $vepwr タスク実行文を記述した Verilog-HDL ファイルの例
‘timescale 1 ns / 10 ps
module task_ct1;
initial begin
$sdf_annotate("DEMO1.esdf", wave.DEMO1_wave);
$vepwr ("testext=ac","stoptime=2000000");
end
endmodule
シミュレーション開始まえに $sdf_annotate を実
行してください。$sdf_annotate は、Verilog シ
ミュレータを起動するたびに実行しなくてはなり
ません。
GEMINISO/VITALSO/VOYSO の場合
以下に GEMINISO/VITALSO/VOYSO の場合の PWR の実行例を示します。シ
ミュレーション時刻 0 から 2,000,000 ns における回路の消費電流を
200 ns おきに計算します。
pwr slicetime=200 stoptime=2000000
消費電流ヒストグラム
..................................................
以下に POWER ファイル ( 回路名 .power) の消費電流ヒストグラムの例を示
します。アスタリスク (*)、等号 (=)、プラス記号 (+)、マイナス記号 ( - ) によ
り、消費電流の要因が区別されます。時間は ns 単位で示されます。
図 20–4 消費電流ヒストグラムの例
************************************************************************
*
Toshiba New Delay Model System
*
*
Toshiba S/O System for Solaris Release 1.9.1
*
*
Toshiba MODELSIM S/O System for Solaris Release 1.9.1
*
*
(c) Copyright Toshiba Corporation, since 1994.
*
*
All rights reserved.
*
************************************************************************
244 サインオフシステム R1.9.1 コマンドリファレンス
消費電流ヒストグラム
***
POWER CALCULATION
0
TIME
2
4
6
***
8
10
12
14
16
18
20
[mA]
+----+----+----+----+----+----+----+----+----+----+----
0.0 |*+++++++---------- 2)
1)
7.41849
100.0 |*====++++-----------
8.22720
200.0 |**===+++++++------
7.57103
300.0 |***=====+++++++-------------
11.22000
400.0 |*++++++++----------
7.94656
500.0 |****======-------
6.82000
600.0 |**+++++++++++--------------
10.75796
700.0 |***===++-------
6.21360
800.0 |*=+++++----------
6.96799
900.0 |***====++++++++-------------
11.27500
1000.0 |*=========++++++++--------------
13.39039
1100.0 |***=====++++++++-------------------
14.17500
1200.0 |****=====++++------------
10.15424
1300.0 |***==========++++++++-------------------
16.25900
1400.0 |*=====++++++++++++---------------------------
18.32179
1500.0 |*=======++++++++++++++----------------
15.22179
1600.0 |****=========+++++++----------------------------
19.16352
1700.0 |**=========+++++++++++++-------------------
17.27500
1800.0 |**===========+++++++++++----------------
16.47600
1900.0 |****=======+++++------------------
13.86352
2000.0 |**========+++++++++++++----------------------
18.27013
2100.0 |**========+++++++++++++++------------------
17.03409
2200.0 |**======+++++++++++++++----------------
15.75900
2300.0 |*=====+++++++++++++------------
12.22179
2400.0 |***========++++++++++-----------------
15.26520
2500.0 |****=====++++++---------------
11.36352
2600.0 |**========+++++++++++++++------------------
17.18150
2700.0 |**========+++++++++++++++---------------
16.37500
2800.0 |****====+++++++---------------
11.36352
2900.0 |**=====+++++++++++++++-----------------------
18.39701
3000.0 |**========++++++++++++++++++---------------
17.19500
3100.0 |***====+++++++++--------------
11.38200
3200.0 |*========++++++++++-------------
12.39039
3300.0 |***=====++++++++------------------
13.24200
3400.0 |****==++++++++-----------
10.75424
3500.0 |**======+++++++++++++++----------------
16.15900
3600.0 |*=====+++++++++++++------------
11.72179
3700.0 |***========++++++++++-----------------
15.87303
3800.0 |****=====++++++---------------
11.28014
サインオフシステム R1.9.1 コマンドリファレンス 245
.....
PWR
20
PWR
消費電流ヒストグラム
3900.0 |*====+++++++-----------
9.88071
4000.0 |**====++++++++-----------
10.89089
4100.0 |*====+++++++-----------
9.58014
4200.0 |**====++++++++-----------
10.79089
3)
POWER AVERAGE
=
TOTAL
STATIC
OVERLAP
INTERNAL
12.89351
0.80584
2.41753
4.02922
TOTAL
STATIC
OVERLAP
INTERNAL
15.34615
0.78698
3.93491
4.32840
I/O
5.64092 mA
4)
POWER AVERAGE (1000,2000) =
>> Configuration file <<
File name : ./tsb.config
*******************
Error message count table
COMMON
*******************
PWR
: Message level
= (
1 )
(
0 )
: Warning level
= (
0 )
(
0 )
: Error
level
= (
0 )
(
0 )
: Fatal
level
= (
0 )
(
0 )
: System
level
= (
0 )
(
0 )
: Design Warning = (
0 )
(
0 )
: Design Error
0 )
(
0 )
***************************
= (
END OF LOG
**************************
図 20–4 中の番号と対応しています。
1. 現在の時刻における、回路全体の消費電流です。
2. それぞれの文字は以下の要因を表します。
*
=
+
-
静的消費電流
貫通電流
内部セルにおける充放電による消費電流
I/O セルにおける充放電による消費電流
3. 全範囲における平均消費電流です。
TOTAL
STATIC
OVERLAP
INTERNAL
I/O
総消費電流
静的消費電流
貫通電流
内部セルにおける充放電による消費電流
I/O セルにおける充放電による消費電流
246 サインオフシステム R1.9.1 コマンドリファレンス
I/O
6.29586 mA
ROWLST ファイル
4. starttime オプション、stoptime オプションで指定した範囲における平
均消費電流です。
ROWLST ファイル
..................................................
以下に ROW ファイル ( 回路名 .row) を使って、ロウごとに消費電流を計算
したときに出力される ROWLST ファイル ( 回路名 .rowlst) の例を示します。
図 20–5 ROWLST ファイルの例
************************************************************************
*
Toshiba New Delay Model System
*
*
Toshiba S/O System for Solaris Release 1.9.1
*
*
Toshiba MODELSIM S/O System for Solaris Release 1.9.1
*
*
(c) Copyright Toshiba Corporation, since 1994.
*
*
All rights reserved.
*
************************************************************************
***
ROW POWER CALCULATION
ROW_NUMBER
10
POWER AVERAGE
ROW_NUMBER
TOTAL
STATIC
OVERLAP
INTERNAL
I/O
1.24221
0.31217
0.17525
0.24258
0.51221
TOTAL
STATIC
OVERLAP
INTERNAL
I/O
1.89712
0.42512
0.31727
0.95598
2.19875
TOTAL
STATIC
OVERLAP
INTERNAL
I/O
5.00071
0.28701
0.12731
0.84353
1.45973
=
11
POWER AVERAGE
ROW_NUMBER
=
12
POWER AVERAGE
=
*******************
***
Error message count table
COMMON
= (
0 )
(
2 )
: Warning level
= (
0 )
(
0 )
: Error
level
= (
0 )
(
0 )
: Fatal
level
= (
0 )
(
0 )
: System
level
= (
0 )
(
0 )
: Design Warning = (
0 )
(
0 )
: Design Error
0 )
(
0 )
***************************
END OF LOG
mA
mA
*******************
PWR
: Message level
= (
mA
**************************
サインオフシステム R1.9.1 コマンドリファレンス 247
.....
PWR
20
PWR
ROWLST ファイル
248 サインオフシステム R1.9.1 コマンドリファレンス
SIM (VSO/VCSSO/VITALSO のみ )
.....
....................................
21
この章では SIM について以下のことを説明します。
♦ SIM の機能
♦ SIM の実行方法
SIM の機能
..................................................
SIM は、1 回の実行で、シミュレーション、SRA (TOG、PWR) を実行しま
す。
♦ 実行ディレクトリに DVER と DCAL の実行リスティングファイル ( 回路名
.dverlst と回路名 .dcallst) がない場合、SIM は中止され、エラー
メッセージが出力されます。
♦ DVER と DCAL の実行リスティングファイル ( 回路名 .dverlst と回路名
.dcallst) があり、そのファイル中にエラーがある場合、SIM は中止さ
れ、エラーメッセージが出力されます。
♦ -nosra オプションを指定していないときに実行ディレクトリに
SRACOM ファイル ( 回路名 .sracom) がない場合、SIM は中止され、エ
ラーメッセージが出力されます。
♦ TNC の実行リスティングファイル ( 回路名 .tnclst) があり、そのファイ
ル中にエラーがある場合、SIM は中止され、エラーメッセージが出力さ
れます。
SIM の実行方法
..................................................
SIM を実行するには、UNIX のシェルプロンプトで以下のコマンドを入力し
ます。
sim ネットリストファイル ... オプション ...
サインオフシステム R1.9.1 コマンドリファレンス 249
21
S I M ( V S O / V C S S O / V I TA L S O の み )
SIM の実行方法
ネットリストファイルはコマンドラインで複数指定できますが、最上位モ
ジュールのネットリストファイルの名前だけを指定します。これは、SIM が
ネットリストファイルよりも TDGS データベースファイルを入力ファイルとし
て使うためです。
SIM を実行するまえに、各シミュレータの実行環
境の設定、イニシャライズファイルの作成などを
行ってください。
以下にオプションを示します。
-help
ヘルプメッセージを出力します。
-viewlog
SIM によって実行されるコマンドとシミュレータの実行内容
を画面に出力します。デフォルトでは表示されません。
-dumplog
SIM によって実行されるコマンドとシミュレータの実行内容
をファイルに出力します。デフォルトでは出力されません。
-view_window
コマンドを別のターミナル (X-Window) で実行します。この
オプションを使うには、コマンドラインで X-Window を起
動できなければなりません。
-tog
VITALSO の場合、シミュレーションを実行し、TOG で必要
な信号を保存します。それから TOG を実行します。
VSO/VCSSO の場合、TOG を実行します。
-pwr
VITALSO の場合、シミュレーションを実行し、PWR で必要
な信号を保存します。それから PWR を実行します。
VSO/VCSSO の場合、PWR を実行します。
-tst
シミュレーションを実行し、TST で必要な信号を保存しま
す。TST は SIM が完了してから別途、実行しなければなり
ません。
-nosra
シミュレーション後に SRA を実行しません ( シミュレーショ
ンは SRA を考慮しません )。
-drive ファイル名
TSC によって生成される DRIVE ファイルの名前を指定しま
す。指定するファイルは 1 つだけにしてください。複数指
定すると、シミュレータによってはエラーになります。
-wav ファイル名
入力波形モジュールファイルまたは TSC によって生成され
る WAV ファイルの名前を指定します。指定するファイルは
1 つだけにしてください。複数指定すると、シミュレータに
よってはエラーになります。
250 サインオフシステム R1.9.1 コマンドリファレンス
SIM の実行方法
SIM によって実行される各コマンド、シミュレータのオプションもそのまま
指定できます。各コマンドのオプションは、「キーワード = 値」というフォー
マットで指定します。
実行例
以下に SIM の実行例を示します。
% sim DEMO1.v -drive DEMO1.drive.A testext=A
サインオフシステム R1.9.1 コマンドリファレンス 251
.....
S I M ( V S O / V C S S O / V I TA L S O の み )
21
S I M ( V S O / V C S S O / V I TA L S O の み )
SIM の実行方法
252 サインオフシステム R1.9.1 コマンドリファレンス
SRA
.....
....................................
22
この章では SRA について以下のことを説明します。
♦ SRA の機能
♦ 入出力ファイル
♦ 安定領域
♦ コンフリクトとフローティング
♦ 出力ピンの同時スイッチング
♦ セットアップ・ホールド時間のチェック
♦ SRA の実行方法
♦ SRALST ファイル
SRA の機能
..................................................
SRA はシミュレーション結果を自動的に解析します。実行する解析の種類は
SRACOM ファイル(解析コマンドファイル)で指定します。SRACOM ファイ
ルは ASCII 形式のテキストファイルで、任意のテキストエディタで作成できま
す。SRACOM ファイルについては「18章 PRESRA (VSO/VCSSO のみ )」また
は「29章 TRACEGEN (GEMINISO/VITALSO/VOYSO のみ )」を参照してくだ
さい。SRA の主な機能を以下に示します。
♦ シミュレーション出力値と TSTL2 テストデータファイルに記述した期待
値を比較します。
♦ 出力ピンと双方向ピンの安定領域 ( ストローブマージン ) を計算し、マー
ジンダイヤグラムを作成します。指定時間以上のストローブマージンが
あるかどうかをチェックすることもできます。
♦ 双方向ピンおよび内部 3 ステートバスのコンフリクトを検出し、コンフリ
クトダイヤグラムを作成します。
♦ 双方向ピンおよび内部 3 ステートバスのフローティングを検出し、フロー
ティングダイヤグラムを作成します。
♦ セットアップ・ホールド時間が満たされているかどうかをチェックし、
マージンダイヤグラムを作成します。
♦ 外部出力ピンおよび外部双方ピンの最大遅延時間を計算します。
サインオフシステム R1.9.1 コマンドリファレンス 253
SRA
22
入出力ファイル
♦ 同時スイッチングするピン数が指定範囲内であるかどうかをチェックし
ます。
♦ 指定時間より幅の狭いスパイクを検出します。
♦ 初期値以外の「X」を検出します。
Gemini、VSS、ModelSim、Leapfrog、Voyager の
場合、SRA で使用するファイルは、TRACEGEN
を実行するときに sra オプションで ON を指定し
て生成してください。
入出力ファイル
..................................................
図 22–1 に SRA の入出力ファイルを示します。
図 22–1 SRA の入出力ファイル
シミュレーション
結果ファイル
TDGS データ
ベースファイル
sracom
. テスト識別子
sradb
. テスト識別子
*
任意
tdgs
exp
. テスト識別子
tpi
. テスト識別子
vcd. テスト識別子
ow. テスト識別子
vcd2. テスト識別子
任意
任意
SRA
sralst
. テスト識別子
cnferr
. テスト識別子
解析レポート
コンフリクトエラー
* Verilog シミュレータでリアルタイムモード (realtime=ON) の場合のみ
入力ファイル
SRA の入力ファイルは以下のとおりです。
254 サインオフシステム R1.9.1 コマンドリファレンス
入出力ファイル
♦ 回路名 .tdgs
TDGS データベースファイルです。
♦ 回路名 .exp [. テスト識別子 ] ( 任意 )
TSC で生成される EXP ファイル(期待値ファイル)です。期待値比較を
行う場合に必要です。
♦ 回路名 .sracom [. テスト識別子 ]
SRACOM ファイル(解析コマンドファイル)です。
♦ 回路名 .vcd [. テスト識別子 ]
回路名 .ow [. テスト識別子 ]
シミュレーション結果ファイルです。VCD ファイルは Verilog-XL、
NC-Verilog、VCS、Gemini、ModelSim、Leapfrog、Voyager で生成される
Cadence 社 Value Change Dump (VCD) 形式のファイルです。
OW ファイルは VSS で生成される WIF 形式のファイルです。
♦ 回路名 .vcd2 [. テスト識別子 ]
VCD 形式のシミュレーション結果ファイルです。Gemini で生成されま
す。
Gemini を使うと VCD 形式のシミュレーション結
果ファイルが 2 つ生成されます。SRA を実行する
とき、この 2 つのファイルを入力ファイルとして
読み込みます。
♦ 回路名 .tpi [. テスト識別子 ] ( 任意 )
TSC で生成される TPI ファイルです。シミュレーションの途中でサイク
ルが変わるテストパターンを用いる場合に必要です。
♦ 回路名 .sradb [. テスト識別子 ] ( 任意 )
PRESRA でリアルタイムモード (realtime=ON) のときに生成された解
析結果を格納したファイルです。Verilog シミュレータのみ対応します。
出力ファイル
SRA の出力ファイルは以下のとおりです。
♦ 回路名 .sralst [. テスト識別子 ]
解析レポートが記述されるファイルです。
♦ 回路名 .cnferr [. テスト識別子 ]
コンフリクト、フローティング情報が入ったファイルです。TST の入力
ファイルになります。
サインオフシステム R1.9.1 コマンドリファレンス 255
.....
SRA
22
SRA
安定領域
安定領域
..................................................
この項では以下のことを説明します。
♦ 安定領域のチェックの目的
♦ SRA の機能
♦ 安定領域の計算方法
♦ 安定領域の小さい箇所の見付け方
安定領域のチェックの目的
LSI テスタでは主にテスタのプローブヘッドのスキューにより、ストローブ
が指定した位置からずれてしまうことがあります。
図 22–2 ではストローブが信号変化点に対して十分にマージンをもっていて、
信号が安定しているときに値を読み取っています。
図 22–2 安定領域
出力
安定
ストローブ
ところが、LSI テスタのヘッドスキューにより、ストローブが指定した位置
より前後にずれて、信号が変化している最中あるいは信号が出力期待値と逆の
レベルにあるときにストローブがたてられたとします。そうなると、正確な測
定ができず、良品が不良品とみなされたり、不良品が良品とみなされかねませ
ん。これを防止するために、ストローブは最も近い信号変化点に対して十分な
安定領域をもっていなければなりません。
安定領域の目安については当社発行の『CMOS
ASIC デザインマニュアル』を参照してください。
SRA の機能
シミュレーション結果と期待値を比較した後、SRA は各出力ピンについてス
トローブの前後の最小安定領域 ( 最小ストローブマージン ) を計算します。
diagram オプションで OFF を指定しない限り、安定領域は視覚的に比較できる
ように、以下のようにダイヤグラムとしてプロットされます。
256 サインオフシステム R1.9.1 コマンドリファレンス
安定領域
図 22–3 安定領域ダイヤグラムの例
*** SAFETY AREA CHECK LIST ***
NO.
NAME
TYP STABLE REGION : "*" = (
7.600
NS)
STABLE START TIME
( FRONT )
1 /D4
B
***************II**
2 /D0
B
***************II**
(
(
111.690
B
***************II**
3 /D1
B
***************II**
4 /D2
B
B
B
B
B
O
O
12.580
) (
12.580
) (
12.580
) (
12.580
) (
12.580
) (
50.490
138.780
) (
2550.000 ) (
2560.000 )
2550.000 ) (
2560.000 )
2550.000 ) (
2560.000 )
2550.000 ) (
2560.000 )
3750.000 ) (
3760.000 )
1950.000 ) (
3160.000 )
)
(
190.000
3760.000 )
)
(
137.720
3750.000 ) (
)
(
111.690
3760.000 )
)
(
111.690
3750.000 ) (
)
(
111.690
2560.000 )
)
(
111.690
***************II*********************
(
NO.
) (
2550.000 ) (
)
(
111.690
***************II**
(
10 /EQ
12.580
***************II**
(
9 /C0
) (
2560.000 )
)
(
111.690
( REAR )
2550.000 ) (
)
(
***************II**
(
8 /D6
12.580
***************II**
(
7 /D5
) (
***************II**
(
6 /D7
12.580
***************II**
(
5 /D3
) (
(
111.690
3 /D1
(
(
150.000
) (
160.000
)
)
NAME
1 /D4
MINIMUM STABLE REGION ( FRONT )
1 /D4
MINIMUM STABLE REGION ( REAR )
安定領域の計算方法
前側マージンは、ストローブのリーディングエッジ ( 前側のエッジ ) の前に
おいて、信号値が安定している時間です。後側マージンは、ストローブのト
レーリングエッジ ( 後側のエッジ ) において安定している時間です。テストサ
イクルは考慮されません。
図 22–4 前側マージンと後側マージン
ストローブ
マージン
マージン
出力ピンの安定領域はその信号のすべてのストローブの中での最小前側マー
ジンと最小後側マージンを表します。安定領域の計算例を以下に示します。
図 22–5 と図 22–6 で、a1、a2、a3 などは前側のマージンを示します。b1、b2、
b3 などは後側のマージンを示します。
サインオフシステム R1.9.1 コマンドリファレンス 257
.....
SRA
22
SRA
安定領域
図 22–5 安定領域の例 (a)
ストローブ
a1
b1
a2
b2
a3
b3
最小前側マージン = MIN (a1, a2, a3)
最小後側マージン = MIN (b1, b2, b3)
図 22–6 安定領域の例 (b)
ストローブ
b1
a1
a2
a3
b2
b3
a4
a5
b5
b4
最小前側マージン = MIN (a1, a2, a3, a4, a5)
最小後側マージン = MIN (b1, b2, b3, b4, b5)
あるストローブの前側のエッジと同時刻に信号値が変化した場合は、そのス
トローブの前側マージンはないものとみなされます。同様にストローブの後側
のエッジで信号値が変化した場合は、そのストローブの後側マージンはないも
のとみなされます。
また、ストローブ中に信号値が変化した場合は次のようになります。
♦ ストローブ前のシミュレーション出力値が期待値と一致する場合、その
ストローブの前側マージンは存在するとみなされます。後側マージンは
ないものとみなされます。
♦ ストローブ後のシミュレーション出力値が期待値と一致する場合、その
ストローブの後側マージンは存在するとみなされます。前側マージンは
ないものとみなされます。
安定領域の小さい箇所の見付け方
テストデータのパターン数が非常に長くなると、安定領域の小さいテストサ
イクルを見付け出す作業は大変な時間がかかります。安定領域の小さい箇所を
見付け出すコツを以下に説明します。
258 サインオフシステム R1.9.1 コマンドリファレンス
コンフリクトとフローティング
まず、通常どおりにシミュレーションを実行し、不一致のないことを確認し
ます。図 22–7 に示す領域で安定していることが必要であると仮定します。
SRA での検証により十分な安定領域がないと判断された場合、ストローブ幅を
マージンを含めた大きさに設定し、シミュレーション、SRA を再度実行しま
す。例えば、必要な安定領域を 5 ns、ストローブ幅を 10 ns とした場合、図
22–7 に示すようにストローブのディレイを 5 ns 前方にずらし、ストローブ幅を
20 ns にします。新しいストローブ幅に対して期待値比較 (COMPARE コマンド )
を実行すると、安定領域の小さい箇所は不一致として列挙されます。
図 22–7 安定領域の不足箇所を確認するためのストローブの設定
出力
5 ns 10 ns 5 ns
元のストローブウィンドウ
ストローブ
必要なマージン
20 ns
安定領域をチェックするための
新しいストローブウィンドウ
コンフリクトとフローティング
..................................................
この項では以下のことを説明します。
♦ コンフリクトとは
♦ フローティングとは
♦ コンフリクトとフローティングの発生タイミング
♦ SRA によるコンフリクトとフローティングの検出方法
コンフリクトとは
コンフリクトには内部と外部の 2 種類があります。コンフリクトのことを衝
突、コンテンションともいいます。
内部のコンフリクトは複数の 3 ステートバッファが同時にイネーブルされた
ときにバス上で発生します。コンフリクトは、一度に 1 つだけの 3 ステート
バッファがバスを駆動するようにすることで回避できます。ただし、イネーブ
ル信号が切り換わるあいだ、バスは短い間コンフリクト状態になります。
サインオフシステム R1.9.1 コマンドリファレンス 259
.....
SRA
22
SRA
コンフリクトとフローティング
図 22–8 内部バス信号のコンフリクト
X
0
1
1
0
0
0
外部のコンフリクトは双方向バッファに外部から信号が入力されているとき
に出力部分がイネーブルされると発生します。図 22–9 の表は双方向ピンでコ
ンフリクトが発生する入力信号 IN と出力信号 OUT の組み合わせを示します。
図 22–9 外部双方向信号のコンフリクト
OUT
IN
IN
0
1
0
1
0
1
OUT
1
0
X
X
0
1
* TSTL2 テストデータでは外部入力ピンに不定値 (X) を与えられません。
コンフリクトが発生すると、駆動されているゲー
トが過熱し、ごく短い時間で損傷してしまう可能
性があるので注意してください。詳細については
当社発行の『CMOS ASIC デザインマニュアル』
を参照してください。
フローティングとは
フローティングには内部と外部の 2 種類があります。
内部のフローティングは、すべての 3 ステートバッファが同時にディセーブ
ルされたときにバス上で発生します。フローティングは、常に 3 ステートバス
を駆動するようにすることで回避できます。ただし、コンフリクトが発生しな
いように、バスを駆動する 3 ステートドライバは 1 つに限ります。
260 サインオフシステム R1.9.1 コマンドリファレンス
コンフリクトとフローティング
外部のフローティングは、双方向バッファの入力信号と出力信号の両方が同
時にハイインピーダンス状態になったときに発生します。
フローティングはエラーではありませんが、駆動
されているゲートに過剰な静的電流が流れる恐れ
があるので、静的消費電流 (IDD(S)) の測定の妨げ
になります。詳細については当社発行の『CMOS
ASIC デザインマニュアル』を参照してください。
コンフリクトとフローティングの発生タイミング
図 22–10 に内部 3 ステートバスにおいてコンフリクト、フローティングが発
生する状態を示します。
図 22–10 内部バスのコンフリクトとフローティング
EN_
EN
EN
A
EN_
B
A
B
フローティング
コンフリクト
図 22–11 は外部双方向信号のコンフリクトが発生する状態を示し、図 22–12
は発生しない状態を示します。
図 22–11 外部双方向信号のコンフリクトが発生する状況
モード
出力
入力
入力
入力
出力
イネーブル
IN
OUT
コンフリクト
コンフリクト
サインオフシステム R1.9.1 コマンドリファレンス 261
.....
SRA
22
SRA
コンフリクトとフローティング
図 22–12 外部双方向信号のコンフリクトが発生しない状況
モード
出力
入力
X
X
出力
イネーブル
IN
OUT
SRA によるコンフリクトとフローティングの検出方法
双方向ピンのコンフリクトとフローティングはそれぞれ不定状態 (X) とハイ
インピーダンス状態 (Z) として現れます。SRA は図 22–13 に示す双方向ピンの
a と b の入力と出力の値を調べることにより、コンフリクトとフローティング
を検出します。
VITALSO の場合、入力波形記述の制約により、双
方向バッファの構成が決められています。そのた
め、入力と出力の値を調べるとき、
VSO/VCSSO/GEMINISO とは調べる信号が異なり
ます。詳細については当社発行の『VITALSO R1.9.1 ユー
ザーガイド』を参照してください。
図 22–13 双方向バッファのコンフリクトとフローティングの検出
a
b
BID
c
a:
b:
c:
入力.....................BID(#IN)
出力.....................BID(#OUT)
ワイヤード OR....BID
双方向ピンのコンフリクトは以下の場合に発生します。
♦ OUT が不定状態 (X) のとき
♦ IN と OUT が異なる値のとき
♦ 入力と出力が同時に同じ値のとき
双方向ピンのフローティングは、IN と OUT の両方が同時にハイインピーダ
ンス状態 (Z) になったときに発生します。
OUT が定常状態 (0 または 1) のときに、IN がハイインピーダンス状態 (Z) で
ある場合、またはその逆の場合、コンフリクトもフローティングも起きませ
ん。
262 サインオフシステム R1.9.1 コマンドリファレンス
出力ピンの同時スイッチング
出力ピンの同時スイッチング
..................................................
多数の出力バッファが同時にスイッチングすると、出力負荷の容量成分によ
る過渡的な充放電電流が、IC 基板およびパッケージのリードフレーム、ボン
ディングワイヤがもつインダクタンスと作用して、ノイズを発生し、誤動作の
原因になります。
発生ノイズの電圧 (Vn) は次の計算式で表されます。
Vn=N × L × (di/dt)
N: 同時スイッチング出力数
L: インダクタンス
di/dt: スイッチスピード
出力ピンの同時スイッチングによるノイズを低減するには、電源ピン対
(VDD・VSS) を追加する必要があります。上記の計算式が示すように追加電源
ピンの数は主に出力バッファの種類と数により決まります。
MAXCHG コマンドは見積もりに必要なデータを提供します。同時スイッチン
グのガイドラインについては当社 ASIC デザインセンターまでお問い合わせく
ださい。
セットアップ・ホールド時間のチェック
..................................................
セットアップ時間とはクロックが変化するまえに指定された入力ピンが安定
していなければならない時間です。ホールド時間とはクロックが変化したあと
に指定された入力ピンが安定していなければならない時間です。セットアッ
プ・ホールド時間をチェックする入力ピンには、F/F、ラッチのデータ、J、K、
クリア、プリセット、テスト入力、テストイネーブルピンなどがあります。
セットアップ時間とホールド時間の制約は、チェックする入力信号とクロッ
ク信号のスルーレートによるテーブルルックアップ・補間をもとに計算しま
す。スルーレートは前段のゲートの遅延時間から計算します。
セットアップ時間とホールド時間のチェックは SETUP コマンド、HOLD コマ
ンドにより実行します。セットアップ違反が検出されると、セットアップ違反
リストが作成されます。ホールド違反が検出されると、ホールド違反リストが
作成されます。また、diagram オプションで OFF を指定しない限り、セット
アップ・ホールドマージンダイヤグラムが作成されます。
サインオフシステム R1.9.1 コマンドリファレンス 263
.....
SRA
22
SRA
セットアップ・ホールド時間のチェック
図 22–14 セットアップ・ホールドマージンダイヤグラム
+++ SETUP & HOLD
NO.
SIGNAL NAME
MAP
LIST +++
SETUP / HOLD REGION CHECK:’+’ SAFETY AREA :’*’=
0.02
NS
LEFT
RIGHT
1350.12
4310.91
I-------------------------II-------------------------I
1
.FUNC.01.D
**********++++++++++UU+++++++*****
(LIB =
(MAR =
2
0.5
)
1.32
(LIB =
)
(MAR =
0.45
)
1.19
)
(cycle =
(
13 ) (CYCLE =
1351.94 ) (
42 )
4309.27 )
.FUNCTION.SUBMODULE.D
*******************+++++++UU+++++++++++***************
(LIB =
(MAR =
0.35
20.12
)
(LIB =
)
(MAR =
0.65
120050.12
)
30.14
)
(cycle =
(
1119 ) (CYCLE =
120070.59 ) (
1010.91
9 )
980.12 )
指定した各 F/F、ラッチについて最小のセットアップ・ホールドマージンが
以下に示すとおりダイヤグラムとしてプロットされます。
図 22–15 セットアップ・ホールドマージン
セットアップ時間
セットアップマージン
ホールド時間
ホールドマージン
*******++++UU+++*********
上記ダイヤグラム中で「UU」はクロックの変化点を示します。1 番目の文字
はクロックのアクティブエッジを表します。「U」はアクティブハイ、「D」はア
クティブロウを表します。2 番目の文字は F/F、ラッチに取り込まれる値を表し
ます。
プラスの記号 (+) はライブラリ中で定義されているセットアップ時間、ホー
ルド時間を表します。アスタリスク (*) はセットアップ・ホールドマージンを
表します。
チェックの対象になる入力ピンの立ち上がり ( 立ち下がり ) 変化とは、論理
値 0 ( 論理値 1)、X、Z から論理値 1 ( 論理値 0) への変化を意味します。
ホールド時間が負の場合もあります。ホールド時間が負の場合、図 22–16 に
示すようにホールド時間の制約範囲はセットアップ時間の検査範囲内に入るの
で、セットアップ時間のみチェックされ、ホールド時間のチェックは実行され
ません。
264 サインオフシステム R1.9.1 コマンドリファレンス
SRA の実行方法
図 22–16 負のホールド時間
クロック
セットアップ時間
セットアップマージン
ホールド時間
ホールドマージン
ホールド違反の範囲
データ
この場合、セットアップ・ホールドマージンダイヤグラムは次のようになり
ます。
図 22–17 ホールド時間が負の場合のマージンダイヤグラム
セットアップ時間
セットアップマージン
ホールドマージン
ホールド時間
*******++++UU***U*********
セットアップ・ホールド時間のチェックはシミュレーション中に実行されま
す。シミュレータはタイミングチェックによってセットアップ・ホールド違反
を検出すると、メッセージを出力します。
SRA の実行方法
..................................................
この項では SRA のシンタックスとオプションについて説明します。
遅延条件の選択方法
SRA を実行するとき、シミュレーションの起動時に指定した遅延条件と SRA
の delaymode オプションで指定する遅延条件を一致させてください。
シンタックス
SRA を実行するには、UNIX のシェルプロンプトで以下のコマンドを入力し
ます。
sra オプション ...
サインオフシステム R1.9.1 コマンドリファレンス 265
.....
SRA
22
SRA
SRA の実行方法
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *SRA 部分にも記述できます。これらのオプションについては「付
録 A コンフィグレーションファイル」を参照してください。
simulator =
[VERILOG|VCS|GEMINI|MODELSIM|LEAPFROG|VSS|VOYAGER]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
delaymode = {MIN|TYP|MAX}
paragraph = [ON|OFF]
realtime = [ON|OFF] (VSO/VCSSO のみ )
help = [ON|OFF]
----------------------------------------------------------testext = テスト識別子
head = 接頭語 (VSO/VCSSO/GEMINISO のみ )
tail = 接尾語 (VSO/VCSSO/GEMINISO のみ )
{exp|expdir|expext} = ファイル名
{vcd|vcddir|vcdext} = ファイル名
{wif|wifdir|wifext} = ファイル名 (VSS のみ )
{vcd2|vcd2dir|vcd2ext} = ファイル名 (GEMINISO のみ )
msout = [ON|OFF]
*SRA に分類されるオプション
以下は SRA で使用するオプションであり、tsb.config ファイルの *SRA
部分に記述できます。
cycle = テストサイクル
diagram = [ON|OFF]
listsort = [ON|OFF]
shsort = [SETUP|HOLD]
initconf = [ON|OFF]
comparebreak = [ON|OFF]
testbreak = [ エラー数の上限 |OFF]
errlistlimit = [ エラー数の上限 |OFF]
{tpi|tpidir|tpiext} = ファイル名
{sracom|sracomdir|sracomext} = ファイル名
{list|listdir|listext} = ファイル名
table = [ON|OFF]
tablesort = [COMPARE|SPIKE|CONFLICT|FLOAT|NAME]
266 サインオフシステム R1.9.1 コマンドリファレンス
SRA の実行方法
{sradb|sradbdir|sradbext} = ファイル名 (VSO/VCSSO のみ )
{cnferr|cnferrdir|cnferrext} = ファイル名
オプション
以下に *SRA に分類されるオプションについて説明します。
cycle = テストサイクル
テストサイクルを ns 単位で指定します。
diagram = [ON|OFF]
安定領域、コンフリクト、フローティング、セットアップ・
ホールドマージンダイヤグラムを出力するかどうか指定し
ます。
listsort = [ON|OFF]
セットアップ・ホールド以外のリストのソートを指定しま
す。ON を指定すると名前でソートします。デフォルトは
OFF で、時刻順でソートします。
shsort = [SETUP|HOLD]
セットアップ・ホールドマージンダイヤグラムのソート方
法を指定します。
• SETUP セットアップ時間
• HOLD ホールド時間
initconf = [ON|OFF]
初期値の X をコンフリクトの検査対象とするかどうか指定
します。
comparebreak = [ON|OFF]
期待値の最終イベントの前にシミュレーション結果の最終
イベントがある場合に、SRA での解析をどこまで行うか指
定します。ON を指定した場合は、シミュレーションの最終
イベント時点で解析を終了します。OFF を指定した場合は
期待値の最終イベントまで解析を行います。
サインオフシミュレーションの実行時には、OFF
を指定してください。
testbreak = [ エラー数の上限 |OFF]
検査違反の上限を設定します。設定した違反数を超えた時
点で検査を終了します。デフォルトは OFF で、すべての違
反を検出します。
サインオフシミュレーションの実行時には、OFF
を指定してください。
サインオフシステム R1.9.1 コマンドリファレンス 267
.....
SRA
22
SRA
SRA の実行方法
errlistlimit = [ エラー数の上限 |OFF]
リストに出力するエラーメッセージ数の上限を指定します。
OFFを指定した場合はすべてのエラーメッセージを出力しま
す。
サインオフシミュレーションの実行時には、OFF
を指定してください。
{tpi|tpidir|tpiext} = ファイル名
SRA で使用する TPI ファイルの名前を指定します。
{sracom|sracomdir|sracomext} = ファイル名
SRA で使用する SRACOM ファイルの名前を指定します。
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。
table = [ON|OFF]
ピンごとにエラーの検査内容と数を記述したエラー数リス
トを出力します。
tablesort = [COMPARE|SPIKE|CONFLICT|FLOAT|NAME]
ピンごとのエラー数リストを出力するときに、ピンのソー
ト条件を指定します。指定した項目で違反数の多い順番で
出力されます。
{sradb|sradbdir|sradbext} = ファイル名 (VSO/VCSSO のみ )
SRA で使用する、PRESRA によってリアルタイムモードで
生成されたシミュレーション結果ファイルの名前を指定し
ます。
{cnferr|cnferrdir|cnferrext} = ファイル名
コンフリクト、フローティング違反情報を格納した
CNFERR ファイルの名前を指定します。
実行例
以下に SRA の実行例を示します。
♦ 次のコマンドはテスト識別子として fn1 を指定します。
sra testext=fn1
♦ 次のコマンドは入力ファイルとなる SRACOM ファイルの拡張子を指定し
ます。
sra testext=fn1 sracomext=com
♦ 次のコマンドは +mindelays を指定して生成したシミュレーション実行
結果を用いて解析を行うときの指定方法です。
sra testext=fn1 delaymode=MIN
268 サインオフシステム R1.9.1 コマンドリファレンス
SRALST ファイル
SRALST ファイル
..................................................
SRALST ファイルの構成を以下に示します。以下の各リストは対応する解析
コマンドを指定し、なおかつエラーもしくは違反が検出されたときだけ作成さ
れます。また、diagram オプションで OFF を指定するとすべてのダイヤグラ
ムの作成を抑止できます。
図 22–18 SRALST ファイルの構成
・解析に用いた SRACOM ファイルの内容
・実行中に出力されたメッセージの一覧
・検出されたエラーの数の総括
・ピンごとのエラー数リスト
・不一致リスト
・スパイクリスト
・コンフリクトリスト
・フローティングリスト
・最大遅延時間リスト
・同時スイッチングリスト
・セットアップ時間違反リスト
・ホールド時間違反リスト
・初期値以外の「X」
検出リスト
・安定領域ダイヤグラム
・コンフリクト領域ダイヤグラム
・フローティング領域ダイヤグラム
・セットアップ・ホールドマージンダイヤグラム
・実行ログ
以下の項では SRALST ファイル中の各部分の説明をします。
検出されたエラー数の総括
SRALST ファイルの先頭には SRA で検出されたエラー数の総括が出力されま
す。また、シミュレーション結果ファイルと EXP ファイルの最終時刻が示され
ます。
サインオフシステム R1.9.1 コマンドリファレンス 269
.....
SRA
22
SRA
SRALST ファイル
図 22–19 SRA で検出されたエラー数の総括
********** SIMULATION RESULT ANALYSIS CHECK REPORT INFORMATION **********
COMPARE
CHECK REPORT COUNT =
0
SPIKE
CHECK REPORT COUNT =
5
CONFLICT
CHECK REPORT COUNT =
4
FLOAT
CHECK REPORT COUNT =
11
SETUP
CHECK REPORT COUNT =
0
HOLD
CHECK REPORT COUNT =
0
LAST TIME OF ALL STATE SAVE FILE
= 6400000.000
LAST TIME OF EXPECT FILE
= 6337000.000
ピンごとのエラー数リスト
table オプションで ON を指定すると、外部ピンごとにエラーの検査内容と数
を記述したエラー数リストが出力されます。検査対象とならない箇所には「-」
が出力されます。
図 22–20 ピンごとのエラー数リスト
NO.
1.
2.
3.
4.
5.
NAME
.OUT1
.OUT2
.BID1
.BID2
.BID3
COMPARE
123
0
0
0
0
SPIKE
23
0
0
0
0
CONFLICT
87
0
0
不一致リスト
関連コマンド : COMPARE
不一致リストは以下の項目を示します。
♦ 不一致の起きた出力ピン、双方向ピン名
♦ 不一致の開始、終了時刻
♦ シミュレーション出力値 (S/V) と期待値 (E/V)
270 サインオフシステム R1.9.1 コマンドリファレンス
FLOAT
30
0
0
SRALST ファイル
図 22–21 不一致リスト
+++ COMPARE CHECK LIST +++
NO.
NAME
1
2
3
4
5
.D7
.D6
.D5
.D4
.D3
470
471
473
.D3
.D2
.D1
START
STOP
S/V
E/V
780.00
790.00
1
780.00
790.00
1
780.00
790.00
1
780.00
790.00
1
780.00
790.00
1
...........................................
14380.00
14390.00
X
14380.00
14390.00
Z
14380.00
14390.00
X
0
0
0
0
0
1
0
1
図 22–22 に SLIST オプションを指定したときに作成される不一致リストを示
します。同一ストローブ時刻における不一致が、1 行につき最大 5 個まで出力
されます。ストローブ時刻は開始時刻で示されます。
図 22–22 SLIST オプションを指定したとき作成される不一致リスト
+++ COMPARE CHECK LIST +++
STB-TIME
PIN-NAME
------------ ---------150.0 .D7
14380.0 .D7
S / E
----1 / 0
0 / Z
PIN-NAME
---------.D6
.D6
PIN-NAME
---------.D4
.D4
S / E
----1 / 0
0 / Z
S / E
----1 / 0
0 / Z
PIN-NAME
---------.D5
.D5
PIN-NAME
---------.D3
.D3
S / E
----1 / 0
0 / Z
S / E
----1 / 0
X / 1
スパイクリスト
関連コマンド : SPIKE・SWINDOW
スパイクリストはスパイクの発生した信号の名前、スパイクの開始、終了時
刻、およびスパイクの幅、値を示します。
図 22–23 スパイクリスト
+++ SPIKE CHECK LIST +++
NO. NAME
1
.NNE1
2
.MKCODE1
3
.MKCODE2.SUBCLOCK.NEW
4
.MKCODE1
START
STOP
WIDTH
VALUE
143.32
149.43
6.11
0
1154.23
1155.27
1.04
Z
14360.74
14361.04
0.30
1
21000.11
21002.39
2.28
X
サインオフシステム R1.9.1 コマンドリファレンス 271
.....
SRA
22
SRA
SRALST ファイル
コンフリクトリスト
関連コマンド : CONFLICT
コンフリクトリストはコンフリクトの発生した信号の名前、スパイクの開始、
終了時刻、コンフリクトの幅、および衝突結果の信号値を示します。
図 22–24 コンフリクトリスト
+++ CONFLICT
NO.
1
2
3
4
5
6
7
8
CHECK
NAME
.D0
.D4
.D5
.D7
.D3
.D2
.D1
.D6
LIST +++
START
STOP
WIDTH
718.30
1118.30
400.00
718.30
918.30
200.00
718.30
917.80
199.50
718.30
831.60
113.30
831.65
1918.32
1086.73
917.84
1031.03
113.22
1030.59
1117.89
83.70
1031.00
1518.34
487.26
.................................................
* VALUE の値の意味
VALUE
X
0
1
X
0
X
1
X
0 - 0 と 0 の衝突
1 - 1 と 1 の衝突
X - 0 と 1、0 と X、1 と X の衝突
フローティングリスト
関連コマンド : FLOAT
フローティングリストはフローティングが発生した信号の名前、フローティ
ングの開始、終了時刻、およびフローティングの幅を示します。
図 22–25 フローティングリスト
+++ FLOAT CHECK LIST +++
NO. NAME
START
STOP
WIDTH
1 .D0
2400.00
2438.31
38.31
2 .D4
2400.00
2438.31
38.31
3 .D5
2400.00
2438.31
38.31
4 .D7
2400.00
2438.31
38.31
5 .D3
2400.00
2438.31
38.31
6 .D2
2400.00
2438.31
38.31
7 .D1
2400.00
2437.61
37.61
8 .D6
2400.00
2437.61
37.61
9 .D4
2572.58
2600.00
27.42
10 .D7
2572.58
2600.00
27.42
.................................................
28 .D2
3773.19
3800.00
26.81
29 .D4
3773.19
3800.00
26.81
30 .D3
3773.19
3800.00
26.81
31 .D0
3773.19
3800.00
26.81
32 .D7
3773.19
3800.00
26.81
272 サインオフシステム R1.9.1 コマンドリファレンス
SRALST ファイル
最大遅延時間リスト
関連コマンド : MAXDLY
最大遅延時間リストは指定した各外部出力ピンと外部双方向ピンの最大遅延
時間を示します。カッコの中の値はハイインピーダンス (Z) への変化を除外し
た場合です。最後に回路全体を通しての最大遅延時間が入ります。
図 22–26 最大遅延時間リスト
+++ MAX DELAY CHECK LIST +++
NO. SIGNAL NAME
MAX DELAY
(EXCEPT-->Z)
PATTERN NO. (EXCEPT-->Z)
1 .CO
12.28 (
12.28
)
10 (
10 )
2 .D0
173.19 (
38.31
)
19 (
13 )
3 .D1
173.19 (
38.31
)
13 (
19 )
4 .D2
173.19 (
38.31
)
19 (
13 )
5 .D3
173.19 (
38.31
)
19 (
13 )
6 .D4
173.19 (
38.31
)
19 (
13 )
7 .D5
172.58 (
38.31
)
13 (
13 )
8 .D6
173.19 (
38.31
)
13 (
19 )
9 .D7
173.19 (
38.31
)
19 (
13 )
10 .EQ
11.22 (
11.22
)
1 (
1 )
** LONGEST CHIP DELAY **
CYCLE : 200
THE LAST SIGNAL CHANGE FROM THE BEGINNING OF CYCLE IS :
173.19 (
38.31
)
MAX DELAY
SIGNAL NAME
: /D0
AT SIMULATION TIME :
3773.19
: /D0
AT SIMULATION TIME :
2438.31
(EXCEPT-->Z)
SIGNAL NAME
同時スイッチングリスト
関連コマンド : MAXCHG
同時スイッチングリストは SRACOM ファイルで指定した基準を超える同時
スイッチングの種類と数を示します。
サインオフシステム R1.9.1 コマンドリファレンス 273
.....
SRA
22
SRA
SRALST ファイル
図 22–27 同時スイッチングリスト
+++ MAX
NO.
CHANGE
CHECK
LIST +++
(0-->1)
START
STOP
START
STOP
1
39867.32
39872.31
4
2
39967.36
39972.35
4
3
39965.47
39970.46
4
4
40267.32
40272.31
4
5
40265.41
40270.40
4
6
49767.36
49772.35
4
START
STOP
+++ MAX
NO.
CHANGE
CHECK
COUNT
LIST +++
NO.
COUNT
(1-->0)
START
STOP
1
39365.44
39370.43
5
2
42365.49
42370.48
5
3
50365.42
50370.41
5
4
58365.45
58370.44
5
+++ MAX
NO.
CHANGE
CHECK
COUNT
LIST +++
NO.
COUNT
(0-->1 AND 1-->0)
START
STOP
COUNT
1
20765.44
20770.43
7
3
51765.40
51770.39
7
5
72865.49
72870.48
7
NO.
START
STOP
COUNT
2
34965.45
34970.44
7
4
62965.43
62970.42
7
6
75765.99
75770.98
7
セットアップ時間違反リスト
関連コマンド : SETUP
セットアップ時間違反リストは以下の項目を示します。
♦ 基準クロックピンの名前 (CLK/NAME)
♦ チェックの対象となったピンの名前 (DAT/NAME)
♦ 基準クロックの値がアクティブな方向に変化した時刻 (C/TIME)
♦ 基準クロックの直前にチェックの対象となった信号が変化した時刻
(D/TIME)
図 22–28 セットアップ時間違反リスト
+++ SETUP CHECK LIST +++
NO. CLK/NAME
DAT/NAME
1 .OC001.CP
.OC001.D
2 .OC002.CP
.OC002.CD
3 .OC142.CP
.OC142.D
4 .MKCODE1.I0012.CP
.MKCODE1.I0012.PD
C/TIME
480.03
1273.82
2393.41
D/TIME
479.86
1273.51
2393.32
14274.34
14274.24
ホールド時間違反リスト
関連コマンド : HOLD
ホールド時間違反リストは以下の項目を示します。
274 サインオフシステム R1.9.1 コマンドリファレンス
SRALST ファイル
♦ 基準クロックピンの名前 (CLK/NAME)
♦ チェックの対象となったピンの名前 (DAT/NAME)
♦ 基準クロックの値がアクティブな方向に変化した時刻 (C/TIME)
♦ 基準クロックの直前にチェックの対象となった信号が変化した時刻
(D/TIME)
図 22–29 ホールド時間違反リスト
+++ HOLD CHECK LIST +++
NO. CLK/NAME
DAT/NAME
1 .OC001.CP
.OC001.D
2 .OC002.CP
.OC002.CD
3 .OC142.CP
.OC142.D
4 .MKCODE1.I0012.CP
.MKCODE1.I0012.PD
C/TIME
479.73
1273.82
2393.41
14274.34
D/TIME
479.86
1273.96
2393.69
14274.28
初期値以外の「X」検出リスト
関連コマンド : UINITX
UINITX コマンドを使うと、初期値以外の「X」を検出してリストに出力でき
ます。
図 22–30 初期値以外の「X」検出リスト
+++ UN-INITIALIZED X CHECK LIST +++
NO.
NAME
START
STOP
WIDTH
1 .S1N229
2400.440
2600.590
200.150
2 .S1N230
2400.440
2600.590
200.150
3 .S1N233
2400.440
2600.590
200.150
4 .S1N224
2400.450
2600.600
200.150
5 .S1N225
2400.450
2600.600
200.150
6 .S1N226
2400.450
2600.600
200.150
7 .S1N227
2400.450
2600.600
200.150
8 .S1N228
2400.450
2600.600
200.150
安定領域ダイヤグラム
図 22–31 に安定領域ダイヤグラムの例を示します。
この機能は、すべての出力ピン、双方向ピンのうち、最小前側マージンと最
小後側マージンのうち最大のものを 25 個のアスタリスクで表します。次に視
覚的に比較できるように残りのピンの前側および後側マージンが相対的にプ
ロットされます。ダイヤグラムの中央にある「II」はストローブを表します。
サインオフシステム R1.9.1 コマンドリファレンス 275
.....
SRA
22
SRA
SRALST ファイル
ダイヤグラムの最後には、前側、後側のマージンが最小のピンの名前が入り
ます。
図 22–31 安定領域ダイヤグラム
*** SAFETY
NO.
AREA
NAME
CHECK
LIST ***
TYP STABLE REGION : "*" = (
7.600
NS )
STABLE START TIME
( FRONT )
1 .D4
B
***************II**
(
2 .D0
B
111.69 ) (
3 .D1
B
111.69 ) (
4 .D2
B
111.69 ) (
5 .D3
B
111.69 ) (
6 .D7
B
111.69 ) (
7 .D5
B
111.69 ) (
8 .D6
B
111.69 ) (
9 .C0
O
111.69 ) (
10 .EQ
O
137.72 ) (
NO.
138.78 ) (
(
2550.00 ) (
2560.00 )
(
2550.00 ) (
2560.00 )
(
2550.00 ) (
2560.00 )
(
2550.00 ) (
2560.00 )
(
3750.00 ) (
3760.00 )
(
1950.00 ) (
3160.00 )
(
150.00 ) (
160.00 )
50.49 )
***************II*****************
(
3760.00 )
12.58 )
***************II**
(
3750.00 ) (
12.58 )
***************II**
(
(
12.58 )
***************II**
(
2560.00 )
12.58 )
***************II**
(
2550.00 ) (
12.58 )
***************II**
(
(
12.58 )
***************II**
(
2560.00 )
12.58 )
***************II**
(
2550.00 ) (
12.58 )
***************II**
(
( REAR )
(
190.00 )
NAME
1 .D4
MINIMUM STABLE REGION ( FRONT )
1 .D4
MINIMUM STABLE REGION ( REAR )
図 22–32 に安定領域ダイヤグラムの説明をします。
図 22–32 安定領域ダイヤグラムの説明
アスタリスク 1 文字の時間
NO.
NAME
TYP STABLE REGION : "*" = (
7.600
NS )
STABLE START TIME
( FRONT )
( REAR )
ストローブ
1 .EQ
O
ピン名
ピンタイプ
0: 出力
1: 双方向
******************II*************************
(
138.78
) (
190.00
)
前側マージン (ns) 後側マージン (ns)
276 サインオフシステム R1.9.1 コマンドリファレンス
(
150.00
前側マージンを
決めたストローブ
の開始時刻
)
(
1 60.00
後側マージンを
決めたストローブ
の終了時刻
)
SRALST ファイル
以下のようにハイフンのバーが入っている場合、少なくとも 1 つの不一致が
あることを示します。
1 .D4
B
-----------------------II----------------(
) (
)
また、以下のようにマージンバーが入っていない場合は、そのピンの不一致
がなくて、ストローブがないことを示します。
1 .D4
B
(
0
)
II
(
0
)
コンフリクト領域ダイヤグラム
図 22–33 にコンフリクト領域ダイヤグラムを示します。最も長い時間継続し
たコンフリクトが外部双方向ピンと内部 3 ステートバスごとにプロットされま
す。
このダイヤグラムでは、テストサイクルが合計 50 文字の一番上のハイフンの
バーによって表され、コンフリクト領域がテストサイクルに相対的にプロット
されます。コンフリクトを表すバーの左端にアスタリスクがある場合、コンフ
リクトが前のサイクルから継続していることを示します。右端にアスタリスク
がある場合、コンフリクトが次のサイクルに継続していることを表します。
図 22–33 コンフリクト領域ダイヤグラム
+++ CONFLICT
MAP
NO. SIGNAL NAME
RIGHT
LIST +++
CONFLICT REGION : ’x’,’1’,’0’ = 4.00 NS
LEFT
I-----------------------------------------I
1 .D0
*XXXXXXXXX
2 .D4
*XXXXXXXXX
3 .D5
*000000000
4 .D7
*XXXXXXXXX
2400.00
( CYCLE =
2438.31
13 ) ( CYCLE =
2400.00
( CYCLE =
13 ) ( CYCLE =
2400.00
( CYCLE =
( CYCLE =
11111
6 .D2
XXXXX
13 ) ( CYCLE =
13 ) ( CYCLE =
( CYCLE =
00000000*
13 ) ( CYCLE =
8 .D6
XXXXXXXX*
( CYCLE =
( CYCLE =
13 )
3638.31
19 ) ( CYCLE =
3600.00
13 )
2438.31
13 ) ( CYCLE =
3600.00
13 )
2438.31
2400.00
7 .D1
13 )
2438.31
2400.00
( CYCLE =
13 )
2438.31
2400.000
5 .D3
13 )
2438.31
19 )
3638.31
19 ) ( CYCLE =
19 )
サインオフシステム R1.9.1 コマンドリファレンス 277
.....
SRA
SRA
22
SRALST ファイル
フローティング領域ダイヤグラム
図 22–34 にフローティング領域ダイヤグラムを示します。最も長い時間継続
したフローティングが外部双方向ピンと内部 3 ステートバスごとにプロットさ
れます。
このダイヤグラムでは、テストサイクルが合計 50 文字の一番上のハイフンの
バーによって表され、フローティング領域がテストサイクルに相対的にプロッ
トされます。フローティングを表すバーの左端にアスタリスクがある場合、フ
ローティングが前のサイクルから継続していることを示します。右端にアスタ
リスクがある場合、フローティングが次のサイクルに継続していることを表し
ます。
図 22–34 フローティング領域ダイヤグラム
+++ FLOAT
NO.
MAP
LIST +++
SIGNAL NAME
RIGHT
FLOAT REGION : ’Z’ =
4.00
NS
LEFT
I-----------------------------------------I
1 .D0
*ZZZZZZZZZZZZZZZ
2400.00
( CYCLE =
2 .D4
ZZZZZZZZZZ
3 .D5
ZZZZZZZZZZ
4 .D7
ZZZZZZZZZZ
5 .D3
ZZZZZZZZZZ
6 .D2
ZZZZZZZZZZ
7 .D1
ZZZZZZZZZZ
8 .D6
ZZZZZZZZZZ
13 ) ( CYCLE =
2400.00
( CYCLE =
( CYCLE =
( CYCLE =
( CYCLE =
( CYCLE =
( CYCLE =
13 )
3638.31
19 ) ( CYCLE =
3600.00
13 )
2438.31
13 ) ( CYCLE =
3600.00
13 )
2438.31
13 ) ( CYCLE =
2400.00
13 )
2438.31
13 ) ( CYCLE =
2400.00
13 )
2438.31
13 ) ( CYCLE =
2400.00
13 )
2438.31
13 ) ( CYCLE =
2400.00
( CYCLE =
2438.31
19 )
3638.31
19 ) ( CYCLE =
19 )
セットアップ・ホールドマージンダイヤグラム
図 22–35 にセットアップ・ホールドマージンダイヤグラムの例を示します。
最小セットアップ・ホールドマージンが SETUP と HOLD コマンドで指定した
F/F とラッチについて示されます。
この機能はすべての指定された F/F とラッチのセットアップ、ホールドマー
ジンのうち最大のものを 25 個のアスタリスクで表します。次に、視覚的に比
較できるように他のピンのセットアップマージンとホールドマージンが相対的
にプロットされます。
278 サインオフシステム R1.9.1 コマンドリファレンス
SRALST ファイル
図 22–35 セットアップ・ホールドマージンダイヤグラム
+++ SETUP & HOLD
NO.
SIGNAL NAME
MAP
LIST +++
SETUP / HOLD REGION CHECK:’+’ SAFETY AREA :’*’=
0.02
NS
LEFT
RIGHT
1350.12
4310.91
I-------------------------II-------------------------I
1
.FUNC.01.D
**********++++++++++UU+++++++*****
(LIB =
0.5
(MAR =
2
)
1.32
(LIB =
)
0.45
(MAR =
)
1.19
(cycle =
)
(
13 ) (CYCLE =
1351.94 ) (
42 )
4309.27 )
.FUNCTION.SUBMODULE.D
*******************+++++++UU+++++++++++***************
(LIB =
0.35
(MAR =
)
20.12
(LIB =
)
0.65
(MAR =
120050.12
)
30.14
(cycle =
)
(
1010.91
1119 ) (CYCLE =
120070.59 ) (
9 )
980.12 )
図 22–36 にセットアップ・ホールドマージンダイヤグラムの説明をします。
図 22–36 セットアップ・ホールドマージンダイヤグラムの説明
アスタリスク 1 文字の時間
NO.
SIGNAL NAME
SETUP / HOLD REGION CHECK:’+’ SAFETY AREA :’*’ =
0.02
NS
LEFT
RIGHT
最大マージン
I-------------------------II-------------------------I
セットアップ時間
セットアップマージン
1
.FUNC.01.D
ホールド時間
ホールドマージン
**********++++++++++UU+++++++*****
(LIB =
0.5
)
(LIB =
(MAR =
1.32 )
(MAR =
0.45
1.19
)
)
(cycle =
(
1350.12
4310.91
13 ) (CYCLE =
42 )
1351.94 ) (
4309.27 )
ピン名
ライブラリ定義の
セットアップ時間
セットアップマージン
+: ラ イ ブ ラ リ 定 義 の セ ッ ト ア ッ プ・
ホールド時間
X: ユーザー指定のセットアップ・
ホールド時間
*: マージン
ライブラリ時間の
ホールド時間
最小セットアップ
マージンを決めた時刻と
ホールドマージン サイクル ( データ変化時間 )
1 番目の文字 : クロックのアクティブステート
2 番目の文字 : チェックの対象になったピンの値
(U: high、D: low)
最小ホールドマージンを
決めた時刻とサイクル
サインオフシステム R1.9.1 コマンドリファレンス 279
.....
SRA
22
SRA
SRALST ファイル
280 サインオフシステム R1.9.1 コマンドリファレンス
T2IROM (GEMINISO/VOYSO のみ )
.....
....................................
23
この章では T2IROM について以下のことを説明します。
♦ T2IROM の機能
♦ 入出力ファイル
♦ T2IROM の実行方法
♦ 変換例
T2IROM の機能
..................................................
T2IROM は、当社フォーマットの ROM データファイルを IKOS のフォーマッ
トに変換します。IKOS の ROM データファイルのフォーマットについては
IKOS Systems 社発行のマニュアルを参照してください。
1 回の実行で入力できるファイルは 1 つだけです。1 つの入力ファイルに複数
の ROM のデータを記述してもかまいません。ただし、T2IROM は個々の ROM
に対して IKOS フォーマットの ROM データファイルを別々に出力します。こ
のとき出力される ROM データファイルの名前は、それぞれ ROM データファ
イル中の MODULE 文で指定した ROM のインスタンス名になります。
入出力ファイル
..................................................
図 23–1 に T2IROM の入出力ファイルを示します。
サインオフシステム R1.9.1 コマンドリファレンス 281
23
T 2 I R O M ( G E M I N I S O / VOY S O の み )
T2IROM の実行方法
図 23–1 T2IROM の入出力ファイル
当社 ROM データファイル
rom
T2IROM
インスタンス名
インスタンス名
.xrm
IKOS
ROM データファイル
入力ファイル
T2IROM は 1 回の実行につき 1 つの当社フォーマットの ROM データファイ
ルを入力とします。入力ファイルの拡張子は「.rom」です。複数の ROM デー
タファイルがある場合、1 つ 1 つのファイルに対して T2IROM を実行してくだ
さい。
出力ファイル
T2IROM の出力ファイルは以下のとおりです。
♦ インスタンス名
IKOS フォーマットの ROM データファイルです。
「インスタンス名」は
入力ファイル中の MODULE 文で指定した ROM の階層的インスタンス名
です。
♦ インスタンス名 .xrm
Gemini または Voyager を使って ROM データファイルをコンパイルする
ときの入力ファイルとなる XRM ファイルです。上記の IKOS フォー
マットの ROM データファイルを入力ファイルとして、IKOS Systems 社
の rm_xlate プログラムにより生成されます。rm_xlate プログラムは
T2IROM 実行中に自動的に呼び出されます。
T2IROM の実行方法
..................................................
この項では T2IROM のシンタックスとオプションについて説明します。
282 サインオフシステム R1.9.1 コマンドリファレンス
変換例
シンタックス
T2IROM を実行するには、UNIX のシェルプロンプトで以下のコマンドを入
力します。
t2irom 当社 ROM データファイル
実行例
以下に T2IROM の実行例を示します。
% t2irom ak3yok.rom
変換例
..................................................
図 23–2 に当社フォーマットの ROM データファイルの例を示します。
図 23–2 当社フォーマットの ROM データファイルの例
MODULE : A/A ;
WORD : 64, HEX ;
BIT : 2, HEX ;
REMARK : This file is created for RD6002 rom cell ;
DATA :
0 / 0, 1, 2, 3, 0, 1, 2, 3, 0, 1, 2, 3, 0, 1, 2, 3 ;
10 / 0, 1, 2, 3, 0, 1, 2, 3, 0, 1, 2, 3, 0, 1, 2, 3 ;
20 / 0, 1, 2, 3, 0, 1, 2, 3, 0, 1, 2, 3, 0, 1, 2, 3 ;
30 / 0, 1, 2, 3, 0, 1, 2, 3, 0, 1, 2, 3, 0, 1, 2, 3 ;
END MODULE ;
END ;
図 23–3 に T2IROM で作成される IKOS フォーマットの ROM データファイル
の例を示します。
図 23–3 IKOS フォーマットの ROM データファイルの例
$DATA_HEADER$
$TYPE$
ROM
$FORMAT$
SNAP_VECTOR
$TOTAL_COLUMNS$
2
$BASE$
H
$END$
00
01
02
03
00
サインオフシステム R1.9.1 コマンドリファレンス 283
.....
T 2 I R O M ( G E M I N I S O / V OY S O の み )
23
T 2 I R O M ( G E M I N I S O / VOY S O の み )
変換例
01
02
03
00
.
.
.
284 サインオフシステム R1.9.1 コマンドリファレンス
TDGS2TDGS
.....
....................................
24
この章では TDGS2TDGS について以下のことを説明します。
♦ TDGS2TDGS の機能
♦ 入出力ファイル
♦ TDGS2TDGS の実行方法
♦ THROUGHNETLST ファイル
♦ 実行リスティングファイル
TDGS2TDGS の機能
..................................................
TDGS2TDGS は、回路全体をブロック分割し、階層レイアウトを実行するた
めに必要な各ブロックの TDGS データベースファイルを、回路全体の TDGS
データベースファイルから分割、生成します。このとき、最上位モジュールの
TDGS データベースファイルも生成されますが、分割された部分はブラック
ボックスとなります。各ブロックのレイアウト情報は最終的に NETMOD を
使ってマージします。
現在、このフローを使用できるのはセルベース IC
のみで、レイアウトツールとして Silicon
Ensemble を使用している場合のみです。
以下に階層レイアウトを実行するときの、モジュールごとの TDGS データ
ベースファイル作成フローを示します。
サインオフシステム R1.9.1 コマンドリファレンス 285
24
TDGS2TDGS
TDGS2TDGS の機能
図 24–1 ブロックごとの TDGS データベースファイル作成フロー
Verilog-HDL/VHDL
ネットリスト
ブロック分割指定
hinfo
TDGS2TDGS
checkonly=ON
フロアプラナ
hinfo
ブロック分割指定
TDGS2TDGS
checkonly=OFF
No
OK?
Yes
サブモジュール
TDGS データ
ベースファイル
最上位
tdgsh
TDGS データ
ベースファイル
レイアウトインタフェース
まず、フロアプランのまえに checkonly オプションで ON を指定して、
TDGS2TDGS を実行します。すると、TDGS2TDGS は、制約のチェックのみを
実行し、TDGS データベースを分割しません。checkonly オプションで ON を
指定すると、ファイルに記述されているブロックごとに、ピン名、モジュール
名をチェックします。ブロックより下位のモジュールはチェックしません。
フロアプランが完了したら、checkonly オプションで OFF を指定して、再
度 TDGS2TDGS を実行します。すると、TDGS2TDGS は HINFO ファイルに
従って、TDGS データベースファイルを分割します。HINFO ファイルはフロア
プラナで生成できます。フロアプラナで生成されていないときは、エディタを
使って作成してください。checkonly オプションで OFF を指定すると、
HINFO ファイルに記述されているブロックごとにピン名、モジュール名、ス
ルーネット、ブロック分割後の外部入出力ピンが制約に違反していないかどう
かチェックします。ブロック内のモジュールのピン名、モジュール名はチェッ
クされません。また、分割されるブロック中にパススルーネットがないかどう
かチェックします。このとき違反があると TDGS データベースファイルは分割
されません。
286 サインオフシステム R1.9.1 コマンドリファレンス
入出力ファイル
入出力ファイル
..................................................
図 24–2 に TDGS2TDGS の入出力ファイルを示します。
図 24–2 TDGS2TDGS の入出力ファイル
TDGS データベースファイル分割前の制約チェック (checkonly=ON)
TDGS データ
ベースファイル
ブロック分割指定
tdgs
hinfo
TDGS2TDGS
tdgshlst
throughnetlst
実行リスティング
ファイル
スルーネットチェック
結果リスト
TDGS データベースファイル分割 (checkonly=OFF)
TDGS データ
ベースファイル
ブロック分割指定
tdgs
hinfo
TDGS2TDGS
サブモジュール
最上位
サブモジュール
名 .tdgsh
tdgsh
TDGS データ
ベースファイル
TDGS データ
ベースファイル
tdgshlst
throughnetlst
実行リスティング
ファイル
スルーネット
チェック
結果リスト
入力ファイル
TDGS2TDGS の入力ファイルは以下のとおりです。
サインオフシステム R1.9.1 コマンドリファレンス 287
.....
TDGS2TDGS
24
TDGS2TDGS
TDGS2TDGS の実行方法
♦ 回路名 .tdgs
TDGS データベースファイルです。
♦ 回路名 .hinfo
TDGS データベースファイルを分割生成するときのモジュール名を指定
するファイルです。
出力ファイル
TDGS2TDGS の出力ファイルは以下のとおりです。
♦ 最上位モジュール名 .tdgsh
分割された後の最上位モジュールの TDGS データベースファイルです。
♦ サブモジュール名 .tdgsh
分割されたモジュールの TDGS データベースファイルです。
♦ 回路名 .tdgshlst
実行リスティングファイルです。
♦ 回路名 .throughnetlst
スルーネットチェック結果のリスティングファイルです。
TDGS2TDGS の実行方法
..................................................
この項では TDGS2TDGS のシンタックスとオプションについて説明します。
HINFO ファイル
以下に HINFO ファイルのシンタックスを示します。デフォルトのファイル
名は「回路名 .hinfo」です。キーワード TOP で最上位のモジュール名を指定
します。また、キーワード BLOCK で下位のモジュール名を指定します。キー
ワード BLOCK で指定されたモジュールより下位の回路が 1 つのブロックとし
て分割されます。キーワード TOP は 1 回しか指定できませんが、BLOCK は複
数指定できます。また、コメント行は「#」で始めます。
図 24–3 HINFO ファイルの例
#Sample hierarchy info file
TOP = 最上位モジュール名
#
BLOCK = モジュール名 1
BLOCK = モジュール名 2
...
288 サインオフシステム R1.9.1 コマンドリファレンス
TDGS2TDGS の実行方法
シンタックス
TDGS2TDGS を実行するには、UNIX のシェルプロンプトで以下のコマンド
を入力します。
tdgs2tdgs オプション ...
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下の
オプションは *TDGS2TDGS 部分にも記述できます。これらのオプションにつ
いては 「付録 A コンフィグレーションファイル」を参照してください。
simulator =
[VERILOG|VCS|GEMINI|MODELSIM|LEAPFROG|VSS|VOYAGER]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
{tdgs|tdgsdir|tdgsext} = ファイル名
paragraph = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------msout = [ON|OFF]
*TDGS2TDGS に分類されるオプション
以下は TDGS2TDGS で使用するオプションであり、tsb.config ファイルの
*TDGS2TDGS 部分に記述できます。
{hierinfo|hierinfodir|hierinfoext} = ファイル名
{list|listdir|listext} = ファイル名
tdgshext = 拡張子
checkonly = [ON|OFF]
throughnetlstsw = [ON|OFF]
{throughnetlst|throughnetlstdir|throughnetlstext}
= ファイル名
オプション
以下に *TDGS2TDGS に分類されるオプションについて説明します。
サインオフシステム R1.9.1 コマンドリファレンス 289
.....
TDGS2TDGS
24
TDGS2TDGS
THROUGHNETLST ファイル
{hierinfo|hierinfodir|hierinfoext} = ファイル名
HINFO ファイルの名前を指定します。
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。
tdgshext = 拡張子
分割したサブモジュールの TDGS データベースファイルの
拡張子を指定します。
checkonly = [ON|OFF]
ON を指定すると、制約違反がないかどうかのチェックのみ
行います。OFF を指定すると、TDGS データベースを分割
します。フロアプランの前に TDGS2TDGS を実行するとき
は ON を、フロアプランの後に TDGS2TDGS を実行するとき
は OFF を指定してください。
throughnetlstsw = [ON|OFF]
THROUGHNETLST ファイル ( スルーネットチェック結果の
リスティングファイル ) を出力するかどうかを指定します。
{throughnetlst|throughnetlstdir|throughnetlstext}
= ファイル名
THROUGHNETLST ファイル ( スルーネットチェック結果の
リスティングファイル ) の名前を指定します。
実行例
以下に TDGS2TDGS の実行例を示します。
♦ 次のコマンドは回路中のすべてのピン名、モジュール名の記述に制約違
反がないかどうかのみチェックします。
tdgs2tdgs checkonly=ON
♦ 次のコマンドは TDGS データベースファイルを分割します。
tdgs2tdgs
THROUGHNETLST ファイル
..................................................
THROUGHNETLST ファイルは、throughnetlstsw=ON を指定して
TDGS2TDGS を実行したときに、スルーネットチェック結果にエラーがあった
場合に出力されます。以下に回路を使って THROUGHNETLST ファイルの例を
示します。
290 サインオフシステム R1.9.1 コマンドリファレンス
THROUGHNETLST ファイル
図 24–4 分割対象となる回路 (1)
TEST
OUTA
INA
SUB1
INB
INC
I1
O1
I2
O2
OUTB
OUTC
SUB2
IND
INE
I3
O3
I4
O4
OUTD
SUB3
INF
ING
I5
VDD
I6
ダミーセル
図 24–5 に、図 24–4 の回路に対する HINFO ファイルと THROUGHNETLST
ファイルの例 (hinfo=ON の場合 ) を示します。HINFO ファイルでは分割ブ
ロックに SUB1 と SUB2 を指定しています。そのため、TDGS2TDGS は、
SUB1、SUB2 ごとにスルーチェックを実行します。ファイル中、ピン名は [ モ
ジュール名 : ピン名 ]、ネット名は ( ネット名 ) で示されます。
図 24–5 THROUGHNETLST ファイルの例 (hinfo=ON の場合 )
♦ HINFO ファイル
top=TEST
BLOCK=SUB1
BLOCK=SUB2
♦ THROUGHNETLST ファイル (hinfo=ON の場合 )
[SUB2]
### Through Net 1 ###
[SUB2: I4]-(I4)-[SUB2: O4]
[SUB2: I4]-(I4)-[SUB2: O3]
[SUB1]
### Through Net 1 ###
[SUB1: I1]-(I1)-[SUB1: O2]
[SUB1: I1]-(I1)-[SUB1: O1]
[SUB1: I1]-(I1)-[SUB1: I2]
[TEST]
### Through Net 1 ###
サインオフシステム R1.9.1 コマンドリファレンス 291
.....
TDGS2TDGS
24
TDGS2TDGS
THROUGHNETLST ファイル
[TEST: INF]-(INF)-[SUB3: I5]-(I5)-[SUB3: I6]-(ING)-[TEST:
ING]
### Through Net 2 ###
[TEST: INA]-(INA)-[TEST: OUTA]
図 24–6 に、図 24–4 の回路に対する THROUGHNETLST ファイルの例
(hinfo= OFF の場合 ) を示します。HINFO ファイルを読み込まないため、
TDGS2TDGS は、回路全体に対してスルーチェックを実行します。
図 24–6 THROUGHNETLST ファイルの例 (hinfo=OFF の場合 )
[TEST]
### Through Net 1 ###
[TEST: INF]-(INF)-[SUB3: I5]-[SUB3: I6]-(ING)-[TEST: ING]
### Through Net 2 ###
[TEST: INE]-(INE)-[SUB2: I4]-(I4)-[SUB2:O4]
[TEST: INE]-(INE)-[SUB2: I4]-(I4)-[SUB2: O3]-(OUTD)-[TEST: OUTD]
[TEST: INE]-(INE)-[SUB2: I4]-(I4)-[SUB2: O3]-(OUTD)-[SUB2:
O4]-(O4)-[SUB2: O3]
[TEST: INE]-(INE)-[SUB2: I4]-(I4)-[SUB2: O3]-(OUTD)-[SUB2:
O4]-(O4)-[SUB2: I4]
### Through Net 3 ###
[TEST: INB]-(INB)-[SUB1: I1]-(I1)-[SUB1:O2]-(OUTC)-[TEST: OUTC]
[TEST: INB]-(INB)-[SUB1: I1]-(I1)-[SUB1: O1]-(OUTB)-TEST: OUTB]
[TEST: INB]-(INB)-[SUB1: I1]-(I1)-[SUB1: i2]-(INC)-[TEST: INC]
### Through Net 4 ###
[TEST: INA]-(INA)-[TEST: OUTA]
もう 1 つ例を示します。
図 24–7 分割対象となる回路 (2)
TEST
OUTA
INA
SUB3
INF
ING
I5
I6
ダミーセル
図 24–8 に、図 24–7 の回路に対する HINFO ファイルと THROUGHNETLST
ファイルの例 (hinfo=ON の場合 ) を示します。HINFO ファイルでは分割ブ
ロックに SUB3 を指定しています。そのため、TDGS2TDGS は、SUB3 に対し
てスルーチェックを実行します。
292 サインオフシステム R1.9.1 コマンドリファレンス
実行リスティングファイル
図 24–8 THROUGHNETLST ファイルの例 (hinfo=ON の場合 )
♦ HINFO ファイル
top=TEST
BLOCK=SUB3
♦ THROUGHNETLST ファイル (hinfo=ON の場合 )
[SUB3]
### Through Net 1 ###
[SUB3: I5]-(I5)-[SUB3: I6]
[SUB3: I5]-(I5)-[IV: A]
[TEST]
### Through Net 1 ###
[TEST: INA]-(INA)-[TEST: OUTA]
D
図 24–9 に、図 24–7 の回路に対する THROUGHNETLST ファイルの例
(hinfo= OFF の場合 ) を示します。HINFO ファイルを読み込まないため、
TDGS2TDGS は、回路全体に対してスルーチェックを実行します。
図 24–9 THROUGHNETLST ファイルの例 (hinfo=OFF の場合 )
[TEST]
### Through Net 1 ###
[TEST: INF]-(INF)-[SUB3: I5]-(I5)-[SUB3: I6]-(ING)-[TEST: ING]
[TEST: INF]-(INF)-[SUB3: I5]-[IV: A]
### Through Net 2 ###
[TEST: INA]-(INA)-[TEST: OUTA]
実行リスティングファイル
..................................................
図 24–10 に TDGS2TDGS の実行リスティングファイルの例を示します。
図 24–10 実行リスティングファイルの例 ( 回路名 .tdgshlst)
************************************************************************
*
Toshiba New Delay Model System
*
*
Toshiba S/O System for Solaris Release 1.9.1
*
*
Toshiba VERILOG S/O System for Solaris Release 1.9.1
*
*
TC200G Library (TSBVLIBP) Release 1.9.1
*
*
*
TC200G Library (TDOBJ) Release 1.9.1
Toshiba layout i/f System
Release 1.9.1
*
*
*
(c) Copyright Toshiba Corporation, since 1994.
*
*
All rights reserved.
*
************************************************************************
************************
TDGS2TDGS MESSAGE LIST
**********************
サインオフシステム R1.9.1 コマンドリファレンス 293
.....
TDGS2TDGS
24
TDGS2TDGS
実行リスティングファイル
..Design Error hl-2007 The through net (Z00) exists. This net is connected to
on
ly external terminal in module (DDD).
Please modify the through net in your design.
**************************
********************
END MESSAGE LIST
TDGS2TDGS EXECUTION LOG
**************************
*************************
Program version:
TDGS2TDGS VERSION 1.10
Userid
:
ndm
Design
:
TEST
Module
:
TEST
Instance
:
TEST
Technology
:
TC200G
Array type
:
T9V61
Option
:
none
Process
:
Module name check
( SUCCESS )
:
Module pin name check
( SUCCESS )
:
make Layout TDGS file
( SUCCESS )
:
Through net & open net check
( SUCCESS )
:
tdgshext = tdgsh
:
checkonly = OFF
:
throughnetlstsw = ON
:
mod inst info file = ./TEST.hinfo
:
through net list = ./TEST.throughnetlst
:
list file = ./TEST.tdgshlst
Condition
>> TDGS FILE <<
USER
:./TEST.tdgs
>> LDDL2 MASTER FILE <<
:/common/libraries/TBD/NDM1.9B/toshiba_common/lib_Solaris/TC200G/lddl
2/3
.3/TC200G.master
>> Execution time <<
Start time
:
Tue Dec 14 18:32:15 1999
End time
:
Tue Dec 14 18:32:16 1999
CPU time
:
00:00:00.48
>> Configuration file <<
File name : ./tsb.config
*******************
Error message count table
294 サインオフシステム R1.9.1 コマンドリファレンス
*******************
実行リスティングファイル
COMMON
TDGS2TDGS
: Message level
= (
1 )
(
0 )
: Warning level
= (
0 )
(
0 )
: Error
level
= (
0 )
(
0 )
: Fatal
level
= (
0 )
(
0 )
: System
level
= (
0 )
(
0 )
: Design Warning = (
0 )
(
0 )
: Design Error
0 )
(
1 )
***************************
********************
= (
END OF LOG
**************************
TDGS2TDGS EXECUTION LOG
*************************
ピン名とモジュール名のチェック
♦ 英文字で始まる 20 文字以下の文字列である。
♦ 大文字の A~Z 、数字、下線 (_) しか使っていない。
♦ バスは [:] で表記してある。
♦ Verilog シミュレータの場合、文字列の先頭にエスケープ文字を使ってい
ない。
ピン名、モジュール名に特殊文字が存在し、先頭にエスケープ文字が使
われていると TDGS データベースファイルは分割されません。
パススルーネットのチェック
分割するブロック中にパススルーネットがないかどうか確認します。パスス
ルーネットが存在すると、階層レイアウトを実行できません。
オープンピンのチェック
ブロック分割後、ブロックに接続されていた外部入出力ピンがオープン状態
になっていないかどうかチェックします。
電源接続のチェック
ブロック分割するモジュールのピンに直接電源が接続していないかどうか
チェックします。
サインオフシステム R1.9.1 コマンドリファレンス 295
.....
TDGS2TDGS
24
TDGS2TDGS
実行リスティングファイル
296 サインオフシステム R1.9.1 コマンドリファレンス
TDLGEN
.....
....................................
25
この章では TDLGEN について以下のことを説明します。
♦ TDLGEN の機能
♦ TDL 変換時の注意点
♦ 入出力ファイル
♦ TDLGEN の実行方法
♦ XREF ファイル
♦ 実行リスティングファイルの例
TDLGEN の機能
..................................................
TDLGEN はゲートレベルの Verilog-HDL または VHDL フォーマットのネット
リストを回路の階層構造を保持したまま当社の TDL フォーマットに変換しま
す。このとき、ネットリストは最下位の階層から上位階層へ向かって順番に変
換されます。
さらに TDLGEN は、ネットリスト中で使われているすべての識別名、すなわ
ち階層名、インスタンス名、ピン名、ネット名が TDL の規則に従っているか
チェックします。このとき、TDL の制限を超えるすべての識別名は、別の名前
に置き換えられます。元の名前と置換後の名前の対応は、XREF ファイル ( 回
路名 .xref) に出力されます。
TDL ではバス ( ベクタネット ) を表記できません。したがって、バスは個々
の信号に展開されます。
また、TDL では電源ネットの表現方法が決まっています。ネットリスト中で
電源セルが使われている場合、電源セルは TDL のシンタックスにもとづいて
電源ネットの表記に変換されます。
TDL 変換時の注意点
..................................................
TDLGEN は作成された TDL ネットリストに対して簡単なシンタックス
チェックしか実行しません。TDLGEN を実行するときは、次の点に注意してく
ださい。
サインオフシステム R1.9.1 コマンドリファレンス 297
25
TDLGEN
TDL 変換時の注意点
♦ Verilog-HDL の識別名
Verilog-HDL は識別名の大文字と小文字を区別しますが、TDL ではすべ
て大文字で入力します。例えば、Verilog-HDL では NET1 と net1 は別々
のネットを表します。TDLGEN を実行すると、TDL のシンタックスにし
たがって小文字は大文字に自動的に変換されます。したがって、NET1
と net1 が同じ階層に存在する場合、両方とも NET1 になってしまいま
す。
♦ 特殊文字
識別名として使用できる特殊文字は「-」、
「_」、「.」です。それ以外の
カッコ記号を除く特殊文字は、デフォルトでは「-」に置換されます。デ
フォルト以外の文字を使いたい場合は、filchar オプションを使って指
定してください。
♦ カッコ
特殊文字のうち、[ ]、{ }、( )、< > はデフォルトでは「_」に置換されま
す。デフォルト以外の文字を使いたい場合は、leftbacket、
rightbacket オプションを使って指定してください。
♦ 文字の長さ
識別名が idlength オプションで指定した最大文字数よりも長い場合、
別の名前に置換されます。モジュール名、インスタンス名、ピン名、
ネット名の置換ルールについては、それぞれ、gpmodule、gpinst、
gpport、gpnet オプションを参照してください。
♦ パススルーネット
TDLGEN はパススルーネットを扱えません。パススルーネットとは、以
下に定義されるように 1 つのピンから他のピンへの直接電気的に接続す
るネットを表します。
•
Verilog-HDL:
assign a=b;
•
VHDL:
a<=b;
Verilog-HDL ネットリストの回路中にパススルーネットがある場合、
TNC を実行して、パススルーネットを除去してください。
298 サインオフシステム R1.9.1 コマンドリファレンス
TDL 変換時の注意点
図 25–1 に示すように、Verilog-HDL および VHDL ではパススルーネット
を記述できます ( このとき、TDGS データベースファイル中ではダミー
バッファを介して接続されています )。
図 25–1 パススルーネット
[ 変換前 ]
SUBMOD1
a
a
b
A
b
Z
ダミーバッファ
Verilog-HDL:
assign a=b ;
VHDL:
a<=b ;
[ 変換後 ]
SUBMOD1
a
A
Z
図 25–1 の変換前のパススルーネットに対して TDLGEN を実行すると、
モジュールに接続しているネットのうち、アルファベット順で先にくる
ネット名が TDL 変換後のネット名として採用され、記述上はモジュール
の内部を通らないネットとして定義されます。ピンの属性は変わりませ
ん。
図 25–1 に示すように、ピン A に接続しているネット a がネット名とし
て採用されます。Z は出力ピンですが、TDL 変換後、ネット a の入力信
号がモジュール外から与えられる状態になります。しかし、モジュール
内と接続していないのでこのままの接続状態でも問題ありません。
最上位モジュールでのパススルーネットの場合、内部接続がなくなり、
パススルーネットの入出力ピンは未接続のまま残ることになります。
サインオフシステム R1.9.1 コマンドリファレンス 299
.....
TDLGEN
25
TDLGEN
TDL 変換時の注意点
図 25–2 は、階層を介してパススルーネットが接続されている場合の
TDL 変換の例です。この場合、a がネット名として採用され、入力ピン
B は未接続になります。
図 25–2 階層を介して接続されているパススルーネットの TDL 変換
[ 変換前 ]
b
b
a
B
a
A
[ 変換後 ]
a
B
A
図 25–3 は、パススルーネットが途中で分岐して、モジュール内のセルに
接続されている場合の TDL 変換の例です。
図 25–3 モジュール内のセルに接続されているパススルーネットの TDL 変換
[ 変換前 ]
b
a
B
A
[ 変換後 ]
a
B
A
この場合、a がネット名として採用され、入力ピン B は未接続になりま
す。A は出力ピンですが、TDL 変換後、ネット a の入力信号がモジュー
ル外から与えられる状態になります。図 25–1 の場合と異なり、出力ピン
300 サインオフシステム R1.9.1 コマンドリファレンス
TDL 変換時の注意点
A はモジュール内とも接続しているので両方の信号がぶつかってしまい、
TDL 記述上、エラーになります。そこで、生成されたネットリストの入
出力ピンの接続情報を修正するか、TDL 変換前の Verilog-HDL または
VHDL 上で、変換後に信号がぶつからないようにピン名を修正しておく
と、この問題を回避することができます ( 図 25–3 の場合、パススルー
ネットの入力ピンを A、出力ピンを B に修正します )。
♦ ピンの属性
出力された TDL のピンの属性を変更する必要がある場合があります。
♦ ネットの VDD、VSS 接続
ネットが VDD と VSS の両方に接続されているような回路は、TDL で表
現できません。このような場合、TDLGEN の実行結果は保証できませ
ん。
♦ 電源ネット
電源ネット名は TDL の言語仕様に従って名前が変換されますが、XREF
ファイルには TNC または TVHDL によって変換された後のネット名が出
力されます。
♦ モジュール内の電源ネットとピンの接続
図 25–4 に示すように、Verilog-HDL および VHDL ではモジュール内の電
源ネットとモジュールのピンの接続を記述できます。
図 25–4 モジュール内の電源ネットとモジュールのピンの接続
SUBMOD1
Z
GND
図 25–4 のモジュールに対して TDLGEN を実行すると、電源ネットがモ
ジュールの外で接続される記述に変換されます。図 25–5 に、図 25–4 の
モジュールを TDL 変換したあとの、ネットの接続を示します。
図 25–5 TDL 変換後のモジュール内の電源ネットとピンの接続
SUBMOD1
Z
GND
この場合、出力ピン Z が GND に接続されてしまうため、TDL 記述上、
エラーになります。そこで、生成されたネットリストを修正する必要が
あります。
サインオフシステム R1.9.1 コマンドリファレンス 301
.....
TDLGEN
25
TDLGEN
入出力ファイル
入出力ファイル
..................................................
図 25–6 に TDLGEN の入出力ファイルを示します。
図 25–6 TDLGEN の入出力ファイル
TDGS データベースファイル
tdgs
TDLGEN
tdl
xref
TDL ネットリストファイル
tdlgenlst
実行リスティング
ファイル
入力ファイル
TDLGEN の入力ファイルは以下のとおりです。
♦ 回路名 .tdgs
TDGS データベースファイルです。
出力ファイル
TDLGEN の出力ファイルは以下のとおりです。
♦ 回路名 .tdl
TDL ネットリストファイルです。
♦ 回路名 .xref
置換された識別名が出力される XREF ファイルです。
♦ 回路名 .tdlgenlst
実行リスティングファイルです。
TDLGEN の実行方法
..................................................
この項では、TDLGEN のシンタックスとオプションを説明します。
302 サインオフシステム R1.9.1 コマンドリファレンス
TDLGEN の実行方法
シンタックス
TDLGEN を実行するには、UNIX のシェルプロンプトで以下のコマンドを入
力します。
tdlgen オプション ...
*COMMON に分類される必須オプション
以下は、デザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *TDLGEN 部分にも記述できます。これらのオプションについては
「付録 A コンフィグレーションファイル」を参照してください。
simulator =
[VERILOG|VCS|GEMINI|MODELSIM|LEAPFROG|VSS|VOYAGER]
edaversion = EDA バージョン名
module = 回路名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 電源電圧
arraytype = 母体名
{tdgs|tdgsdir|tdgsext} = ファイル名
paragraph = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------idlength = 最大文字数
msout = [ON|OFF]
*TDLGEN に分類されるオプション
以下は、TDLGEN だけで使用するオプションであり、tsb.config ファイルの
*TDLGEN 部分に記述できます。
{tdl|tdldir|tdlext} = ファイル名
{xref|xrefdir|xrefext} = ファイル名
leftbracket = 文字列
rightbracket = 文字列
filchar = 文字列
gpmodule = 接頭語
gpinst = 接頭語
gpport = 接頭語
gpnet = 接頭語
listall = [ON|OFF]
{list|listdir|listext} = ファイル名
explicit = [ON|OFF]
サインオフシステム R1.9.1 コマンドリファレンス 303
.....
TDLGEN
25
TDLGEN
TDLGEN の実行方法
オプション
*TDLGEN に分類されるオプション
{tdl|tdldir|tdlext} = ファイル名
生成される TDL ネットリストファイルの名前を指定しま
す。
{xref|xrfdir|xrefext} = ファイル名
生成される XREF ファイルの名前を指定します。
leftbracket = 文字列
識別名の中に [、(、{、< を検出すると、デフォルトでは、
下線 (_) に置き換えられます。下線以外の文字または文字列
を使いたい場合はこのオプションで指定してください。
rightbracket = 文字列
識別名の中に ]、)、}、> を検出すると、デフォルトでは、
下線 (_) に置き換えられます。下線以外の文字または文字列
を使いたい場合はこのオプションで指定してください。
filchar = 文字列
TDL の記述で許されない文字列を検出すると、デフォルト
では、ハイフン (-) に置き換えられます。ハイフン以外の文
字を使いたい場合は、このオプションで指定してください。
例えば「A$$」はデフォルトで「A--」に置き換えられま
す。
gpmodule = 接頭語
モジュール名が idlength オプションで指定した最大文字
数よりも長い場合、デフォルトでは「TMOD」+ 連番に置換
されます。TMOD 以外の接頭語を使いたい場合は、このオプ
ションで指定します。
gpinst = 接頭語
インスタンス名が idlength オプションで指定した最大文
字数よりも長い場合、デフォルトでは「TINST」+ 連番に置
換されます。TINST 以外の接頭語を使いたい場合は、この
オプションで指定します。
gpport = 接頭語
ピン名が idlength オプションで指定した最大文字数より
も長い場合、デフォルトでは「TPIN」+ 連番に置換されま
す。TPIN 以外の接頭語を使いたい場合は、このオプション
で指定します。
gpnet = 接頭語
ネット名が idlength オプションで指定した最大文字数よ
りも長い場合、デフォルトでは「TNET」+ 連番に置換され
ます。TNET 以外の接頭語を使いたい場合は、このオプショ
ンで指定します。
304 サインオフシステム R1.9.1 コマンドリファレンス
XREF ファイル
listall = [ON|OFF]
すべての識別名を XREF ファイルに出力するかどうか指定
します。
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。
explicit = [ON|OFF]
ON を指定すると、出力される TDL を明示形式で出力します。
デフォルトは OFF で、暗黙形式で出力します。
XREF ファイル
..................................................
TDLGEN を実行すると、置換された識別名は XREF ファイル ( 回路名
.xref)に出力されます。TDLGEN によるネット名、モジュール名、インスタ
ンス名の置換を最小限にするには、TDL の制約を理解した上で名前をつけなけ
ればなりません。図 25–7 に XREF ファイルの例を示します。
図 25–7 XREF ファイルの例
module sub$$ -> SUB-port in[0] -> IN_0_
signal in[0] -> IN_0_
port in[1] -> IN_1_
signal in[1] -> IN_1_
port out[0] -> OUT_0_
signal out[0] -> OUT_0_
port out[1] -> OUT_1_
signal out[1] -> OUT_1_
instance i1$$$ -> I1--- ( IV )
signal net$ -> NETinstance i2 -> I2 ( IV )
signal net$$ -> NET-instance i3 -> I3 ( IV )
instance i4 -> I4 ( IV )
module top -> TOP
port a$$[2] -> A--_2_
signal a$$[2] -> A--_2_
port a$$[1] -> A--_1_
signal a$$[1] -> A--_1_
port z[2] -> Z_2_
signal z[2] -> Z_2_
port z[1] -> Z_1_
signal z[1] -> Z_1_
instance i1 -> I1 ( sub$$ -> SUB-- )
♦ module
module はモジュール名の置換名を示します。module 以降の行ではその
モジュール内で置換したすべての名前が列挙されます。
♦ port
port はポート ( ピン ) の元の名前と置換後の名前の対応を示します。
サインオフシステム R1.9.1 コマンドリファレンス 305
.....
TDLGEN
25
TDLGEN
実行リスティングファイルの例
♦ signal
signal はネットの元の名前と置換後の名前の対応を示します。
Verilog-HDL または VHDL では、ポートとネットの名前は同じなので、
port と signal で同じ名前がそれぞれ出力されます。
♦ instance
instance は instance i2->I2(IV) のようにインスタンスの元の名前
と置換後の名前を示します。階層間でネストされたモジュールに関して
も、置換された場合、元の名前と置換後の名前が instance i1->
I1(sub$$->SUB--) のようにカッコ内に示されます。listall オプ
ションで ON を指定すると、すべての識別名について元の名前と置換後
の名前が列挙されます。
実行リスティングファイルの例
..................................................
図 25–8 に実行リスティングファイルの例を示します。
図 25–8 実行リスティングファイルの例 ( 回路名 .tdlgenlst)
************************************************************************
*
Toshiba New Delay Model System
*
*
Toshiba S/O System for Solaris Release 1.9.1
*
*
Toshiba VOYAGER S/O System for Solaris Release 1.9.1
*
*
TC260ET Library (NSIMLIB) Release 1.9.1
*
TC260ET Library (TDOBJ) Release 1.9.1
*
*
*
Toshiba layout i/f System
Release 1.9.1
*
*
(c) Copyright Toshiba Corporation, since 1994.
*
*
All rights reserved.
*
************************************************************************
************************
tdlgen EXECUTION LOG
Program version: tdlgen VERSION 1.82
Userid
: ikos
Module
: TEST4
Technology
: TC260ET
Master type
: T8H00TT5
Library type
:
Option
: design=test4
module=TEST4
edaversion=3.10
leftbracket=_
idlength=64
explicit=ON
Process
: SUCCESS
Condition
: design=test4
306 サインオフシステム R1.9.1 コマンドリファレンス
************************
実行リスティングファイルの例
module=TEST4
edaversion=3.10
tdldir=.
tdlext=tdl
listdir=.
listext=tdlgenlst
xrefdir=.
xrefext=xref
leftbracket=_
filchar=idlength=64
listall=OFF
explicit=ON
msout=ON
>> TDGS FILE <<
USER
:./test4.tdgs
>> LDDL2 MASTER FILE <<
:/project/test/usr1/ikos/system/R191/toshiba_common/lib_Solaris/
TC260ET/lddl2/1.5/TC260ET.master
>> Execution time <<
Start time
: Thu Oct 28 10:22:46 1999
End time
: Thu Oct 28 10:22:46 1999
CPU time
: 00:00:00.30
>> Configuration file <<
File name : ./tsb.config
*******************
Error message count table
COMMON
*******************
TDLGEN
: Message level
= (
1 )
(
0 )
: Warning level
= (
0 )
(
0 )
: Error
level
= (
0 )
(
0 )
: Fatal
level
= (
0 )
(
0 )
: System
level
= (
0 )
(
0 )
: Design Warning = (
0 )
(
0 )
: Design Error
0 )
(
0 )
***************************
= (
*****************************
END OF LOG
**************************
END OF LOG
*****************************
サインオフシステム R1.9.1 コマンドリファレンス 307
.....
TDLGEN
25
TDLGEN
実行リスティングファイルの例
308 サインオフシステム R1.9.1 コマンドリファレンス
TFO (VSO/VCSSO のみ )
.....
....................................
26
この章では TFO について以下のことを説明します。
♦ TFO の機能
♦ 入出力ファイル
♦ TFO の実行方法
TFO の機能
..................................................
TFO は Verilog-HDL 形式のネットリストファイルからバイナリ形式の TDGS
データベースファイルを生成します。また、TOP ファイル、TSTHEAD ファイ
ル、TSTPAT ファイル、WAVCONF ファイルを生成します。TDGS データベー
スファイルは DVER、DCAL など多くのプログラムの入力ファイルとして使わ
れます。TFO は TNC の機能の一部を実行しています。TNC を実行してこれら
のファイルを生成した場合は、TFO は実行しなくてもかまいません。
♦ TSTPAT ファイル ( 回路名 .tstpat)
このファイルには入力信号を印加し、出力信号を読み取るのに必要なレ
ジスタ、ネットを生成するための Verilog-HDL の定義文が入ります。こ
のファイルは、Verilog-HDL 形式の入力波形モジュールを記述するとき
に、テンプレートとして使用できます。
♦ TSTHEAD ファイル ( 回路名 .tsthead)
TSTHEAD ファイルには、TSTL2 テストデータファイルの I/O ピンおよ
びタイミングの定義部分が入ります。また、TSTL2 形式でテストデータ
を記述するときに、テンプレートとして使用できます。
♦ WAVCONF ファイル ( 回路名 .wavconf)
WAVCONF ファイルは TSG の入力として使います。テストパターンを
抽出するための入出力ピンのサンプリングポイントを指定するための
ファイルです。
♦ TOP ファイル ( 回路名 .top)
TOP ファイルには、最上位モジュール名とすべての入出力信号名が入り
ます。TOP ファイルには、単に最上位モジュールのネットリスト中の
module 文がそのままコピーされます。
TOP ファイルは、TSC の入力として使います。回路にバスの出力ピン、
双方向ピンがある場合は、TOP ファイルの module 文に、バス幅が反映
サインオフシステム R1.9.1 コマンドリファレンス 309
26
TFO (VSO/VCSSO のみ )
入出力ファイル
されます。TSC は TSTL2 テストデータファイルと TOP ファイルを比較
することにより、テストデータとネットリストのあいだで入出力信号名
の不一致がないかどうかをチェックします。
入出力ファイル
..................................................
図 26–1 に TFO の入出力ファイルを示します。
図 26–1 TFO の入出力ファイル
Verilog-HDL
ネットリストファイル
TFO
バイナリ形式
tdgs
top
TDGS データ
ベースファイル
TSC で使用
DVER
IOPARAM
DCAL
SRA
TOGMRG
NETMOD
PNA
TST
TDGS2TDGS
で使用
tsthead
tfolst
実行リスティング
ファイル
tstpat
wavconf
TSG で使用
入力ファイル
TFO の入力ファイルは Verilog-HDL 形式のネットリストファイルです。ネッ
トリストファイルは複数のファイルに分割されていてもかまいません。
出力ファイル
TFO の出力ファイルは以下のとおりです。
♦ 回路名 .tdgs
当社独自のデザインデータベースファイル (TDGS データベースファイ
ル ) です。
310 サインオフシステム R1.9.1 コマンドリファレンス
TFO の実行方法
♦ 回路名 .top
TOP ファイルです。外部ピン情報が出力されます。TSC の入力ファイル
として使います。
♦ 回路名 .tsthead ( 任意 )
TSTL2 のヘッダ部分のテンプレートファイルです。TSTL2 テストデータ
ファイルの I/O ピンおよびタイミングの定義部分が入ります。
♦ 回路名 .tstpat ( 任意 )
Verilog-HDL 入力波形モジュールのテンプレートファイルです。
♦ 回路名 .wavconf( 任意 )
TSG を使ってテストパターンを抽出するときに、入出力ピンのサンプリ
ングポイントを指定するためのファイルです。WAVCONF ファイルにつ
いては 423 ページの「WAVCONF ファイル」を参照してください。
♦ 回路名 .tfolst
実行リスティングファイルです。
TFO の実行方法
..................................................
この項では TFO のシンタックスとオプションについて説明します。
シンタックス
TFO は以下のどちらかの方法で実行します。
♦ Verilog-HDL ファイルに以下のタスク実行文を記述し、Verilog シミュレー
タを起動します。
$vetfo (" オプション ",...);
♦ UNIX のシェルプロンプトで以下のタスク間接コマンドを入力します。
vetfo HDL ファイル ... オプション ...
ここで、
「HDL ファイル」は入力ネットリストファイルのファイル名です。
サインオフシステム R1.9.1 コマンドリファレンス 311
.....
TFO (VSO/VCSSO のみ )
26
TFO (VSO/VCSSO のみ )
TFO の実行方法
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *TFO 部分にも記述できます。これらのオプションについては「付
録 A コンフィグレーションファイル」を参照してください。
simulator = [VERILOG|VCS]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
design = 回路名
{tdgs|tdgsdir|tdgsext} = ファイル名
paragraph = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------head = 接頭語
tail = 接尾語
{tsthead|tstheaddir|tstheadext} = ファイル名
idlength = 最大文字数
msout = [ON|OFF]
*TFO に分類されるオプション
以下は TFO で使用するオプションであり、tsb.config ファイルの *TFO 部
分に記述できます。
{list|listdir|listext} = ファイル名
maxerror = エラー数
headout = [ON|OFF]
wavout = [ON|OFF]
{wavconf|wavconfdir|wavconfext} = ファイル名
patout = [ON|OFF]
{tstpat|tstpatdir|tstpatext} = ファイル名
topout = [ON|OFF]
{top|topdir|topext} = ファイル名
overwrite_template = [ON|OFF]
オプション
以下に *TFO に分類されるオプションについて説明します。
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。
312 サインオフシステム R1.9.1 コマンドリファレンス
TFO の実行方法
maxerror = エラー数
TFO が処理を中断するエラー数の上限を指定します。エ
ラー数には、0 以上の整数を指定します。デフォルトは
「-1」で、中断せずに処理を実行します。
headout = [ON|OFF]
TSTHEAD ファイルを出力するかどうかを指定します。
wavout = [ON|OFF]
WAVCONF ファイルを出力するかどうかを指定します。
{wavconf|wavconfdir|wavconfext} = ファイル名
WAVCONF ファイルの名前を指定します。
patout = [ON|OFF]
TSTPAT ファイルを出力するかどうかを指定します。
{tstpat|tstpatdir|tstpatext} = ファイル名
TSTPAT ファイルの名前を指定します。
topout = [ON|OFF]
TOP ファイルを出力するかどうかを指定します。
{top|topdir|topext} = ファイル名
TOP ファイルの名前を指定します。
overwrite_template = [ON|OFF]
TOP ファイル、TSTHEAD ファイル、TSTPAT ファイル、
WAVCONF ファイルがすでに存在する場合、これらのファ
イルを上書きするかどうか指定します。
実行例
以下に $vetfo タスク実行文の例を示します。
$vetfo("tdgsdir=./tdgs");
図 26–2 に $vetfo タスク実行文を記述した Verilog-HDL ファイルの例を示し
ます。
図 26–2 $vetfo タスク実行文を記述した Verilog-HDL ファイルの例
‘timescale 1 ns / 10 ps
module task_ct1;
initial begin
$vetfo("tdgsdir=./tdgs");
$finish;
end
endmodule
この Verilog-HDL ファイルは以下のように VSO/VCSSO の VERUN を使って
実行できます。
サインオフシステム R1.9.1 コマンドリファレンス 313
.....
TFO (VSO/VCSSO のみ )
26
TFO (VSO/VCSSO のみ )
TFO の実行方法
verun DEMO.net DEMO.task_ctl
ここで、DEMO.net は Verilog-HDL 形式のネットリストファイルのファイル
名で、DEMO.task_ctl は上記のタスクコントロール用の Verilog-HDL ファイ
ルです。VERUN については、
「34章 VERUN (VSO/VCSSO のみ )」を参照し
てください。
以下に上記タスク実行文と等価な TFO の実行例を示します。tdgsdir、
tdgsext オプションを使って TDGS データベースファイルを出力するディクレ
トリを指定できます。
vetfo DEMO.net tdgsdir=./tdgs
314 サインオフシステム R1.9.1 コマンドリファレンス
TNC (VSO/VCSSO/GEMINISO のみ )
.....
....................................
27
この章では TNC について以下のことを説明します。
♦ TNC の機能
♦ 入出力ファイル
♦ TNC の実行方法
♦ TDGS データベースファイルの分割生成
♦ 実行リスティングファイル
TNC の機能
..................................................
TNC は他の VSO/VCSSO デザインキットのプログラムを実行するまえに、
Verilog-HDL で記述されたネットリストが当社の制約に違反していないかどう
かチェックします。
TNC 実行時にメモリ容量が不足している場合、TDGS データベースファイル
を分割して生成すると、使用メモリを削減できます。詳細については 327 ペー
ジの「TDGS データベースファイルの分割生成」を参照してください。
また、TNC は以下のファイルを生成します。
♦ TDGS データベースファイル ( 回路名 .tdgs)
当社独自のデザインデータベースファイル (TDGS:Toshiba Design
Database for Gate-level Structures) です。TDGS データベースファイルは
DVER、DCAL など多くのプログラムの入力ファイルとして使われます。
♦ TOP ファイル ( 回路名 .top)
TOP ファイルには、最上位モジュール名とすべての入出力信号名が入り
ます。TOP ファイルには、単に最上位モジュールのネットリスト中の
module 文がそのままコピーされます。
TOP ファイルは、TSC の入力として使います。回路にバスの出力ピン、
双方向ピンがある場合は、TOP ファイルの module 文に、バス幅が反映
されます。TSC は TSTL2 テストデータファイルと最上位モジュールファ
イルを比較することにより、テストデータとネットリストのあいだで入
出力信号名の不一致がないかどうかをチェックします。
サインオフシステム R1.9.1 コマンドリファレンス 315
27
TNC (VSO/VCSSO/GEMINISO のみ )
TNC の機能
♦ TSTHEAD ファイル ( 回路名 .tsthead)
TSTHEAD ファイルには、TSTL2 テストデータファイルの I/O ピンおよ
びタイミングの定義部分が入ります。また、TSTL2 形式でテストデータ
を記述するときに、テンプレートとして使用できます。
♦ TSTPAT ファイル ( 回路名 .tstpat)
このファイルには入力信号を印加し、出力信号を読み取るのに必要なレ
ジスタ、ネットを生成するための Verilog-HDL の定義文が入ります。こ
のファイルは、Verilog-HDL 形式の入力波形モジュールを記述するとき
に、テンプレートとして使用できます。
♦ WAVCONF ファイル ( 回路名 .wavconf)
WAVCONF ファイルは TSG の入力として使います。テストパターンを
抽出するための入出力ピンのサンプリングポイントを指定するための
ファイルです。
TSTHEAD ファイル、TOP ファイル、TSTPAT ファイル、WAVCONF ファイ
ルがすでに存在していて上書きしたくないときは、overwrite_template オ
プションで OFF を指定してください。
TNC は TSTHEAD ファイルを作成する際に、ネットリスト中の入出力ピンの
名前が TSTL2 のルールに従っているかどうかをチェックします。
TNC はスタンドアロンで動作します。
入力 Verilog-HDL ネットリストファイルには、シ
ンタックスエラーがないことを前提としています。
以下に TNC によるネットリストのチェック機能について詳しく説明します。
ネットリストの制約に関するチェック
VSO/VCSSO のすべてのプログラムは、当社のライブラリ中のセルだけで構
成されている回路を想定しています。Verilog シミュレータのプリミティブ、
ユーザー定義プリミティブ (UDP)、機能記述などは使用できません。TNC は以
下の制約について Verilog-HDL で記述されたネットリストをチェックします。
これらはネットリストについての制約であり、波形記述には適用されません。
各システムのマニュアルを参照してください。
♦ UDP の定義 (primitive 文 ) は使用できません。
♦ タスクの定義 (task 文 ) は使用できません。
♦ 関数の定義 (function 文 ) は使用できません。
♦ ベクタネット指定 (vectored 文 ) は使用できません。
316 サインオフシステム R1.9.1 コマンドリファレンス
TNC の機能
♦ 次のネットタイプは使用できません。
tri1・wand・triand・tri0・or・trior・tritrg
♦ charging_strength (small・medium・max) は指定できません。
♦ driving_strength は指定できません。
♦ Verilog シミュレータのプリミティブは使用できません。
♦ initial 文は使用できません。
♦ always 文は使用できません。
♦ 遅延時間は設定できません。
♦ macro module ブロック ( ソフトセルグループ ) は使用できません。
♦ specify ブロックは使用できません。
♦ defparam ブロックは使用できません。
♦ レジスタ変数を宣言する reg 記述は使用できません。
♦ 時間変数を宣言する time 記述は使用できません。
♦ 整数変数を宣言する integer 記述は使用できません。
♦ 実数変数を宣言する real 記述は使用できません。
♦ イベント名を宣言する event 記述は使用できません。
♦ パラメータ設定 (parameter 文 ) は使用できません。
♦ 以下のコンパイラディレクティブは指定できません。
`noaccelerate・`celldefine~・`endcelldefine
`default_rswitch_strength・`default_switch_strength
`default_trireg_strength・`delay_mode_distributed・`ifdef
`else・`endif・`include・`noexpand_vectornets・`protect~
`endprotect・`pre_16a_paths~・`end_pre_16a_paths・`protected~
`unproteted・`remove_gatenames・`remove_netnames
`rs_technology・`switch・`unconnected_drive
`nounconnected_drive・`undef・`uselib
♦ モジュール名、インスタンス名、ネット名中でピリオド ( . ) は使用できま
せん。
♦ TNC は最上位モジュール名と外部入出力ピン名の制約違反をチェックし
ます。
♦ ベクタポート ( バスピン ) のビットセレクトは使用できません。以下に
誤った記述例を示します。
サインオフシステム R1.9.1 コマンドリファレンス 317
.....
TNC (VSO/VCSSO/GEMINISO のみ )
27
TNC (VSO/VCSSO/GEMINISO のみ )
TNC の機能
図 27–1 ベクタポートのビットセレクトの例(誤り)
module SAMPLE (in_vector [2:0], out_vector [4:0]);
input [2:0] in_vector;
output [4:0] out_vector;
...
endmodule;
♦ 同じモジュール宣言文中で、同じピン名を複数回指定できません。以下
に誤った記述例を示します。
図 27–2 ピン名の複数回指定の例(誤り)
module SAMPLE (a,b,c,a);
input a,b;
output c;
ネットリストの制約違反の自動修正
TNC は以下の点について検証します。TNC は違反を発見すると、当社の制約
に従ってネットリストを自動的に修正します。この場合、元のネットリストは
変更せずに新しいネットリストを作成します。
include 文を使ってネットリストファイルに他のネットリストを入れること
ができます。ただし、include 文で指定したファイル中にネットの書きかえ
が生じた場合にはすべてのネットリストが新しく作成されます。
♦ assign 文を使ってネットに別名を定義することは許されません。別の
言い方をすればパススルーネットは使用できないということです。ネッ
トリスト中に、assign 文による別名の定義があると、TNC は自動的
に、図 27–3、図 27–4 のようにディレイ 0 のダミーバッファを挿入して
別名を削除します。等号 (=) の左端のビット幅が右端よりも大きい場合
は、左端の余ったビットには図 27–5 のように定数 0 が割り付けられま
す。その逆に右端のビット幅が左端よりも大きい場合は、図 27–6 のよう
に右端の余ったビットは無視されます。また、ビット幅を省略すると、
TNC はネット型定義文 (wire 文など ) を参照して、ビット幅を調べ、図
27–5 または図 27–6 に示した処理を行います。ただし、TNC は信号方向
を考えず、assign 文の代入方向に従ってダミーバッファを挿入します。
そのため、同一方向性を持つ信号どうしの assign 文がある場合は、接
続が変わることがありますので注意してください。図 27–7 に接続が変
わってしまう例を示します。
図 27–3 ダミーバッファの挿入 (a)
[TNC 実行前 ]
assign a=b;
[TNC 実行後 ]
//assign a=b;
tsbDummyBuffer tsbDummyBuffer1 (a , b);
318 サインオフシステム R1.9.1 コマンドリファレンス
TNC の機能
図 27–4 ダミーバッファの挿入 (b)
[TNC 実行前 ]
wire [0:3] DATA1;
wire [0:3] DATA2;
assign DATA1[0:3]=DATA2[0:3];
[TNC 実行後 ]
tsbDummyBuffer
tsbDummyBuffer
tsbDummyBuffer
tsbDummyBuffer
tsbDummyBuffer1
tsbDummyBuffer1
tsbDummyBuffer1
tsbDummyBuffer1
(DATA1[0],
(DATA1[1],
(DATA1[2],
(DATA1[3],
DATA2[0]);
DATA2[1]);
DATA2[2]);
DATA2[3]);
図 27–5 ダミーバッファの挿入 (c)
[TNC 実行前 ]
wire [0:3] AAA;
wire [0:2] BBB;
assign AAA[0:3]=BBB[0:2];
[TNC 実行後 ]
//assign AAA[0:3]=BBB[0:2];
tsbDummyBuffer tsbDummyBuffer1
tsbDummyBuffer tsbDummyBuffer1
tsbDummyBuffer tsbDummyBuffer1
tsbDummyBuffer tsbDummyBuffer1
(AAA[0],
(AAA[1],
(AAA[2],
(AAA[3],
1’b0);
BBB[0]);
BBB[1]);
BBB[2]);
(CCC[0],
(CCC[1],
(CCC[2],
(CCC[3],
DDD[3]);
DDD[2]);
DDD[1]);
DDD[0]);
図 27–6 ダミーバッファの挿入 (d)
[TNC 実行前 ]
wire [0:3] CCC;
wire [5:0] DDD;
assign CCC[0:3]=DDD[5:0];
[TNC 実行後 ]
//assign CCC[0:3]=DDD[5:0];
tsbDummyBuffer tsbDummyBuffer1
tsbDummyBuffer tsbDummyBuffer1
tsbDummyBuffer tsbDummyBuffer1
tsbDummyBuffer tsbDummyBuffer1
サインオフシステム R1.9.1 コマンドリファレンス 319
.....
TNC (VSO/VCSSO/GEMINISO のみ )
27
TNC (VSO/VCSSO/GEMINISO のみ )
TNC の機能
図 27–7 ダミーバッファの挿入 (e)
[TNC 実行前 ]
inout A;
output Z;
assign Z=N001;
assign N001=A;
TS C001 (.Z(N001),.A(1’b1),.E(EN));
[TNC 実行後 ]
inout A;
output Z;
//assign Z=N001;
//assign N001=A;
TS C001 (.Z(N001),.A(1’b1),.E(EN);
tsbDummyBuffer tsbDummyBuffer1 (Z,N001);
tsbDummyBuffer tsbDummyBuffer2 (N001,A);
♦ ベクタネットをバスピンに接続する場合、接続定義文ではバスの幅は省
略できます。バスの幅が省略されていると、TNC は以下のようにバスの
幅を付加した接続記述に変更します。
図 27–8 バスピンの幅
[TNC 実行前 ]
module mod1(PIN1, PIN2);
.......
wire [3:0] BUS1;
wire [0:3] BUS2;
.......
submodule i1 (BUS1, BUS2);
.......
[TNC 実行後 ]
module mod1(PIN1, PIN2);
.......
wire [3:0] BUS1;
wire [0:3] BUS2;
.......
submodule i1 (BUS1[3:0], BUS2[0:3]);
.......
♦ VSO/VCSSO/GEMINISO では 1 ビット幅のバスは扱うことができません。
よって 1 ビット幅のバス記述がある場合は、1bitsw オプションを使用
してスカラネットに変換します。デフォルト値はシステムによって異な
ります。
スカラネットに変換されるのは TDGS データベー
スファイル中だけです。修正後の Verilog-HDL
ネットリストファイル中では変換されません。
320 サインオフシステム R1.9.1 コマンドリファレンス
TNC の機能
図 27–9 1 ビット幅のバス指定の例
[TNC 実行前 ]
input [1:1] A;
input [3:3] B;
wire [0:0] C;
[TNC 実行後 ]
input A;
input B;
wire C;
♦ VDD ネットと VSS ネット以外のネット、インスタンスを参照するのに階
層名は使用できません。VDD と VSS を階層的ネット名を使って記述し
ている場合は、vddname オプションと vssname オプションを使って、
グローバルな名称に変更してください ( 図 27–10 参照 )。
図 27–10 階層的電源ネット名の変更
[TNC 実行前 ]
module VSO_TOP(BUSC_0_, BUSC_1_, BUSC_2_, BUSC_3_,
BUSC_4_, BUSC_5_, BUSC_6_, BUSC_7_);
inout BUSC_0_, BUSC_1_, BUSC_2_, BUSC_3_, BUSC_4_,
BUSC_5_, BUSC_6_, BUSC_7_ ;
THROUGH I9(BUSA_6_, BUSA_7_, BUSA_0_, BUSA_1_, BUSA_2_,
BUSA_3_, BUSA_4_, BUSA_5_, BUSB_6_, BUSB_7_, BUSB_0_,
BUSB_1_, BUSB_2_, BUSB_3_, BUSB_4_, BUSB_5_);
IOARRAY I8(BUSB_0_, BUSB_1_, BUSB_2_, BUSB_3_, BUSB_4_,
BUSB_5_, BUSB_6_, BUSB_7_, BUSC_0_, BUSC_1_, BUSC_2_,
BUSC_3_, BUSC_4_, BUSC_5_, BUSC_6_, BUSC_7_, BUSA_0_,
BUSA_1_, BUSA_2_, BUSA_3_, BUSA_4_, BUSA_5_, BUSA_6_,
BUSA_7_, cds_globals.global_1,
cds globals.global_1, vdd, vdd, cds_globals.global_0
cds_globals.global_0, BUSD_6_, BUSD_6_);
endmodule
階層的電源ネット名
↓
[TNC 実行後 ]
module VSO_TOP(BUSC_0_, BUSC_1_, BUSC_2_, BUSC_3_,
BUSC_4_, BUSC_5_, BUSC_6_, BUSC_7_);
supply1 NC_1_;
電源定義
supply0 NC_0_;
inout BUSC_0_, BUSC_1_, BUSC_2_, BUSC_3_, BUSC_4_,
BUSC_5_, BUSC_6_, BUSC_7_;
THROUGH I9(BUSA_6_, BUSA_7_, BUSA_0_, BUSA_1_, BUSA_2_,
BUSA_3_, BUSA_4_, BUSA_5_, BUSB_6_, BUSB_7_, BUSB_0_,
BUSB_1_, BUSB_2_, BUSB_3_, BUSB_4_, BUSB_5_);
IOARRAY I8(BUSB_0_, BUSB_1_, BUSB_2_, BUSB_3_, BUSB_4_,
BUSB_5_, BUSB_6_, BUSB_7_, BUSC_0_, BUSC_1_, BUSC_2_,
BUSC_3_, BUSC_4_, BUSC_5_, BUSC_6_, BUSC_7_, BUSA_0_,
BUSA_1_, BUSA_2_, BUSA_3_, BUSA_4_, BUSA_5_, BUSA_6_,
BUSA_7_, NC_1_, NC_1_, vdd, vdd, NC_0_,
NC_0_, BUSD_6_, BUSD_6_);
endmodule
サインオフシステム R1.9.1 コマンドリファレンス 321
.....
TNC (VSO/VCSSO/GEMINISO のみ )
27
TNC (VSO/VCSSO/GEMINISO のみ )
入出力ファイル
入出力ファイル
..................................................
図 27–11 に TNC の入出力ファイルを示します。
図 27–11 TNC の入出力ファイル
Verilog-HDL
ネットリストファイル
ネットリスト
指定ファイル
input
任意
TNC
バイナリ形式
tdgs
top
tnclst
TDGS データ
ベースファイル
TSC で使用
実行リスティング
ファイル
DVER
IOPARAM
DCAL
SRA
TOGMRG
NETMOD
PNA
TST
TDGS2TDGS
で使用
Verilog-HDL
ネットリスト
ファイル
ネットリストを
変更したときのみ
任意
tsthead
tstpat
wavconf
TSG で使用
入力ファイル
TNC の入力ファイルは以下のとおりです。
♦ Verilog-HDL ネットリストファイル
TNC の入力ファイルは Verilog-HDL 形式のネットリストファイルです。
ネットリストファイルは複数のファイルに分割されていてもかまいませ
ん。ネットリストファイルの名前はコマンドラインで指定します。
♦ ネットリスト指定ファイル ( 任意 )
コマンドラインで指定するかわりに、ネットリストファイルの一覧を、
ファイルで作成することができます。
322 サインオフシステム R1.9.1 コマンドリファレンス
TNC の実行方法
出力ファイル
TNC の出力ファイルは以下のとおりです。
♦ 回路名 .tdgs
当社独自のデザインデータベースファイル (TDGS データベースファイ
ル ) です。
♦ 回路名 .top
TOP ファイル ( 最上位モジュールファイル ) です。外部ピン情報が出力
されます。TSC の入力ファイルとして使います。
♦ 回路名 .tsthead
TSTL2 のヘッダ部分のテンプレートファイルです。TSTL2 テストデータ
ファイルの I/O ピンおよびタイミングの定義部分が入ります。
♦ 回路名 .tstpat
Verilog-HDL 入力波形モジュールのテンプレートファイルです。
♦ 回路名 .wavconf ( 任意 )
TSG を使ってテストパターンを抽出するときに、入出力ピンのサンプリ
ングポイントを指定するためのファイルです。WAVCONF ファイルにつ
いては「31章 TSG」を参照してください。
♦ < 入力ファイル名 >_tsb
当社の制約に従って修正された Verilog-HDL ネットリストファイルです。
新しくネットリストファイルが作成された場合は、
TNC 以降のプログラムを実行する際に、かならず
それを入力ファイルとして使ってください。
♦ 回路名 .tnclst
実行リスティングファイルです。
TNC の実行方法
..................................................
この項では TNC のシンタックスとオプションについて説明します。
ネットリスト指定ファイル
回路が多くのネットリストで構成されている場合、いちいちコマンドライン
で指定するかわりに、ネットリスト指定ファイル中に列挙することができま
す。ファイル名は任意です。図 27–12、図 27–13 にファイルの例を示します。
サインオフシステム R1.9.1 コマンドリファレンス 323
.....
TNC (VSO/VCSSO/GEMINISO のみ )
27
TNC (VSO/VCSSO/GEMINISO のみ )
TNC の実行方法
図 27–12 Verilog-HDL ネットリスト指定ファイルの例 (a)
//This is a comment.
DEMO.v ADRCNT.v DATCMP.v DCTST.v FLIP4.v GETDAT.v
MKCODE.v MKFLAGER.v SEGGENER.v SEG7ER.v
図 27–13 Verilog-HDL ネットリスト指定ファイル の例 (b)
//This is a comment.
../hdl/DEMO.v
../hdl/ADRCNT.v
../hdl/DATCMP.v
../hdl/DCTST.v
../hdl/FLIP4.v
../hdl/GETDAT.v
../hdl/MKCODE.v
../hdl/MKFLAGER.v
../hdl/SEGGENER.v
../hdl/SEG7ER.v
ダブルスラッシュ (//) 以降はコメントとみなされます。ファイル名は絶対パ
ス名で指定するか、ドット (.) やダブルドット (..) を使って相対パス名で指定し
ます。チルデ (~) は使えません。このファイルは UNIX の ls コマンドの結果
をファイルにリダイレクトすることで簡単に作成できます。以下のように入力
してください。
ls *.v > DEMO.hdllist
シンタックス
TNC を実行するには、UNIX のシェルプロンプトで以下のコマンドを入力し
ます。
vetnc HDL ファイル ... オプション ...
ここで、
「HDL ファイル」は TNC でチェックする Verilog-HDL ネットリスト
ファイルの名前です。
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *TNC 部分にも記述できます。これらのオプションについては「付
録 A コンフィグレーションファイル」を参照してください。
simulator = [VERILOG|VCS|GEMINI]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
324 サインオフシステム R1.9.1 コマンドリファレンス
TNC の実行方法
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
design = 回路名
toplevel = [CHIP|FUNCTION]
{tdgs|tdgsdir|tdgsext} = ファイル名
paragraph = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------head = 接頭語
tail = 接尾語
{tsthead|tstheaddir|tstheadext} = ファイル名
idlength = 最大文字数
msout = [ON|OFF]
*TNC に分類されるオプション
以下は TNC で使用するオプションであり、tsb.config ファイルの *TNC
部分に記述できます。
errchkonly = [ON|OFF]
vddname = "VDD ネット ..."
vssname = "VSS ネット ..."
inputfile = ファイル名
outdir = パス名
outext = 拡張子
1bitsw = [ON|OFF|ERR]
tdgsout = [ON|OFF]
dwdet = " デザインワーニングナンバー ..."
{list|listdir|listext} = ファイル名
maxerror = エラー数
headout = [ON|OFF]
wavout = [ON|OFF]
{wavconf|wavconfdir|wavconfext} = ファイル名
patout = [ON|OFF]
{tstpat|tstpatdir|tstpatext} = ファイル名
topout = [ON|OFF]
{top|topdir|topext} = ファイル名
overwrite_template = [ON|OFF]
オプション
以下に *TNC に分類されるオプションについて説明します。
errchkonly = [ON|OFF]
ON を指定すると、制約チェックだけを実行します。当社の
制約に従った新しいネットリストファイルは作成しません。
サインオフシステム R1.9.1 コマンドリファレンス 325
.....
TNC (VSO/VCSSO/GEMINISO のみ )
27
TNC (VSO/VCSSO/GEMINISO のみ )
TNC の実行方法
vddname = "VDD ネット ..."
出力ネットリスト中の supply1 文で使用する VDD ネット
のグローバル名を指定します。複数指定する場合は空白で
区切ります。また、コマンドラインから指定する場合は
「"」で囲んでください(tsb.config ファイル中に記述す
る場合は不要)
。
vssname = "VSS ネット ..."
出力ネットリスト中の supply0 文で使用する VSS ネット
のグローバル名を指定します。複数指定する場合は空白で
区切ります。また、コマンドラインから指定する場合は
「"」で囲んでください(tsb.config ファイル中に記述す
る場合は不要)
。
inputfile = ファイル名
入力 Verilog-HDL ネットリストファイルを列挙したファイル
を指定します。
outdir = パス名
出力 Verilog-HDL ネットリストファイルを格納するディレク
トリのパス名を指定します。
outext = 拡張子
出力 Verilog-HDL ネットリストファイルの拡張子を指定しま
す。デフォルトは _tsb です。
1bitsw = [ON|OFF|ERR]
ON
ネットリスト中に1ビット幅のバス記述が存在する
場合に、スカラネットに変換します (Verilog-XL、
Gemini のデフォルト )。
OFF
変換しません (VCS のデフォルト )。
ERR
エラーメッセージを出力します。
スカラネットに変換されるのは TDGS データベー
スファイル中だけです。修正後の Verilog-HDL
ネットリストファイル中では変換されません。
tdgsout = [ON|OFF]
ON を指定すると TDGS データベースファイルを生成します。
OFFを指定するとネットリストのチェックおよび新しいネッ
トリストの生成のみ行います。
dwdet = " デザインワーニングナンバー ..."
指定した番号のデザインワーニングの出力を抑制します。
ただし、リスティングファイルのエラーカウントリストに
はカウントされます。複数指定する場合は空白で区切りま
す。またコマンドラインから指定する場合は dwdet="3014
3023" のように「"」で囲んでください (tsb.config ファ
イル中に記述する場合は不要)。
326 サインオフシステム R1.9.1 コマンドリファレンス
TDGS データベースファイルの分割生成
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。
maxerror = エラー数
TNC が処理を中断する、エラー数の上限を指定します。エ
ラー数には、0 以上の整数を指定します。デフォルトは
「-1」で、中断せずに処理を実行します。
headout = [ON|OFF]
TSTHEAD ファイルを出力するかどうかを指定します。
wavout = [ON|OFF]
WAVCONF ファイルを出力するかどうかを指定します。
{wavconf|wavconfdir|wavconfext} = ファイル名
WAVCONF ファイルの名前を指定します。
patout = [ON|OFF]
TSTPAT ファイルを出力するかどうかを指定します。
{tstpat|tstpatdir|tstpatext} = ファイル名
TSTPAT ファイルの名前を指定します。
topout = [ON|OFF]
TOP ファイルを出力するかどうかを指定します。
{top|topdir|topext} = ファイル名
TOP ファイルの名前を指定します。
overwrite_template = [ON|OFF]
TOP ファイル、TSTHEAD ファイル、TSTPAT ファイル、
WAVCONF ファイルがすでに存在する場合、これらのファ
イルを上書きするかどうか指定します。
実行例
以下に TNC の実行例を示します。
vetnc DEMO.net vddname="NC_1" vssname="NC_0"
TDGS データベースファイルの分割生成
..................................................
概要
TNC 実行時にメモリ容量が不足している場合、TDGS データベースファイル
を分割して生成すると、使用メモリを削減できます。TDGS データベースファ
イルを分割生成するには、分割する階層ごとにネットリストを作成します。そ
して、PARTISINFO ファイルに分割する階層ごとのネットリストの情報を記述
します。
サインオフシステム R1.9.1 コマンドリファレンス 327
.....
TNC (VSO/VCSSO/GEMINISO のみ )
27
TNC (VSO/VCSSO/GEMINISO のみ )
TDGS データベースファイルの分割生成
図 27–14 に TDGS データベースファイルを分割生成するときの TNC の入出
力ファイルを標準の場合と比較して示します。
図 27–14 TNC の入出力ファイル (TDGS データベースファイルの分割生成 )
(a) 標準の場合
(b) TDGS データベースファイルを分割生成する場合
a
e
a
b
c
d
f
g
h
i
A.hdl
e
a.hdl
b
c
d
f
g
h
b.hdl
c.hdl
i
d.hdl
a.partsinfo
TNC
A.tdgs
% vetnc A.hdl
% vetnc
TNC
A.top
DVER
a.partsdb
a.tdgs
b.tdgs
c.tdgs
d.tdgs
a.top
b.top
c.top
d.top
DVER
デフォルトでは、最上位モジュールの TDGS データベースファイルと TOP
ファイルが実行ディレクトリに生成されます。また、すべてのサブモジュール
の TDGS データベースファイルは parts というサブディレクトリに格納され
ます。各サブモジュールの TOP ファイルは「モジュール名 .top2」という名
前になります。TOP2 ファイルも parts というサブディレクトリに格納されま
す。
TDGS データベースファイルを入力ファイルとするプログラムは、
PARTISINFO ファイル中の内容に基づいて、分割された TDGS データベース
ファイルの情報をマージして読み込みます。これにより、従来通りプログラム
を実行できます。
制約事項
♦ テキストエディタを使って PARTISINFO ファイルを生成しなければなり
ません。
328 サインオフシステム R1.9.1 コマンドリファレンス
TDGS データベースファイルの分割生成
♦ TDGS データベースファイルを分割する場合、最上位モジュールを含む
階層からみて 1 段目、つまり最上位モジュールを含む階層とその階層の
すぐ下の階層レベルで分割します。
♦ 分割した TDGS データベースファイルは個々に独立していなければなり
ません。
•
図 27–14 に示すように、b.hdl というネットリストファイルはモ
ジュール e とモジュール f を参照します。この場合、参照されるモ
ジュール (e と f) はモジュール b のネットリストファイル中で定義さ
れていなければなりません。定義されていない場合、TNC で未定義
エラーになります。
•
モジュール e、f はモジュール b 以外から参照されてはいけません。
•
1 つのモジュールについて 2 つ定義して、それらのピン定義が同じ場
合、TNC を実行できます。しかし、どちらの定義が採用されるかは
不明です。また、シミュレータでは 2 重定義として扱われます。
♦ 分割する階層のモジュール名は、ファイル名などに使用するため、特殊
文字やディレクトリ名を含んでいてはなりません。
PARTI SINFO ファイル
図 27–15 に PARTISINFO ファイルのフォーマットを示します。
図 27–15 PARTISINFO ファイルのフォーマット
TOP = 最上位モジュール名 HDL ファイル名 , ...
BLOCK = サブモジュール名 HDL ファイル名 , ...
...
♦ TOP 文は 1 つだけ記述します。
♦ BLOCK 文は複数記述できます。
♦ ファイル名は相対パス、絶対パスのどちらでも指定できます。チルデ (~)
は使えません。
♦ ファイル名を省略すると、デフォルトで ./< モジュール名 >.ver が指定
されたものとみなされます。
図 27–16 に PARTISINFO ファイルの例を示します。
図 27–16 PARTISINFO ファイルの例
TOP=a a.hdl
BLOCK=b ./b.hdl
BLOCK=c ./c.hdl
BLOCK=d ./d.hdl
サインオフシステム R1.9.1 コマンドリファレンス 329
.....
TNC (VSO/VCSSO/GEMINISO のみ )
27
TNC (VSO/VCSSO/GEMINISO のみ )
実行リスティングファイル
実行方法
TNC で TDGS データベースファイルを分割生成するには、PARTISINFO ファ
イルを作成し、UNIX のシェルプロンプトで以下のコマンドを入力します。こ
のとき、入力ファイルを引数やオプションで指定できません。それ以外のオプ
ションを指定するのはかまいません。
vetnc
TNC は PARTISINFO ファイルを参照して、TDGS データベースファイルを分
割生成します。
オプション
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。
partsdir = パス名
分割生成されたすべてのサブモジュールの TDGS データ
ベースファイルと TOP2 ファイルを格納するディレクトリを
指定します。デフォルトは parts です。
{partsinfo|partsinfodir|partsinfoext} = ファイル名
TNC の入力ファイルとなる PARTISINFO ファイルの名前を
指定します。
実行リスティングファイル
..................................................
図 27–17 に実行リスティングファイルの例を示します。このファイルには実
行中に出力されたすべてのメッセージが入ります。メッセージにはワーニング
やエラーが検出されたファイルの名前および行番号が付加されます。
最後の行のメッセージは入力ネットリスト「DEMO_GA.v」中で制約違反が発
見され、新しいネットリスト「DEMO_GA.v_tsb」が作成されたことを示しま
す。
図 27–17 TNC の実行リスティングファイルの例 ( 回路名 .tnclst)
************************************************************************
*
Toshiba New Delay Model System
*
*
Toshiba S/O System for Solaris Release 1.9.1
*
*
Toshiba VERILOG S/O System for Solaris Release 1.9.1
*
*
TC260ET Library (TSBVLIBP) Release 1.9.1
*
*
*
TC260ET Library (TDOBJ) Release 1.9.1
Toshiba layout i/f System
330 サインオフシステム R1.9.1 コマンドリファレンス
Release 1.9.1
*
*
実行リスティングファイル
*
(c) Copyright Toshiba Corporation, since 1994.
*
*
All rights reserved.
*
************************************************************************
Array type(T8H00TT5) is STANDARD MASTER.
..Design Warning tnc-3014 THROUGH NET DEFINED BY assign STATEMENT NOT ALLOWED.
INSERT DUMMY BUFFER AND DELETE THROUGH NET.
FILE DEMO_GA.v
LINE 137
..Design Warning tnc-3023 Too few module port connections. Instance (OC001).
FILE DEMO_GA.v LINE 191
..Design Warning tnc-3023 Too few module port connections. Instance (OC002).
FILE DEMO_GA.v LINE 165
..Design Warning tnc-3023 Too few module port connections. Instance (OC003).
FILE DEMO_GA.v LINE 150
tnc-5005 TDGS file (./DEMO_GA.tdgs) generating completed.
tnc-5002 Netlist is modified( DEMO_GA.v -> ./DEMO_GA.v_tsb ).
TDGS file was generated from modified net.
So, you should use its modified net from now on.
tnc-5001 Toshiba Netlist Check Program(TNC) run completed.
入力ネットリスト「DEMO_GA.v」中で
制約違反が発見され、新しいネットリス
ト「DEMO_GA.v_tsb」が作成されたこ
とを示します。
<<MODULE INFORMATION (DEMO_GA) >>
Module name
TYPE
- -------------------------------- ---------------TLCHTHIF
CELL
BD4CU
CELL
B4
CELL
DEMO_GA
USER MACRO
ALU
USER MACRO
DECO
USER MACRO
EX273
USER MACRO
GFD2X2
CELL
GIVX4
CELL
GND3X4
CELL
GND2X4
CELL
GND4X4
CELL
GEOX2
CELL
GND6X2
CELL
SBT4
CELL
SBD4CU
CELL
GCLBDIFX2
CELL
STLCHTHIF
CELL
TDGS データベースファイル作成時に参
照されたモジュールの一覧を示します。
サインオフシステム R1.9.1 コマンドリファレンス 331
.....
TNC (VSO/VCSSO/GEMINISO のみ )
27
TNC (VSO/VCSSO/GEMINISO のみ )
実行リスティングファイル
GCLNDX2
CELL
************************** TNC EXECUTION LOG
Program version:
TNC
Userid
:
verisrc
Module
:
DEMO_GA
Technology
:
TC260ET
Master type
:
T8H00TT5
EDA version
:
2.7
Library type
:
Time precision :
1 [ns]
Option
:
none
Process
:
Condition
:
VERSION (3.35)
input file = DEMO_GA.v
:
errchkonly = off
:
tdgsout = on
:
supplyerror = on
:
msout = on
:
delfile = on
:
toplevel = chip
:
list =
:
listdir = .
:
listext = tnclst
:
design = DEMO_GA
:
module = DEMO_GA
:
arraychk = STD
:
outdir = .
:
outext = _tsb
:
vddname =
:
vssname =
:
dwdet =
:
maxerror = -1
:
tdgs file = ./DEMO_GA.tdgs
:
head = B
:
tail =
:
idlength = 40
:
overwrite_template = on
:
tstopctl = on
:
wavout = off
:
headout = on
:
patout = on
:
topout = on
:
tsthead file = ./DEMO_GA.tsthead
:
tstpat file = ./DEMO_GA.tstpat
:
top file = ./DEMO_GA.top
>> TDGS FILE <<
USER
**************************
:./DEMO_GA.tdgs
>> LDDL2 MASTER FILE <<
332 サインオフシステム R1.9.1 コマンドリファレンス
実行リスティングファイル
:/project/tmceel/usr3/veripkg/XLpkg/R191/toshiba_common/lib_Solaris/TC260ET/l
ddl2/1.5/TC260ET.master
>> Execution time <<
Start time
:
Fri Dec 17 19:04:02 1999
End time
:
Fri Dec 17 19:04:11 1999
CPU time
:
00:00:04.41
>> Configuration file <<
File name : ./tsb.config
*******************
Error message count table
COMMON
*******************
TNC
: Message level
= (
1 )
(
3 )
: Warning level
= (
0 )
(
0 )
: Error
level
= (
0 )
(
0 )
: Fatal
level
= (
0 )
(
0 )
: System
level
= (
0 )
(
0 )
: Design Warning = (
0 )
(
4 )
: Design Error
0 )
(
0 )
***************************
= (
END OF LOG
**************************
サインオフシステム R1.9.1 コマンドリファレンス 333
.....
TNC (VSO/VCSSO/GEMINISO のみ )
27
TNC (VSO/VCSSO/GEMINISO のみ )
実行リスティングファイル
334 サインオフシステム R1.9.1 コマンドリファレンス
TOG・TOGMRG
.....
....................................
28
この章では TOG・TOGMRG について以下のことを説明します。
♦ TOG・TOGMRG の機能
♦ TOG・TOGMRG の入出力ファイル
♦ エレクトロマイグレーションを考慮したドライブチェック
♦ TOG の実行方法
♦ TOGMRG の実行方法
♦ 実行リスティングファイル
♦ 補足説明
TOG・TOGMRG の機能
..................................................
TOG の機能は 2 つあります。
♦ シミュレーション中に回路内のすべての信号をモニタし、各信号が論理
値 0 と論理値 1 に動かされているかどうかチェックします。これを活性
化検査またはトグルチェックといいます。活性化とは信号が 0 または X
から 1、1 または X から 0 への変化を意味します。実行リスティング
ファイルにはネットの活性化率と活性化しなかったネットの識別名が示
されます。
♦ シミュレーション中の動作周波数を考慮したドライブチェックを行いま
す (frequency=ON)。
VITALSO を使用する場合、TOG の入力ファイルと
なるシミュレーション結果ファイルを得るための
TRACE ファイルを生成するには、TRACEGEN を
実行するときに tog=ON を指定してください。
TOGMRG の機能は 3 つあります。
♦ 複数のテストパターンファイルに対する活性化検査の結果をマージしま
す (togmrgsw=ON)。TOGMRG による活性化検査のマージ例を図 28–1 に
示します。
♦ 指定されたすべてのテストパターンファイル中から指定された数で、最
大の活性化率を得られる組み合わせを求められます (selectsw=ON)。
サインオフシステム R1.9.1 コマンドリファレンス 335
28
TO G・ TOG M R G
TOG・TOGMRG の入出力ファイル
♦ 複数の COMFRQOUT ファイルを読み込み、そのすべての条件の動作周波
数を考慮したドライブチェックを行います。さらに、すべての条件を
マージした EMMERG ファイルを生成します (emmergsw=ON)。
図 28–1 TOGMRG による活性化検査のマージ例
信号 A
信号 B
信号 C
信号 D
信号 E
活性化率
0
0
0
0
0
4/10=40%
テストパターン 1
1
1
1
1
1
信号 A
信号 B
信号 C
信号 D
信号 E
0
0
0
0
0
テストパターン 2
1
1
1
1
1
信号 A
信号 B
信号 C
信号 D
信号 E
0
0
0
0
0
6/10=60%
マージすると
8/10=80%
1
1
1
1
1
○は活性化したことを示す
VSO/VCSSO では、TOG はタスクとして実現されているプログラムです。
TOG・TOGMRG の入出力ファイル
..................................................
この項では TOG、TOGMRG の入出力ファイルについて説明します。
TOG の入出力ファイル
図 28–2 に TOG の入出力ファイルを示します。
336 サインオフシステム R1.9.1 コマンドリファレンス
TOG・TOGMRG の入出力ファイル
図 28–2 TOG の入出力ファイル
comfrq
インスタンス
指定
TDGS データ
ベースファイル
togcom
. テスト識別子
tdgs
シミュレーション
結果ファイル
vcd. テスト識別子
ow. テスト識別子
vcd2. テスト識別子
**
任意
*
***
Verilog シミュレータ
TOG
tognamlst
TOGMRG で使用
tg
. テスト識別子
comfrqout
. テスト識別子
バイナリ形式
活性化検査結果
TOGMRG で使用
toglst
. テスト識別子
実行リスティング
ファイル
TOGMRG で使用
*
読み込むファイルの形式は決められています。VSO/VCSSO の場合、必要ありません。
** GEMINISO/VITALSO/VOYSO の場合、必要です。
*** VSO/VCSSO の場合、シミュレータと相互にデータをやりとりします。
TOG の入力ファイル
TOG の入力ファイルは以下のとおりです。
♦ 回路名 .tdgs
TDGS データベースファイルです。GEMINISO/VITALSO/VOYSO の場合
に必要です。
♦ 回路名 .togcom [. テスト識別子 ] ( 任意 )
指定した任意のインスタンスより下の階層の活性化検査を行いたいとき
にインスタンス名を指定するファイルです。ファイルのシンタックスに
ついては 342 ページの「TOGCOM ファイル」を参照してください。
♦ 回路名 .vcd [. テスト識別子 ]
シミュレーション結果を VCD 形式で保存したファイルです。Gemini、
ModelSim、Leapfrog、Voyager で生成されます。これらのシミュレータを
使用する場合に必要です。
♦ 回路名 .ow [. テスト識別子 ]
シミュレーション結果を WIF 形式で保存したファイルです。VSS で生成
されます。VSS を使用する場合に必要です。
サインオフシステム R1.9.1 コマンドリファレンス 337
.....
TO G ・ TO G M R G
28
TO G・ TOG M R G
TOG・TOGMRG の入出力ファイル
♦ 回路名 .vcd2 [. テスト識別子 ]
シミュレーション結果を VCD 形式で保存したファイルです。Gemini で
生成されます。
Gemini を使うと VCD 形式のシミュレーション結
果ファイルが 2 つ生成されます。TOG を実行する
とき、この 2 つのファイルを入力ファイルとして
読み込みます。
♦ 回路名 .comfrq
DVER の入力ファイルとして使う COMFRQ ファイルと同じファイルで
す。TOG はセルの出力ピンの駆動能力をシミュレーション中の平均ス
イッチング周波数をもとに補正します。このファイルはアルミ配線にお
けるエレクトロマイグレーションを考慮したドライブチェックを実行す
るときに使用されます。COMFRQ ファイルのシンタックスについては、
340 ページの「エレクトロマイグレーションを考慮したドライブチェッ
ク」を参照してください。
TOG の出力ファイル
TOG の出力ファイルは以下のとおりです。
♦ 回路名 .tognamlst
活性化検査の対象となるネットの名前が記録されているバイナリ形式の
ファイルです。このファイルは TOGMRG の入力ファイルとして使いま
す。TOGNAMLST ファイルがすでにある場合、TOG は force=ON を指
定しない限りファイルを作成しなおしません。TOGNAMLST ファイルを
作成し直した場合、それ以前の TG ファイルは TOGMRG で使用できま
せん。
♦ 回路名 .tg [. テスト識別子 ]
活性化検査の結果が入っているバイナリ形式のファイルです。このファ
イルは TOGMRG の入力ファイルとして使います。TOGNAMLST ファイ
ルを作成し直した場合、それ以前の TG ファイルは TOGMRG で使用で
きません。
♦ 回路名 .comfrqout [. テスト識別子 ]
内容は入力ファイルの COMFRQ ファイルと同じですが、自動的にサー
チされたクロック信号名およびデータ信号名とそれらの信号の動作周波
数 ( シミュレーション結果から計算された平均周波数 ) が追加されてい
ます。
♦ 回路名 .toglst [. テスト識別子 ]
実行リスティングファイルです。活性化検査の結果が入っているユー
ザー参照用の ASCII ファイルです。活性化率および活性化されなかった
ネットの一覧が記録されています。
338 サインオフシステム R1.9.1 コマンドリファレンス
TOG・TOGMRG の入出力ファイル
TOGMRG の入出力ファイル
図 28–3 に TOGMRG の入出力ファイルを示します。
図 28–3 TOGMRG の入出力ファイル
TDGS データ
ベースファイル
tdgs
TOG で生成
comfrqout
frqlst
*
TOG で生成
TOG で生成
tg
. テスト識別子
tognamlst
togmrgcom
バイナリ形式
活性化検査結果
TOGMRG
togmrglst
emmerg
実行リスティング
ファイル
マージ後の
COMFRQOUT ファイル
DVER、
TOG で使用
* emmergsw=ON の場合、必要です。
TOGMRG の入力ファイル
TOG で生成された TOGNAMLST ファイル、TG ファイル、COMFRQOUT
ファイルのほかに以下の入力ファイルが必要です。
♦ 回路名 .togmrgcom
TOGMRG でマージすべき TG ファイル ( 回路名 .tg [. テスト識別子 ] )
の名前を列挙したファイルです。テキストエディタを使って、ユーザー
が作成しなければなりません。ファイルのシンタックスについては、347
ページの「TOGMRG の実行方法」を参照してください。
サインオフシステム R1.9.1 コマンドリファレンス 339
.....
TO G ・ TO G M R G
28
TO G・ TOG M R G
エレクトロマイグレーションを考慮したドライブチェック
♦ 回路名 .frqlst
このファイルには、マージすべき COMFRQOUT ファイル ( 回路名
.comfrqout [. テスト識別子 ]) を列挙します。ファイルのシンタックス
については、347 ページの「TOGMRG の実行方法」を参照してください。
♦ 回路名 .tdgs
TNC または TVHDL で作成した TDGS データベースファイルです。
emmergsw=ON ( デフォルト ) のときに読み込みます。
TOGMRG の出力ファイル
TOGMRG の出力ファイルは以下のとおりです。
♦ 回路名 .togmrglst
実行リスティングファイルです。活性化率をマージした解析結果やドラ
イブチェックの結果が入っています。
♦ 回路名 .emmerg
マージされた COMFRQOUT ファイルです。FRQLST ファイルで指定さ
れたすべての COMFRQOUT ファイルのデフォルトのサイクルと、信号
ごとの動作周波数が出力されます。複数の入力ファイル中に、同一の信
号が指定されているときは、そのうちの最大値が出力されます。
エレクトロマイグレーションを考慮したドライブチェック
..................................................
回路の微細化が進むにつれて、エレクトロマイグレーションという現象が配
線のショートやオープンの潜在的な原因になるため問題になってきました。エ
レクトロマイグレーションとは直流電流に誘導される電子の動きのことです。
その規模は主に電流密度によって決まり、電流密度は駆動力に関係していま
す。サブミクロンからディープサブミクロンレベルの集積度になると、エレク
トロマイグレーションは主に配線の電流容量に影響します。エレクトロマイグ
レーションは時間の経過とともに発生しはじめ、通常、デバイスを長い時間実
使用するまで顕現しません。故障時間は電流密度と温度の関数として表されま
す。
エレクトロマイグレーションを防止するために、主に膨大な負荷を高速で駆
動するクロック信号を考慮しなければなりません。高速でスイッチングする信
号の負荷と、動作周波数にもとづいて制限します。
回路中の信号の動作周波数を指定するのに、COMFRQ ファイルを使います。
COMFRQ ファイルは DVER の入力で使用されるファイルと同じです。
340 サインオフシステム R1.9.1 コマンドリファレンス
エレクトロマイグレーションを考慮したドライブチェック
COMFRQ ファイルのデフォルト名は「回路名 .comfrq」です。他の名前を
使いたいときは、comfrq、comfrqdir、comfrqext オプションでファイル
名を指定できます。
COMFRQ ファイルのシンタックスは以下のとおりです。
JUNC_TEMP_AVE < 温度 > ;
GUARANTEE < 使用年数 > ;
VOLTAGE_AVE < 製品電圧 > ;
EXT_CLK_FREQUENCY < 外部クロック周波数 > ;
INT_CLK_FREQUENCY < 内部クロック周波数 > ;
DEFAULT_CYCLE < デフォルト動作周波数 > ;
CYCLE < 動作周波数 > < 信号名リスト > ;
...
PATHSTART < 動作周波数 > < 信号名 > ;
PATHEND < 動作周波数 > < 信号名 > ;
♦ 各文はセミコロン ( ; ) で終了します。
♦ 動作周波数はすべて MHz 単位の整数または実数で指定します。
♦ キーワードとパラメータは1つ以上の空白またはタブで区切ります。
♦ コメントは /* で始めて */ で終わらせます。
♦ JUNC_TEMP_AVE、GUARANTEE、VOLTAGE_AVE、
EXT_CLK_FREQUENCY は必須項目です。
♦ DEFAULT_CYCLE は基本の動作周波数を指定します。
♦ CYCLE は検査対象の信号名とその動作周波数を指定します。任意の数だ
け記述できます。
♦ PATHSTART は指定された信号を起点に順方向に、PATHEND は逆方向に
信号を探索し、見つかった信号を検査対象とします。任意の数だけ記述
できます。
TOG・TOGMRG によるドライブチェックの対象になる信号を以下に示しま
す。
♦ COMFRQ ファイル中の CYCLE、PATHSTART、PATHEND 文に指定した信
号
♦ F/F、ラッチのクロックピンに接続されるすべての信号
♦ F/F、ラッチのデータピンに接続されるすべての信号
ドライブチェック時に使用される周波数は以下の順番で決定されます。
1. CYCLE に指定した信号は CYCLE 文で指定した周波数の値
サインオフシステム R1.9.1 コマンドリファレンス 341
.....
TO G ・ TO G M R G
28
TO G・ TOG M R G
TOG の実行方法
2. PATHSTART、PATHEND に指定した信号は PATHSTART 文、PATHEND 文で
指定した周波数の値
3. F/F、ラッチのクロックピンに接続される信号は、INT_CLK_FREQUENCY に
指定していれば INT_CLK_FREQUENCY 文で指定した周波数の値、指定して
いなければ EXT_CLK_FREQUENCY 文で指定した周波数の値
4. F/F、ラッチのデータピンに接続される信号は、INT_CLK_FREQUENCY に指
定していれば INT_CLK_FREQUENCY 文で指定した周波数の 1/4 の値、指定
していなければ EXT_CLK_FREQUENCY 文で指定した周波数の 1/4 の値
図 28–4、図 28–5 に COMFRQ ファイルの例を示します。
図 28–4 COMFRQ ファイルの例 (VSO/VCSSO/GEMINISO の場合 )
JUNC_TEMP_AVE
80.0;
GUARANTEE
10;
VOLTAGE_AVE
3.3;
EXT_CLK_FREQUENCY 100;
INT_CLK_FREQUENCY 120;
CYCLE 100.0 .MAINCLOCK,
CYCLE 125.0 .SUBCLOCK2;
.SUBCLOCK1;
図 28–5 COMFRQ ファイルの例 (VITALSO/VOYSO の場合 )
JUNC_TEMP_AVE
80.0;
GUARANTEE
10;
VOLTAGE_AVE
3.3;
EXT_CLK_FREQUENCY 100;
INT_CLK_FREQUENCY 120;
CYCLE 100.0 /MAINCLOCK,
CYCLE 125.0 /SUBCLOCK2;
/SUBCLOCK1;
TOG の実行方法
..................................................
この項では TOG のシンタックスとオプションについて説明します。
TOGCOM ファイル
任意の階層に対して活性化検査を行う場合、検査を行いたいインスタンス名
をこのファイルに記述します。デフォルトのファイル名は「回路名 .togcom」
です。TOGCOM ファイルがない場合は、回路全体について活性化検査を行い
ます。
図 28–6 TOGCOM ファイルの例 (VSO/VCSSO/GEMINISO の場合 )
//This is a comment.
.I0061
.I001.A002
342 サインオフシステム R1.9.1 コマンドリファレンス
TOG の実行方法
図 28–7 TOGCOM ファイルの例 (VITALSO/VOYSO の場合 )
//This is a comment.
/I0061
/I001/A002
タブルスラッシュ (//) 以降はコメントとみなします。インスタンス名は階層
名 ( フルパス名 ) で指定します。インスタンス名は 1 行に 1 つのみ記述します。
ワイルドカード (*) は使用できません。
シンタックス
VSO/VCSSO の場合
VSO/VCSSO の場合、TOG は以下のどちらかの方法で実行します。
♦ UNIX のシェルプロンプトで以下のタスク間接コマンドを入力します。
vetog タスク間接コマンドはサインオフシミュレーションで必要なすべ
ての Verilog シミュレータのオプションを自動的に Verilog シミュレータ
へ受け渡します。詳細は「34章 VERUN (VSO/VCSSO のみ )」を参照し
てください。
vetog HDL ファイル ... Verilog シミュレータオプション ...
VSO/VCSSO オプション ...
♦ Verilog-HDL ファイルに以下のタスク実行文を記述し、Verilog シミュレー
タを起動します。
$vetog ("VSO/VCSSO オプション ",...);
HDL ファイル
シミュレーションを実行するのに必要な Verilog-HDL ファイ
ルの名前を列挙します ( ネットリストファイル、WAV ファ
イル、DRIVE ファイルなど )。
Verilog シミュレータオプション
サインオフシミュレーションで許されないオプションでな
い限り、Verilog シミュレータのプラスオプションを指定で
きます。Verilog-HDL ファイルと Verilog シミュレータオプ
ションはどちらを先に指定してもかまいません。
VSO/VCSSO オプション
VSO/VCSSO のオプションを指定できます。
サインオフシステム R1.9.1 コマンドリファレンス 343
.....
TO G ・ TO G M R G
28
TO G・ TOG M R G
TOG の実行方法
GEMINISO/VITALSO/VOYSO の場合
GEMINISO/VITALSO/VOYSO の場合、TOG を実行するには、UNIX のシェル
プロンプトで以下のコマンドを入力します。
tog オプション ...
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *TOG 部分にも記述できます。これらのオプションについては「付
録 A コンフィグレーションファイル」を参照してください。
simulator =
[VERILOG|VCS|GEMINI|MODELSIM|LEAPFROG|VSS|VOYAGER]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
rm-sdfsw = [ON|OFF] (VSO/VCSSO のみ )
{rm-sdf|rm-sdfdir|rm-sdfext} = ファイル名 (VSO/VCSSO のみ )
paragraph = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------testext = テスト識別子
{namlst|namlstdir|namlstext} = ファイル名
{comfrq|comfrqdir|comfrqext} = ファイル名
{vcd|vcddir|vcdext} = ファイル名
{wif|wifdir|wifext} = ファイル名 (VSS のみ )
{vcd2|vcd2dir|vcd2ext} = ファイル名 (GEMINISO のみ )
msout = [ON|OFF]
*TOG に分類されるオプション
以下は TOG で使用するオプションであり、tsb.config ファイルの *TOG
部分に記述できます。
frequency = [ON|OFF]
force = [ON|OFF]
output = [ON|OFF]
{togcom|togcomdir|togcomext} = ファイル名
{result|resultdir|resultext} = ファイル名
344 サインオフシステム R1.9.1 コマンドリファレンス
TOG の実行方法
{comfrqout|comfrqoutdir|comfrqoutext} = ファイル名
{list|listdir|listext} = ファイル名
オプション
以下に *TOG に分類されるオプションについて説明します。
frequency = [ON|OFF]
エレクトロマイグレーションチェックをするかどうか指定
します。デフォルトは ON です。
force = [ON|OFF]
ON を指定すると、無条件に TOGNAMLST ファイルを更新し
ます。
output = [ON|OFF]
活性化しなかったネットの一覧を出力するかどうか指定し
ます。
{togcom|togcomdir|togcomext} = ファイル名
TOGCOM ファイルの名前を指定します。
{result|resultdir|resultext} = ファイル名
TOG により作成される TG ファイル ( バイナリ形式の活性
化検査結果ファイル ) の名前を指定します。
{comfrqout|comfrqoutdir|comfrqoutext} = ファイル名
シミュレーション結果に基づく動作周波数を出力する
COMFRQOUT ファイルの名前を指定します。
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。
実行例
VSO/VCSSO の場合
以下に VSO/VCSSO の場合の TOG の実行例を示します。
♦ vetog タスク間接コマンドを使う場合
以下に vetog タスク間接コマンドの実行例を示します。
•
次のコマンドはネットリストファイルと WAV ファイルを指定してい
ます。UNIX のシェルプロンプトにおいてタスク間接コマンドにより
TOG を実行するときは、ネットリストファイル、WAV ファイル、
DRIVE ファイルなどのシミュレーションを実行するのに必要な
Verilog-HDL ファイルをすべて列挙しなくてはなりません。SDF ファ
イルのデフォルトの名前は「回路名 .esdf」または「回路名 .sdf」
です。SDF ファイルがあれば自動的に $sdf_annotate が呼び出さ
れます。
サインオフシステム R1.9.1 コマンドリファレンス 345
.....
TO G ・ TO G M R G
28
TO G・ TOG M R G
TOG の実行方法
vetog DEMO.ver DEMO.wav
•
次のコマンドは Verilog シミュレータのプラスオプション
+no_pulse_msg を指定しています。また SDF ファイルを sdf サブ
ディレクトリに探しにいきます。
vetog DEMO.ver DEMO.wav +no_pulse_msg
rm-sdfdir=./sdf
♦ $vetog タスク実行文を使う場合
TOG は Verilog シミュレータのシミュレーションとデータをやり取りし
ながら実行します。TOG は前処理と後処理の 2 段階で構成されており、
前処理は $vetog タスク実行文により起動します。前処理では回路中の
すべての信号の値の変化をモニタするために、Verilog シミュレータを
セットアップします。この段階では Verilog シミュレータのシミュレー
ション環境と相互にデータをやり取りするために Cadence 社、
Chronologic Simulation 社の PLI と VCL を利用しています。TOG の後処
理はシミュレーション終了後、自動的に起動し、活性化検査のレポート (
実行リスティングファイル ) を作成します。
以下に $vetog タスク実行文の例を示します。
$vetog ("testext=fn1") ;
図 28–8 に $vetog タスク実行文を記述した Verilog-HDL ファイルの例を
示します。
図 28–8 $vetog タスク実行文を記述した Verilog-HDL ファイルの例
‘timescale 1 ns / 10 ps
module task_ct1;
initial begin
$sdf_annotate("DEMO1.esdf", wave.DEMO1_wave);
$vetog ("testext=fn1");
end
endmodule
シミュレーション開始まえに $sdf_annotate を実
行してください。$sdf_annotate は、Verilog シ
ミュレータを起動するたびに実行しなくてはなり
ません。
GEMINISO/VITALSO/VOYSO の場合
以下に GEMINISO/VITALSO/VOYSO の場合の TOG の実行例を示します。
force オプションで ON を指定すると、無条件に TOGNAMLST ファイルを更新し
ます。
tog force=ON
346 サインオフシステム R1.9.1 コマンドリファレンス
TOGMRG の実行方法
TOGMRG の実行方法
..................................................
TOGMRG を実行するには TOGMRGCOM ファイルまたは FRQLST ファイル
を用意しなくてはなりません。
TOGMRGCOM ファイル
TOGMRGCOM ファイルはマージすべき TG ファイルの名前を列挙するファ
イルです。図 28–9 と図 28–10 に TOGMRGCOM ファイルの例を示します。
図 28–9 TOGMRGCOM ファイルの例 (a)
//This is a comment.
DEMO.tg.fn1 DEMO.tg.fn2 DEMO.tg.fn3 DEMO.tg.fn4
DEMO.tg.fn5 DEMO.tg.fn6
図 28–10 TOGMRGCOM ファイルの例 (b)
//This is a comment.
../tog/DEMO.tg.fn1
../tog/DEMO.tg.fn2
../tog/DEMO.tg.fn3
../tog/DEMO.tg.fn4
../tog/DEMO.tg.fn5
../tog/DEMO.tg.fn6
ダブルスラッシュ (//) はその行の終わりまでコメントであることを示します。
ファイルを指定するときに、相対パス名を使用できます。また、実行ディレ
クトリと実行ディレクトリのホームディレクトリを「.」
、「..」で指定できます。
チルデ (~) は許されません。
このファイルは以下のように UNIX の ls コマンドをファイルにリダイレク
トすることにより作成できます。
ls DEMO.tg.* > DEMO.togmrgcom
FRQLST ファイル
FRQLST ファイルにはマージすべき COMFRQ ファイルのファイル名を列挙
します。図 28–11 に FRQLST ファイルの例を示します。
図 28–11 FRQLST ファイルの例
//this is a comment.
DEMO.comfrqout1
DEMO.comfrqout2
DEMO.comfrqout3
コメントは行の先頭に「//」を記述します。1 行につき 1 つのファイルを記述
してください。
サインオフシステム R1.9.1 コマンドリファレンス 347
.....
TO G ・ TO G M R G
28
TO G・ TOG M R G
TOGMRG の実行方法
TOGMRG の実行方法
この項では TOGMRG のシンタックスとオプションについて説明します。
シンタックス
TOGMRG を実行するには、UNIX のシェルプロンプトで以下のコマンドを入
力します。
togmrg オプション ...
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *TOGMRG 部分にも記述できます。これらのオプションについては
「付録 A コンフィグレーションファイル」を参照してください。
simulator =
[VERILOG|VCS|GEMINI|MODELSIM|LEAPFROG|VSS|VOYAGER]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
rm-sdfsw = [ON|OFF] (VSO/VCSSO のみ )
{rm-sdf|rm-sdfdir|rm-sdfext} = ファイル名 (VSO/VCSSO のみ )
paragraph = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------{namlst|namlstdir|namlstext} = ファイル名
msout = [ON|OFF]
*TOGMRG に分類されるオプション
以下は TOGMRG で使用するオプションであり、tsb.config ファイルの
*TOGMRG 部分に記述できます。
output = [ON|OFF]
{command|commanddir|commandext} = ファイル名
selectsw = [ON|OFF]
selectfileno = ファイル数
selectgroup = [CROSS|NOCROSS]
listgroupno = 組み合わせ数
line = 行数
348 サインオフシステム R1.9.1 コマンドリファレンス
TOGMRG の実行方法
togmrgsw = [ON|OFF]
emmergsw = [ON|OFF]
{frqlst|frqlstdir|frqlstext} = ファイル名
{emmerg|emmergdir|emmergext} = ファイル名
{list|listdir|listext} = ファイル名
オプション
以下に *TOGMRG に分類されるオプションについて説明します。
output = [ON|OFF]
活性化しなかったネットの一覧を出力するかどうか指定し
ます。
{command|commanddir|commandext} = ファイル名
TOGMRG で使用する togmrgcom ファイルの名前を指定しま
す。
selectsw = [ON|OFF]
ON を指定すると、最大活性化率を得られるテストパターン
の組み合わせを選択します。OFF を指定すると複数のシ
ミュレーションから求められた活性化検査の結果をマージ
します。
selectfileno = ファイル数
TOGMRGCOM ファイル中に指定したテストパターンファイ
ルから、いくつかのファイルを選択して最大活性化率を求
めるときにその数を指定します。selectsw=ON を指定した
ときのみ有効です。デフォルトは 1 です。
selectgroup = [CROSS|NOCROSS]
listgroupno オプションを使って、テストパターンの組み
合わせを複数レポートするときに、同じテストパターン
ファイルを重複して選択することを認めるかどうか指定し
ます。CROSS を指定すると例えば、{A, B} による活性化率
と {B, C} による活性化率というようにテストパターンの重
複が許されます。
listgroupno = 組み合わせ数
TOGMRGCOM ファイル中に指定したすべてのテストパター
ンファイルから、いくつかのファイルを選択し、組み合わ
せて最大活性化率を求めるときにその組み合わせ数を指定
します。selectsw=ON を指定したときのみ有効です。デ
フォルトは 1 です。
line = 行数
1 ページに出力する行数を指定します。デフォルトは 0 で、
改ページされません。
サインオフシステム R1.9.1 コマンドリファレンス 349
.....
TO G ・ TO G M R G
28
TO G・ TOG M R G
実行リスティングファイル
togmrgsw = [ON|OFF]
活性化率のマージを行うかどうか指定します。ON の場合に
は、TOGMRGCOM ファイルが必須です。デフォルトは ON
です。
emmergsw = [ON|OFF]
動作周波数のマージ、およびドライブチェックを行うか指
定します。ON の場合には、FRQLST ファイルが必須です。
デフォルトは ON です。
{frqlst|frqlstdir|frqlstext} = ファイル名
TOGMRG で使用する FRQLST ファイルのファイル名を指定
します。
{emmerg|emmergdir|emmergext} = ファイル名
EMMERG ファイル ( マージした COMFRQOUT ファイル )
のファイル名を指定します。
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。
実行例
以下に TOGMRG の実行例を示します。
♦ 次のコマンドは活性化率をマージします。動作周波数はマージされませ
ん。
togmrg emmergsw=OFF
♦ 次のコマンドはTOGMRGCOMファイルで指定されたテストパターンファ
イルの中から最も高い活性化率を得られる 10 本のファイルの組み合わせ
を 3 通りレポートします。デフォルトでは、同じテストパターンファイ
ルを重複して選択することを認めています。
togmrg selectsw=ON selectfileno=10 listgroupno=3
実行リスティングファイル
..................................................
以下に TOG の実行リスティングファイルの例を示します。実行リスティング
ファイルは以下の部分から構成されます。
♦ 指定階層下の活性化検査結果リスト (TOGCOM ファイルがある場合のみ )
♦ 全体の活性化検査結果リスト
♦ ドライブチェック違反リスト
350 サインオフシステム R1.9.1 コマンドリファレンス
実行リスティングファイル
活性化検査結果リスト
活性化検査結果リストは以下の部分から構成されます。
以下に活性化検査結果の例を示します。
♦ 活性化したネットの数、活性化率などの情報
♦ 0 に活性化しなかったネットの一覧 (output=ON を指定 )
♦ 1 に活性化しなかったネットの一覧 (output=ON を指定 )
♦ 0 にも 1 にも活性化しなかったネットの一覧 (output=ON を指定 )
図 28–12 活性化検査結果リストの例
### TOTAL COVERAGE LIST ###
Top instance name
: TEST
Input toggle check results file(s)
:
TEST.tg.fn1
1)
2)
Number of total nets
= 4264
3)
Number of toggles
= 8528
4)
’0’ and ’1’ activated nets
= 2559
5)
’0’ activated nets
= 795
6)
’1’ activated nets
= 856
7)
Mon-activated nets
= 54
8)
Toggle check coverage
= 79.37 (%)
9)
10)
Nets not reached to ’0’
Signal name
------------------------------------------------------.DPD0#IN
.DPD2#IN
.DPD4#IN
.DPD6#IN
.RBD0#IN
...
.SBD06
.RBDO0
.RBDO2
.RBDO4
.RBDO6
11)
Nets not reached to ’1’
Signal name
サインオフシステム R1.9.1 コマンドリファレンス 351
.....
TO G ・ TO G M R G
TO G・ TOG M R G
28
実行リスティングファイル
------------------------------------------------------.RBD1#OUT
.S1N1012
.S1N1039
.S1N1184
.S1N1188
...
.OSE.OXCMP116.AGA
.OSE.OXCMP118.EQC
.OSE.OXCMP118.AQA
.OSE.OXCMP119.EQC
.OSE.OXCMP119.AQA
12)
Nets not activated
Signal name
------------------------------------------------------.DPD0#OUT
.DPD2#OUT
.DPD4#OUT
.DPD6#OUT
.OSE.OXCMP17.S1N19
...
.OSE.OXCMP20.S1N2
.OSE.S3N66
.OSE.S3N64
.OSE.OXCMP.S1N3
.OSE.S3N27
以下の番号は、図 28–12 中の番号と対応しています。
1. 最上位モジュールのインスタンス名です。
2. 入力として使用した TG ファイルを示します。
3. 活性化検査の対象になったネットの数です。
4. 活性化検査の対象になった活性化数、すなわちネット数の 2 倍です。
5. 0 と 1 の両方に活性化したネット数です。
6. 0 のみに活性化したネット数です。
7. 1 のみに活性化したネット数です。
8. 0 にも 1 にも活性化しなかったネット数です。
352 サインオフシステム R1.9.1 コマンドリファレンス
補足説明
9. 活性化率です。活性化率 (T) は以下のように計算されます。以下の番号は
ファイルの中の番号と対応しています。
(5) × 2 + (6) + (7)
T = --------------------------------------------- × 100
(4)
10. 0 に活性化しなかったネットの一覧です。
11. 1 に活性化しなかったネットの一覧です。
12. 0 にも 1 にも活性化しなかったネットの一覧です。
ドライブチェック違反リスト
以下にドライブチェック違反リストの例を示します。ドライブチェック違反
リストは、駆動能力を超える負荷を駆動しようとしている出力ピンについて、
平均動作周波数、駆動能力および負荷を示します。駆動能力と負荷の値は LU
単位で示されます。TC240 シリーズ以降は fF ( フェムトファラッド ) 単位で示
されます。
図 28–13 ドライブチェック違反リストの例
###
No.
1
2
FREQUENCY DRIVE LIMIT CHECK LIST #########################
NAME
FREQUENCY(MHz)
DRIVE
LOAD
.SUBCLK1
134.24
288.12
291.01
.FUNCTION.SUBCLK1
220.39
144.91
150.23
No.
連番
NAME
違反信号名
FREQUENCY 信号の平均周波数
DRIVE
信号の駆動能力値
LOAD
ネットの最大配線容量値
補足説明
..................................................
♦ 電源ラインとグランドラインは一定の論理値に保持されるので、活性化
検査をする意味がありません。したがって、電源ライン、グランドライ
ンは活性化検査から除外されます。
♦ 接続されていない出力ピンは活性化検査から除外されます。
♦ 双方向バッファについては、以下に示す 3 本のネットが活性化検査の対象
になります。
サインオフシステム R1.9.1 コマンドリファレンス 353
.....
TO G ・ TO G M R G
28
TO G・ TOG M R G
補足説明
図 28–14 双方向バッファの活性化検査
b
a
BID
c
a
b
c
入力 .................... BID(#IN)
出力 .................... BID(#OUT)
ワイヤード OR ... BID
♦ 次の例でネット A とネット B は回路上、一定の論理値を保持するような
設計になっています。しかしながら、これらのネットは活性化検査の対
象になり、活性化されないネットとして報告されます。
図 28–15 活性化しないネット
B=0
A=1
GND
354 サインオフシステム R1.9.1 コマンドリファレンス
.....
TRACEGEN
(GEMINISO/VITALSO/VOYSO のみ )
....................................
29
この章では TRACEGEN について以下のことを説明します。
♦ TRACEGEN の機能
♦ 入出力ファイル
♦ SRACOM ファイル
♦ DSPCOM ファイル
♦ TRACEGEN の実行方法
TRACEGEN の機能
..................................................
TRACEGEN は SRA、TOG、PWR、TSG または TST を実行するときに必要
な、TRACE ファイル ( シミュレーション結果を得るための指示ファイル ) を作
成します。TRACEGEN を実行するときに sra、tog、pwr、tstmode オプ
ションで ON を指定することにより、各プログラムで必要な結果を得るための
TRACE ファイルを生成します。デフォルトは tstmode=ON (TSG、TST 用 ) で
す。TRACE ファイルは各シミュレータを使ってシミュレーションを実行する
ときに使用します。そして、シミュレーションを実行すると、TRACE ファイ
ルに指定された信号がシミュレーション結果ファイルにダンプされます。
シミュレーションを実行するときに、TRACEGEN で作成した TRACE ファイ
ルを指定することにより、シミュレーション結果ファイルを Cadence 社 Value
Change Dump (VCD) 形式または WIF 形式で作成できます。Gemini、ModelSim、
Leapfrog、Voyager では VCD 形式に、VSS では WIF 形式になります。
入出力ファイル
..................................................
図 29–1 に TRACEGEN の入出力ファイルを示します。
サインオフシステム R1.9.1 コマンドリファレンス 355
29
T R A C E G E N ( G E M I N I S O / V I TA L S O / VOY S O の み )
入出力ファイル
図 29–1 TRACEGEN の入出力ファイル
TDGS データ
ベースファイル
exp
. テスト識別子
sracom
. テスト識別子
comfrq
任意
dspcom
. テスト識別子
任意
任意
任意
TRACEGEN
pininf
. テスト識別子
trace
. テスト識別子
TST、TSG、
EDP で使用 シミュレータで使用
tracegenlst
. テスト識別子
実行リスティング
ファイル
入力ファイル
TRACEGEN の入力ファイルは以下のとおりです。
♦ 回路名 .tdgs
TDGS データベースファイルです。
♦ 回路名 .exp [. テスト識別子 ] ( 任意 )
TSC で作成される EXP ファイル ( 期待値ファイル ) です。
♦ 回路名 .sracom [. テスト識別子 ] ( 任意 )
SRA で実行する解析の種類を指定する SRACOM ( 解析コマンドファイ
ル ) です。このファイルは ASCII 形式のテキストファイルで、任意のテ
キストエディタで作成できます。SRACOM ファイル用のテンプレートを
TSC で自動的に作成できます。TRACEGEN はこのファイルを参照して、
シミュレーション解析に必要な信号をシミュレーションするための
TRACE ファイルを生成します。
♦ 回路名 .comfrq ( 任意 )
DVER の入力ファイルとして使う COMFRQ ファイルと同じファイルで
す。COMFRQ ファイルのシンタックスについては「6章 DVER」を参
照してください。
♦ 回路名 .dspcom [. テスト識別子 ] ( 任意 )
シミュレーション中に観測したい信号の名前を指定するファイルです。
ASCII 形式のテキストファイルで、任意のテキストエディタで作成でき
ます。
356 サインオフシステム R1.9.1 コマンドリファレンス
SRACOM ファイル
出力ファイル
TRACEGEN の出力ファイルは以下のとおりです。
♦ 回路名 .trace [. テスト識別子 ]
シミュレーション中に信号をトレースして、シミュレーション結果を
VCD 形式または WIF 形式で保存するためのファイルです。
♦ 回路名 .pininf [. テスト識別子 ]
外部ピンの情報が記述されているファイルです。TST、TSG、EDP で使
用します。
systemsim=ON を指定して生成される PININF ファ
イルと、systemsim=OFF を指定して生成される
PININF ファイルとでは格納される情報が異なりま
systemsim=ONを指定して生成したPININFファ
す。
イルは TST の入力ファイルとして使えないので注意してくだ
さい。
♦ 回路名 .tracegenlst [. テスト識別子 ]
実行リスティングファイルです。
SRACOM ファイル
..................................................
この項では SRACOM ファイルおよび解析コマンドについて説明します。
SRACOM ファイルは、SRA で実行する解析コマンドを指定します。
ファイルの記述形式
SRACOM ファイルの内容は一連のコマンドで構成されます。各コマンドはセ
ミコロンで終わります。コマンドは自由形式で記述することができます。各
キーワードおよび区切り記号の後に任意の数の空白をいれてもかまいません。
1 つのコマンドを複数行に渡って記述することも、また複数のコマンドを 1 行
に記述することもできます。ただし、1 行の長さは 1,024 文字以内でなければ
なりません。
許される文字は、大文字および小文字の英字 A~Z (a~z)、数字 0~9、および
キーボードで利用可能な特殊文字です。ただし、コマンドキーワードは大文字
で入力しなければなりません。
コメントは、/* で始めて、*/ で終わらせます。
CYCLE、MAXCHG 以外のコマンドは何度指定してもかまいません。ただし、
同じ検査対象に対して同じ種類の解析を条件を変えて行うことはできません。
サインオフシステム R1.9.1 コマンドリファレンス 357
.....
T R AC E G E N ( G E M I N I S O / V I TA L S O / V OY S O の み )
29
T R A C E G E N ( G E M I N I S O / V I TA L S O / VOY S O の み )
SRACOM ファイル
コマンドクイックリファレンス
表 29–1 に解析コマンドの一覧を示します。
表 29–1 SRA の解析コマンド一覧表
コマンド
目的
CYCLE
テストサイクルを指定します。
FROM
解析開始時刻を指定します。
TO
解析終了時刻を指定します。
COMPARE
シミュレーション結果と期待値を比較します。
MARGIN
必要とされる最小安定領域を指定します。
CONFLICT
外部双方向ピンおよび内部 3 ステートバスのコンフリクトを検出し
ます。
FLOAT
外部双方向ピンおよび内部 3 ステートバスのフローティングを検出
します。
SPIKE
スパイクを検出します。
SWINDOW
スパイクを検出する時間をテストサイクルに対して相対的に指定し
ます。
MAXDLY
外部出力ピンおよび出力モードの双方向ピンの最大遅延時間を計算
します。
MAXCHG
同時スイッチングを検出します。
SETUP
セットアップ時間の違反を検出します。
HOLD
ホールド時間の違反を検出します。
PREFIX
階層的信号名の一部として使用するプレフィックスを指定します。
このコマンドは、サブモジュールの信号を指定するのに便利です。
SHMASK
セットアップ・ホールド検査から除外するセルを指定します。
UINITX
初期値以外の「X」を検出します。
TSTL2 で複数のタイミングが設定されている場合の検査
シミュレーションの途中でサイクルが変わる場合、検査の種類によっては、
タイミングごとに検査を行い、検査結果とダイヤグラムを作成することができ
ます。この場合、SRA を実行するときに、TSC で生成される TPI ファイル ( 回
路名 .tpi [. テスト識別子 ]) が必要です。表 29–2 に複数のタイミングがサ
ポートされている範囲を示します。
358 サインオフシステム R1.9.1 コマンドリファレンス
SRACOM ファイル
表 29–2 複数タイミングが設定されている場合の検査
コマンド
条件の設定
解析・解析結果リスト
ダイヤグラムの出力
CYCLE
(TPI ファイル中のサ
イクル情報を自動的
に読み込みます。)
-
-
FROM
サイクルが変更にな
る時刻を指定します。
-
-
TO
サイクルが変更にな
る時刻を指定します。
-
-
-
全タイミング一括
全タイミング一括
MARGIN
全タイミング一括
全タイミング一括
-
CONFLICT
全タイミング一括
全タイミング一括
タイミングごと
FLOAT
全タイミング一括
全タイミング一括
タイミングごと
SPIKE
全タイミング一括
タイミングごと
-
タイミングごと
タイミングごと
-
MAXDLY
-
タイミングごと
-
MAXCHG
タイミングごと
タイミングごと
-
SETUP
全タイミング一括
全タイミング一括
全タイミング一括
HOLD
全タイミング一括
全タイミング一括
全タイミング一括
PREFIX
-
-
-
SHMASK
-
-
-
UINITX
-
-
-
COMPARE
SWINDOW
* タイミングごとに解析条件を設定する場合は、かならず FROM コマンド・TO コマン
ドで範囲を指定してください。
SRACOM ファイルの例
図 29–2 に SRACOM ファイルの例を示します。
図 29–2 SRACOM ファイルの例
/* Analysis command file for .pattern.fn1 */
CYCLE
200 ;
COMPARE ;
CONFLICT >0 (*BIDIRECT) ;
CONFLICT >10 #BUS ;
FLOAT
>400 (*BIDIRECT) ;
FLOAT
>10 #BUS ;
SETUP
#ALL ;
HOLD
#ALL ;
SHMASK
@FD1S,@FD1SP;
FROM
0;
TO
1000;
MAXCHG
>10 30,30,50 CHKBUF;
サインオフシステム R1.9.1 コマンドリファレンス 359
.....
T R AC E G E N ( G E M I N I S O / V I TA L S O / V OY S O の み )
29
T R A C E G E N ( G E M I N I S O / V I TA L S O / VOY S O の み )
SRACOM ファイル
SPIKE
FROM
TO
SWINDOW
>10 (*TESTGEN)
1000;
100000;
20,80;
信号名・モジュール名置換
次の文字および文字列は、以下のように一連の信号名に展開されます。
(*I)
すべての外部入力信号と外部双方向信号の入力側信号を表
します。
(*INPUT)
(*I) と同じです。
(*O)
すべての外部出力信号と外部双方向信号のワイヤード信号
を表します。
(*OUTPUT)
すべての外部出力信号と外部双方向信号の出力側信号を表
します。
(*BIDIRECT)
すべての外部双方向信号のワイヤード信号を表します。
(*TESTGEN)
(*INPUT) および (*OUTPUT) によって指定されるすべての信
号を表します。
(*IO)
すべての外部双方向信号の入力側信号、出力側信号を表しま
す。
*EXT
すべての外部入出力信号を表します。
*INT
すべての内部信号を表します。
#BUS
すべての内部 3 ステートバスを表します。
#ALL
SETUPコマンドおよび
すべてのF/Fおよびラッチを表します。
HOLD コマンドで用います。
#CLOCK_SIGNAL クロック信号を表します。
#RESET_SIGNAL リセット信号を表します。
#DATA_SIGNAL
データ信号を表します。
*
任意の文字列 (0 文字以上 ) を表します。
?
任意の 1 文字を表します。
アスタリスク (*) と疑問符 (?) には、以下の制限があります。
♦ 「*」と「?」は階層名の末尾でのみ使えます。
♦ 同一の文字列で「*」と「?」の両方を使うことはできません。
以下に正しい例を示します。
360 サインオフシステム R1.9.1 コマンドリファレンス
SRACOM ファイル
♦ GEMINISO の場合
.A.C*
.A.B???
♦ VITALSO/VOYSO の場合
/A/C*
/A/B???
以下に上記の信号名が表す信号を示します。
表 29–3 信号名の置き換え
外部入力
信号
(*I)
(*INPUT)
外部出力
信号
双方向
バッファ
入力信号
•
双方向
バッファ
出力信号
双方向バッ
ファのワイ
ヤード信号
•
(*O)
•
(*OUTPUT)
•
•
•
•
(*BIDIRECT)
•
(*TESTGEN)
•
(*IO)
•
*EXT
•
•
•
•
•
•
•
•
信号名・モジュール名の記述
SRACOM ファイル中に信号名・モジュール名を指定するときは以下の制約に
従ってください。
♦ GEMINISO の場合
•
外部入出力ピン名の先頭には階層区切り文字を記述してください。
.CLK
•
信号名はベクタネット形式で指定できません。展開して記述してくだ
さい。
.A[0:2] → .A[0] .A[1] .A[2]
•
ワイルドカード (*、?) を使い、ビット範囲を記述できます。
.A[?]
.A[*]
♦ VITALSO/VOYSO の場合
•
外部入出力ピン名の先頭には階層区切り文字を記述してください。
/CLK
サインオフシステム R1.9.1 コマンドリファレンス 361
.....
T R AC E G E N ( G E M I N I S O / V I TA L S O / V OY S O の み )
29
T R A C E G E N ( G E M I N I S O / V I TA L S O / VOY S O の み )
SRACOM ファイル
•
信号名はベクタネット形式で指定できません。展開して記述してくだ
さい。
/A(0 to 2) → /A(0) /A(1) /A(2)
•
ワイルドカード (*、?) を使い、ビット範囲を記述できます。
/A(?)
/A(*)
CYCLE コマンド
フォーマット
CYCLE テストサイクル ;
説明
CYCLE コマンドは解析で使用するテストサイクルを ns 単位で指定します。
CYCLE コマンドは必須ではありません。ただし、テストサイクルを指定しな
いと、コンフリクトとフローティングのダイヤグラムを生成できません。ま
た、SWINDOW、MAXDLY、MAXCHG コマンドを実行できません。テストサイ
クルは整数、小数を使って指定できます。
記述例
CYCLE 200 ;
FROM コマンド・TO コマンド
フォーマット
FROM 開始時刻 ;
TO 終了時刻 ;
説明
FROM および TO コマンドは、解析の開始および終了時刻を ns 単位で指定しま
す。「開始時刻」と「終了時刻」は整数でなければなりません。
ファイル中に FROM コマンドが最初に現れるまで、
「開始時刻」のデフォルト
はシミュレーションの開始時刻になります。また、最初の TO コマンドが
ファイルに現れるまで、「終了時刻」のデフォルトはシミュレーションの終了
時刻になります。FROM (TO) コマンドは次の FROM (TO) コマンドが現れるま
で有効です。
FROM および TO コマンドは COMPARE コマンドに対しては無効になります。
362 サインオフシステム R1.9.1 コマンドリファレンス
SRACOM ファイル
記述例
FROM 2000 ;
TO
5000 ;
COMPARE コマンド
フォーマット
COMPARE [SLIST] ;
説明
COMPARE コマンドは、シミュレーション出力値と TSC で作成された EXP ファ
イル中の期待値を比較します。
♦ 各テストサイクルにおいてテストデータで指定されたストローブの幅の
あいだでシミュレーション結果を比較します。
♦ TSTL2 テストデータファイル中で X (don’t care) が指定されているサイク
ルではシミュレーション結果を比較しません。
不一致を検出すると、COMPARE コマンドは不一致リストを作成します。
SLIST オプションを指定すると、COMPARE コマンドは簡易形式でリストを作
成します。
シミュレーション結果と期待値の比較検証後、COMPARE コマンドは各外部出
力ピン、双方向ピンについて、ストローブの前後でどのくらいの時間、信号
値が安定しているかを計算します。これを安定領域またはストローブマージ
ンといいます。diagram オプションで OFF を指定しない限り、安定領域は
ダイヤグラムとしてプロットされます。安定領域については「22章 SRA」
を参照してください。
記述例
COMPARE SLIST ;
MARGIN コマンド
フォーマット
MARGIN [ 安定領域 ] ;
説明
MARGIN コマンドは、必要とされる最小安定領域を ns 単位で指定します。
「安
定領域」を省略するとデフォルトは 10 ns になります。指定された値を満た
さない外部出力ピンまたは出力モードの双方向ピンがあるとワーニングメッ
セージをリストに出力します。安定領域は整数、小数を使って指定できます。
サインオフシステム R1.9.1 コマンドリファレンス 363
.....
T R AC E G E N ( G E M I N I S O / V I TA L S O / V OY S O の み )
29
T R A C E G E N ( G E M I N I S O / V I TA L S O / VOY S O の み )
SRACOM ファイル
記述例
MARGIN 5 ;
CONFLICT コマンド
フォーマット
CONFLICT [> 時間幅 ] 信号名 1, 信号名 2, ... ;
説明
CONFLICT コマンドは、指定した時間幅よりも長く継続するコンフリクトを
検出します。コンフリクトのチェックはテストサイクル単位で行われます。
♦ 時間幅
コンフリクトとして検出する時間幅を ns 単位で指定します。
「>」と次の
「時間幅」のあいだには空白を入れないでください。
「> 時間幅」を省略
すると、デフォルトで 10 ns が採用されます。時間幅は整数、小数を
使って指定できます。
♦ 信号名 1, 信号名 2, ...
階層的信号名をカンマで区切って指定します。#BUS、(*BIDIRECT) な
どを使うこともできます。双方向ネット、3 ステートバッファに接続さ
れないネット ( 例えば、*I、*INT、*INPUT など ) を指定することはで
きません。
コンフリクトを検出すると、コンフリクトリストを作成します。SRA 実行時
に diagram オプションで OFF を指定しない限り、指定された各信号の最長
コンフリクトを抽出して、コンフリクト領域をダイヤグラムとしてプロット
します。コンフリクトに関する詳細な説明については「22章 SRA」を参照
してください。
記述例
CONFLICT >0 (*BIDIRECT) ;
CONFLICT #BUS ;
FLOAT コマンド
フォーマット
FLOAT [> 時間幅 ] 信号名 1, 信号名 2, ... ;
説明
FLOAT コマンドは、指定した時間幅よりも長く継続するフローティングを検
出します。フローティングのチェックはテストサイクル単位で行われます。
364 サインオフシステム R1.9.1 コマンドリファレンス
SRACOM ファイル
♦ 時間幅
フローティングとして検出する時間幅を ns 単位で指定します。「>」と次
の「時間幅」のあいだには空白を入れないでください。
「> 時間幅」を省
略すると、デフォルトで 10 ns が採用されます。時間幅は整数、小数を
使って指定できます。
♦ 信号名 1, 信号名 2, ...
階層的信号名をカンマで区切って指定します。#BUS、(*BIDIRECT) な
どを使うこともできます。双方向ネット、3 ステートバッファに接続さ
れないネット ( 例えば、*I、*INT、*INPUT など ) を指定することはで
きません。
フローティングを検出するとフローティングリストを作成します。SRA 実行
時に diagram オプションで OFF を指定しない限り、指定された信号の最長
フローティングを抽出して、フローティング領域をダイヤグラムとしてプ
ロットします。フローティングに関する詳細な説明については「22章 SRA」
を参照してください。
記述例
FLOAT >400 (*BIDIRECT) ;
FLOAT #BUS ;
SPIKE コマンド
フォーマット
SPIKE > 時間幅 信号名 1, 信号名 2, ... ;
説明
SPIKE コマンドは、指定した幅以下の 1、0、X、Z のスパイクを検出します。
♦ 時間幅
スパイクとして検出する時間幅を ns 単位で指定します。「>」と次の「時
間幅」のあいだには空白を入れないでください。
「> 時間幅」は省略でき
ません。時間幅は整数、小数を使って指定できます。
♦ 信号名 1, 信号名 2, ...
階層的信号名をカンマで区切って指定します。(*TESTGEN) などを使う
こともできます。
スパイクを検出するとスパイクリストを作成します。
記述例
SPIKE >5 (*TESTGEN) ;
サインオフシステム R1.9.1 コマンドリファレンス 365
.....
T R AC E G E N ( G E M I N I S O / V I TA L S O / V OY S O の み )
29
T R A C E G E N ( G E M I N I S O / V I TA L S O / VOY S O の み )
SRACOM ファイル
SWI NDOW コマンド
フォーマット
SWINDOW [ 開始時刻 ], [ 終了時刻 ] ;
説明
SWINDOW コマンドは、スパイクを検出する範囲をテストサイクルに対し相対
的な時刻で指定します。このコマンドは、SPIKE コマンドと一緒に使いま
す。
♦ 開始時刻
テストサイクルの先頭からの時刻を指定します。省略すると、デフォル
トで 0 になります。開始時刻は整数、小数を使って指定できます。
♦ 終了時刻
テストサイクルの先頭からの時刻で終端を指定します。省略すると、デ
フォルトでサイクルの終端になります。終了時刻は整数、小数を使って
指定できます。
記述例
A)
CYCLE 200 ;
SPIKE >5 (*TESTGEN) ;
SWINDOW 50, 150 ;
B)
SWINDOW , 150 ;
C)
SWINDOW 50, ;
MAXDLY コマンド
フォーマット
MAXDLY 信号名 1, 信号名 2, ... ;
説明
MAXDLY コマンドは、指定された信号について、テストサイクルの先頭から
みて、もっとも遅い変化時刻 ( 最大遅延 ) を報告します。このコマンドは同
期回路で用います。
記述例
MAXDLY (#OUTPUT) ;
366 サインオフシステム R1.9.1 コマンドリファレンス
SRACOM ファイル
MAXCHG コマンド
フォーマット
MAXCHG > 時間幅 値 1, 値 2, 値 3 [CHKBUF] ;
説明
MAXCHG コマンドは、シミュレーション中に同時にスイッチングした出力ピ
ンおよび出力モードの双方向ピン数をカウントし、指定した数を超える同時
スイッチングを報告します。
♦ 時間幅
同時とみなす時間幅を ns 単位で指定します。出力ピンおよび出力モード
の双方向ピンのいずれかが 0 から 1 または 1 から 0 に切り換わるごとに、
その点がカウントの先頭に設定されます。「>」と次の「時間幅」のあい
だには空白を入れないでください。時間幅は整数、小数を使って指定で
きます。
♦ 値1
0 から 1 への最大許容変化回数を指定します。
♦ 値2
1 から 0 への最大許容変化回数を指定します。
♦ 値3
0 から 1 および 1 から 0 への変化の合計の最大許容回数を指定します。
図 29–3 同時スイッチングのカウント方法
カウントを行う範囲
♦ CHKBUF
指定した数を超える同時スイッチングが発生した場合、同時にスイッチ
ングした出力ピンを駆動しているバッファの種類を表示します。
サインオフシステム R1.9.1 コマンドリファレンス 367
.....
T R AC E G E N ( G E M I N I S O / V I TA L S O / V OY S O の み )
29
T R A C E G E N ( G E M I N I S O / V I TA L S O / VOY S O の み )
SRACOM ファイル
同時にスイッチングした出力ピンが指定した数 ( 値 1、値 2、値 3) のいずれ
かを超えると、MAXCHG コマンドはシミュレーション時刻、同時スイッチン
グしたピン数、またオプションによりバッファの種類を示すリストを作成し
ます。
記述例
MAXCHG >10 5,5,10 CHKBUF ;
SETUP コマンド
フォーマット
SETUP [ 記号 ][ 値 ] モジュール名 1, モジュール名 2, ... ;
説明
SETUP コマンドはセットアップ時間の違反を検出します。
♦ 記号
次の記号のいずれかを任意で指定できます。
> 次の値がユーザー指定のセットアップ時間であることを示します。
+ ライブラリに登録されているセットアップ時間に次の「値」を加えま
す。
- ライブラリに登録されているセットアップ時間から次の「値」を引き
ます。
♦ 値
上記の記号によって表される値を実数で指定します。「>」、「+」、
「-」と
「値」のあいだに空白を入れないでください。
「記号」と「値」を省略すると、ライブラリに登録されている値が使われ
ます。
サインオフシミュレーションでは、かならずライ
ブラリに登録されている値を使ってください。
♦ モジュール名 1, モジュール名 2, ...
検査対象とする F/F、ラッチの階層的インスタンス名をカンマで区切っ
て指定します。回路で使用しているすべての F/F とラッチを指定するに
は、#ALL を使います。
SETUP コマンドは、
SRA 実行時に diagram オプションで OFF を指定しない限
り、指定した各 F/F、ラッチについて最も小さい、すなわちタイミングが最
も厳しいマージンを抽出し、ダイヤグラムとしてプロットします。
368 サインオフシステム R1.9.1 コマンドリファレンス
SRACOM ファイル
記述例
SETUP #ALL ;
♦ GEMINISO の場合
SETUP >0.35 .FUNC.FF1, .FUNC.FF2 ;
SETUP +0.15 .SUB.FF2 ;
SETUP -0.15 .SUB.FF3 ;
♦ VITALSO/VOYSO の場合
SETUP >0.35 /FUNC/FF1, /FUNC/FF2 ;
SETUP +0.15 /SUB/FF2 ;
SETUP -0.15 /SUB/FF3 ;
HOLD コマンド
フォーマット
HOLD [ 記号 ][ 値 ] モジュール名 1, モジュール名 2, ... ;
説明
HOLD コマンドはホールド時間の違反を検出します。
♦ 記号
次の記号のいずれかを任意で指定できます。
> 次の値がユーザー指定のホールド時間であることを示します。
+ ライブラリに登録されているホールド時間に次の「値」を加えます。
- ライブラリに登録されているホールド時間から次の「値」を引きま
す。
♦ 値
上記の記号によって表される値を実数で指定します。「>」、
「+」
、「-」と
「値」のあいだに空白を入れないでください。
「記号」と「値」を省略すると、ライブラリに登録されている値が使われ
ます。
サインオフシミュレーションでは、かならずライ
ブラリに登録されている値を使ってください。
♦ モジュール名 1, モジュール名 2, ...
検査対象とする F/F、ラッチの階層的インスタンス名をカンマで区切っ
て指定します。回路で使用しているすべての F/F とラッチを指定するに
は、#ALL を使います。
サインオフシステム R1.9.1 コマンドリファレンス 369
.....
T R AC E G E N ( G E M I N I S O / V I TA L S O / V OY S O の み )
29
T R A C E G E N ( G E M I N I S O / V I TA L S O / VOY S O の み )
SRACOM ファイル
HOLD コマンドは、SRA 実行時に diagram オプションで OFF を指定しない限
り、指定した各 F/F、ラッチについて最も小さい、すなわちタイミングが最
も厳しいマージンを抽出し、ダイヤグラムとしてプロットします。
記述例
HOLD #ALL ;
♦ GEMINISO の場合
HOLD >0.12 .FUNC.FF1, .FUNC.FF2 ;
HOLD +0.05 .SUB.FF2 ;
HOLD -0.1 .SUB.FF3 ;
♦ VITALSO/VOYSO の場合
HOLD >0.12 /FUNC/FF1, /FUNC/FF2 ;
HOLD +0.05 /SUB/FF2 ;
HOLD -0.1 /SUB/FF3 ;
PREFIX コマンド
フォーマット
PREFIX 文字列 ;
説明
PREFIX コマンドは階層的インスタンス名を示す文字列を指定します。
PREFIX コマンドで指定された文字列は、それ以降のコマンドにおいて指定
されている信号名の前に付けられ、階層的信号名を形成します。PREFIX コ
マンドより、階層的信号名の指定が簡単になります。PREFIX コマンドは、
別の PREFIX コマンドが現れるまで有効です。
記述例
♦ GEMINISO の場合
PREFIX
.FUNCTION.SUB ;
CONFLICT A, B ;
これは以下と等価です。
CONFLICT .FUNCTION.SUB.A, .FUNCTION.SUB.B ;
♦ VITALSO/VOYSO の場合
PREFIX
/FUNCTION/SUB ;
CONFLICT A, B ;
これは以下と等価です。
370 サインオフシステム R1.9.1 コマンドリファレンス
SRACOM ファイル
CONFLICT /FUNCTION/SUB/A, /FUNCTION/SUB/B ;
上記の例で CONFLICT コマンドのネット名 A と B
の前に階層区切り文字 はつけません。階層区切り
文字で始まるネット名にはプレフィックスはつけ
られません。
SHMASK コマンド
フォーマット
SHMASK 範囲 1, 範囲 2, ... ;
説明
SHMASK コマンドはセットアップ・ホールド検査から除外するセルを指定し
ます。
♦ 範囲 1, 範囲 2, ...
「範囲」には検査から除外するセルを以下のように指定します。文字列の
まえに @ がついていると、FD1 や XF20 など、セルタイプ名またはモ
ジュール名とみなされます。@ がついていないと、セル、モジュールの
インスタンス名とみなされます。
•
階層的識別名
例 : .FUNC.SUB1(GEMINISO)
/FUNC/SUB1(VITALSO/VOYSO)
指定した階層以下のすべてのセル
•
@ セルタイプ名
例 : @FD1S
指定したセルタイプ名 (FD1S) すべて
•
@ モジュール名
例 : @XF20
指定したモジュール名 (XF20) のすべてのセル
•
階層的識別名 .@ セルタイプ名 (GEMINISO)
例 : .FUNC.SUB2.@FD1S
階層的識別名 /@ セルタイプ名 (VITALSO/VOYSO)
例 : /FUNC/SUB2/@FD1S
モジュール .FUNC.SUB2(/FUNC/SUB2) 以下の階層にある、す
べての FD1S
•
@ モジュール名 .@ セルタイプ (GEMINISO)
例 : .@XF30.@FD1S
@ モジュール名 /@ セルタイプ (VITALSO/VOYSO)
例 : /@XF30/@FD1S
タイプ名が XF30 のモジュール以下の階層にある、すべての
サインオフシステム R1.9.1 コマンドリファレンス 371
.....
T R AC E G E N ( G E M I N I S O / V I TA L S O / V OY S O の み )
29
T R A C E G E N ( G E M I N I S O / V I TA L S O / VOY S O の み )
SRACOM ファイル
FD1S
記述例
♦ GEMINISO の場合
SHMASK
SHMASK
SHMASK
SHMASK
SHMASK
.FUNC.SUB1 ;
@FD1S ;
@XF20 ;
.FUNC.SUB2.@FD1S ;
@XF30.@FD1S ;
階層的識別名は PREFIX コマンドと組み合わせて指定することができま
す。以下の例では、SHMASK .FUNC.SUB.@FD1S; と指定したことにな
ります。
PREFIX
SETUP
HOLD
SHMASK
.FUNC;
#ALL;
#ALL;
SUB.@FD1S;
♦ VITALSO/VOYSO の場合
SHMASK
SHMASK
SHMASK
SHMASK
SHMASK
/FUNC/SUB1 ;
@FD1S ;
@XF20 ;
/FUNC/SUB2/@FD1S ;
@XF30/@FD1S ;
階層的識別名は PREFIX コマンドと組み合わせて指定することができま
す。以下の例では、SHMASK /FUNC/SUB/@FD1S; と指定したことにな
ります。
PREFIX
SETUP
HOLD
SHMASK
/FUNC;
#ALL;
#ALL;
SUB/@FD1S;
UINI TX コマンド
フォーマット
UINITX 信号名 1, 信号名 2, ... ;
説明
UINITX コマンドは初期値以外の「X」を検出します。信号名は階層的信号名
をカンマで区切って指定します。#CLOCK_SIGNAL、#RESET_SIGNAL、
#DATA_SIGNAL などを使うこともできます。
372 サインオフシステム R1.9.1 コマンドリファレンス
DSPCOM ファイル
記述例
UINITX
#CLOCK_SIGNAL ;
DSPCOM ファイル
..................................................
DSPCOM ファイル ( 回路名 .dspcom [. テスト識別子 ]) には、シミュレー
ション中に観測したい信号の名前を指定します。ASCII 形式のテキストファイ
ルで、任意のテキストエディタで作成できます。
ファイルの記述形式
♦ 各コマンドはセミコロンで終わらせます。
♦ コマンドはすべて自由形式で記述できます。
♦ 1 つのコマンドが複数行に減っても、複数のコマンドを 1 行に入力しても
かまいません。ただし、1 行の長さは 1,024 文字以内でなければなりませ
ん。
♦ 使用できる文字は、大文字および小文字の英字 (A-Z、a-z)、数字 0-9、お
よびキーボードにある特殊文字です。ただし、コマンドキーワードは大
文字で入力しなければなりません。
♦ コメントは /* と */ の間に記述します。コメントは複数行に渡ってもかま
いません。
コマンドクイックリファレンス
以下に DSPCOM ファイルに記述するコマンドの一覧を示します。
表 29–4 DSPCOM ファイルに記述するコマンドの一覧
コマンド
目的
PREFIX
階層信号名の一部として使用するプレフィックスを指定します。こ
のコマンドは、サブモジュールの信号を指定するのに便利です。
SELECT
表示する信号を指定します。
END
DSPCOM ファイルの終わりを示します。
DSPCOM ファイルの例
図 29–4、図 29–5 に DSPCOM ファイルの例を示します。
図 29–4 DSPCOM ファイルの例 (GEMINISO)
SELECT .CLR,.CSN,,.WRN,.RDN,,.A1,.A0,,.D7,.D6,
.D5,.D4,.D3,.D2,.D1,.D0,,.CI,,
.EQ,.CO;
END;
サインオフシステム R1.9.1 コマンドリファレンス 373
.....
T R AC E G E N ( G E M I N I S O / V I TA L S O / V OY S O の み )
29
T R A C E G E N ( G E M I N I S O / V I TA L S O / VOY S O の み )
DSPCOM ファイル
図 29–5 DSPCOM ファイルの例 (VITALSO/VOYSO)
SELECT /CLR,/CSN,,/WRN,/RDN,,/A1,/A0,,/D7,/D6,
/D5,/D4,/D3,/D2,/D1,/D0,,/CI,,
/EQ,/CO;
END;
PREFIX コマンド
フォーマット
PREFIX 階層モジュール識別名 ;
説明
PREFIX コマンドは、階層信号 ( ピン ) 名の一部として使用するプレフィックス
を指定します。階層信号名のうちの共通の階層モジュール識別名の共通な部
分を記述します。後続する SELECT コマンドを使うと、文字列の先頭に
PREFIX コマンドによって指定された階層モジュール識別名が付加されます。
階層区切り文字で始まる文字列には、PREFIX コマンドで指定した階層モ
ジュール識別名はつけられません。
PREFIX コマンドは、別の PREFIX コマンドまたは SELECT コマンドの PREFIX
引数が現れるまで有効です。
記述例
♦ GEMINISO の場合
PREFIX .SEGGEN1;
SELECT FFCK,,O7SEG;
上記のコマンドは、以下と等価です。
SELECT .SEGGEN1.FFCK,,.SEGGEN1.O7SEG;
♦ VITALSO/VOYSO の場合
PREFIX /SEGGEN1;
SELECT FFCK,,O7SEG;
上記のコマンドは、以下と等価です。
SELECT /SEGGEN1/FFCK,,/SEGGEN1/O7SEG;
SELECT コマンド
フォーマット
SELECT 信号名 1, 信号名 2, ...
PREFIX= 階層モジュール識別名 ,
信号名 1, 信号名 2, ... ;
374 サインオフシステム R1.9.1 コマンドリファレンス
DSPCOM ファイル
説明
SELECT コマンドは、シミュレーション結果ファイルに表示する信号を指定
します。
♦ 信号名
階層信号 ( ピン ) 名をカンマで区切って指定します。
♦ PREFIX
PREFIX 引数の機能は PREFIX コマンドと同じです。
「PREFIX=」の後に、
プレフィックスとして使用する階層モジュール識別名を指定します。プ
レフィックスは後続の信号名に付けられます。これにより、複数の階層
信号名を簡単に指定できます。PREFIX 引数は SELECT コマンド内での
み、また、次の PREFIX 引数が現れるまで有効です。
記述例
以下に SELECT コマンドの記述例を示します。
♦ 次の例では外部の入出力ピンを列挙しています。
•
GEMINISO の場合
SELECT .CLR,.CSN,,.WRN,.RDN,,.A1,.A0,,
.D7,.D6,.D5,.D4,.D3,.D2,.D1,.D0,,
.CI,,,.EQ,.CO;
•
VITALSO/VOYSO の場合
SELECT /CLR,/CSN,,/WRN,/RDN,,/A1,/A0,,
/D7,/D6,/D5,/D4,/D3,/D2,/D1,/D0,,
/CI,,,/EQ,/CO;
♦ 次の例では 2 つの PREFIX 引数が使われています。PREFIX 引数は次の
PREFIX 引数が現れるまで有効です。
•
GEMINISO の場合
SELECT .N002146,.N002144,.IDATSEL,,
PREFIX=.X244-1,2.Z,3.Z,4.Z,5.Z,,
7.Z,8.Z,9.Z,10.Z,,
PREFIX=.X244-2,2.Z,3.Z,4.Z,5.Z,,;
•
VITALSO/VOYSO の場合
SELECT /N002146,/N002144,/IDATSEL,,
PREFIX=/X244-1,2/Z,3/Z,4/Z,5/Z,,
7/Z,8/Z,9/Z,10/Z,,
PREFIX=/X244-2,2/Z,3/Z,4/Z,5/Z,,;
サインオフシステム R1.9.1 コマンドリファレンス 375
.....
T R AC E G E N ( G E M I N I S O / V I TA L S O / V OY S O の み )
29
T R A C E G E N ( G E M I N I S O / V I TA L S O / VOY S O の み )
TRACEGEN の実行方法
END コマンド
フォーマット
END ;
説明
END コマンドは、DSPCOM ファイルの終わりを表します。END コマンドは必
須です。
TRACEGEN の実行方法
..................................................
この項では TRACEGEN のシンタックスとオプションについて説明します。
シンタックス
TRACEGEN を実行するには、UNIX のシェルプロンプトで以下のコマンドを
入力します。
tracegen オプション ...
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *TRACEGEN 部分にも記述できます。これらのオプションについて
は「付録 A コンフィグレーションファイル」を参照してください。
simulator = [GEMINI|MODELSIM|LEAPFROG|VSS|VOYAGER]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
paragraph = [ON|OFF]
systemsim = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------testext = テスト識別子
{comfrq|comfrqdir|comfrqext} = ファイル名
{exp|expdir|expext} = ファイル名
{vcd|vcddir|vcdext} = ファイル名
{wif|wifdir|wifext} = ファイル名 (VSS のみ )
{vcd2|vcd2dir|vcd2ext} = ファイル名 (GEMINISO のみ )
376 サインオフシステム R1.9.1 コマンドリファレンス
TRACEGEN の実行方法
exptype = [OLD|NORMAL]
msout = [ON|OFF]
*TRACEGEN に分類されるオプション
以下は TRACEGEN で使用するオプションであり、tsb.config ファイルの
*TRACEGEN 部分に記述できます。
sra = [ON|OFF]
pwr = [ON|OFF]
tog = [ON|OFF]
tstmode = [ON|OFF]
cycle = テストサイクル
{pininf|pininfdir|pininfext} = ファイル名
{sracom|sracomdir|sracomext} = ファイル名
{trace|tracedir|traceext} = ファイル名
{list|listdir|listext} = ファイル名
dsp = [ON|OFF]
{dspcom|dspcomdir|dspcomext} = ファイル名
オプション
以下に *TRACEGEN に分類されるオプションについて説明します。
sra = [ON|OFF]
SRA 用の観測ポイントを指定した TRACE ファイルを生成
します。
pwr = [ON|OFF]
PWR 用の観測ポイントを指定した TRACE ファイルを生成
します。
tog = [ON|OFF]
TOG 用の観測ポイントを指定した TRACE ファイルを生成
します。
tstmode = [ON|OFF]
TSG、TST 用の観測ポイントを指定した TRACE ファイルを
生成します。
cycle = テストサイクル
テストサイクルを ns 単位で指定します。
{pininf|pininfdir|pininfext} = ファイル名
TRACEGEN により生成される PININF ファイルの名前を指
定します。
{sracom|sracomdir|sracomext} = ファイル名
TRACEGEN で使用する SRACOM ファイルの名前を指定し
ます。
サインオフシステム R1.9.1 コマンドリファレンス 377
.....
T R AC E G E N ( G E M I N I S O / V I TA L S O / V OY S O の み )
29
T R A C E G E N ( G E M I N I S O / V I TA L S O / VOY S O の み )
TRACEGEN の実行方法
{trace|tracedir|traceext} = ファイル名
TRACE ファイルの名前を指定します。
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。
dsp = [ON|OFF]
DSPCOM ファイルを入力するかどうかを指定します。
{dspcom|dspcomdir|dspcomext} = ファイル名
DSPCOM ファイルの名前を指定します。
実行例
以下に TRACEGEN の実行例を示します。
♦ 次のコマンドはテスト識別子として fn1 を指定します。
tracegen testext=fn1
♦ 次のコマンドは入力ファイルとなる SRA、TOG 用の TRACE ファイルを生
成します。sracomext オプションは入力ファイルとなる SRACOM ファ
イルの拡張子を指定します。
tracegen testext=fn1 sra=ON tog=ON sracomext=com
378 サインオフシステム R1.9.1 コマンドリファレンス
TSC
.....
....................................
30
この章では TSC について以下のことを説明します。
♦ TSC の機能
♦ 入出力ファイル
♦ TSC の実行方法
♦ DT 波形に関するオプション
♦ WAV ファイル
♦ WAVES ファイル
♦ REGS ファイル
♦ PATTERN ファイルと DRIVE ファイル
♦ パラレルロードシミュレーション
♦ 実行リスティングファイル
TSC の機能
..................................................
TSC は TSTL2 で記述されたテストデータファイルをコンパイルし、WAV
ファイル (Verilog-HDL 形式の入力波形モジュールファイル )、およびテーブル
形式の VHDL シミュレータの入力となる DRIVE ファイル、PATTERN ファイル
とアプリケーション制御ファイルに変換します。また、スキャンテストのため
のパラレルロードシミュレーション用のテストパターンを生成することができ
ます。
TSC の処理を以下に示します。
♦ まず TSTL2 テストデータをコンパイルし、TIF ファイルを生成します。こ
のとき、シンタックスエラーがないかどうかチェックします。エラーが
検出されると、メッセージが画面と実行リスティングファイル ( 回路名
.tsclst [. テスト識別子 ]) に出力されます。また、この場合、テスト
データのシンタックスチェックを終了すると処理を停止します。
♦ tester オプションを指定することにより、TSTL2 テストデータで使用し
ているタイミングなどがテスタの制約を満たしているかどうかチェック
します。
サインオフシステム R1.9.1 コマンドリファレンス 379
30
TSC
入出力ファイル
♦ TNC、TFO または TVHDL で生成された TOP ファイル ( 回路名 .top) と
TSTL2 テストデータを比較して、外部入出力ピンの名前や信号方向の整
合性をチェックします。
♦ VSO/VCSSO/GEMINISO の場合、WAV ファイルを生成します。また、オ
プションにより、シミュレーション結果をテーブル形式で表示するため
の REGS ファイル ($gr_regs タスク用ファイル ) と波形形式で表示する
ための WAVES ファイル ($gr_waves タスク用ファイル ) を生成しま
す。
♦ VSO/VCSSO/GEMINISO の場合、drivesw オプションと patternsw オプ
ションを使うと、テーブル形式の PATTERN ファイルと、アプリケー
ション制御ファイルを生成できます。VITALSO/VOYSO の場合、オプ
ションはありません。デフォルトで生成されます。
♦ TSTL2 テストデータに出力期待値が記述されている場合、SRA ( シミュ
レーション結果解析 ) の入力として使用する EXP ファイル ( 期待値ファ
イル ) を生成します。
♦ シミュレーション後すぐにSRAを実行できる形でSRACOMファイル(SRA
の解析コマンドファイル ) を生成します。
♦ テスト容易化ツールで生成されたスキャンパス情報が記述されている
FSF ファイル ( 回路名 .fsf) または FSA ファイル ( 回路名 .fsa) を読み
込み、TSTL2 の SP 文で記述されたスキャンテストパターンを内部ス
キャン F/F にパラレルロードするような WAV ファイル
(VSO/VCSSO/GEMINISO の場合 )、VHDL 形式の入力ファイル
(VITALSO の場合 ) を生成できます。また、内部スキャン F/F のための期
待値比較用パターンを含む EXP ファイルを生成します。
TST はパラレルロードシミュレーションに対応し
ていません。TSC 実行時に iscan=ON または
jtagiscan=ON を指定した場合 ( パラレルロードシ
ミュレーションを実行した場合 )、TST を実行して
も正しい結果が出力されません。ただし、パラレルロードシ
ミュレーションの結果は、SRA で解析できます。
入出力ファイル
..................................................
図 30–1 に TSC の入出力ファイルを示します。
380 サインオフシステム R1.9.1 コマンドリファレンス
入出力ファイル
図 30–1 TSC の入出力ファイル
テスト容易化ツール
TNC または TVHDL
TSTL2
テストデータ
任意
tst
テスト識別子
fsf または fsa
top
スキャンパス
情報
TSC
任意
drive
. テスト識別子
pattern
. テスト識別子
para
. テスト識別子
PRESRA、
VHDL シミュレータの
TRACEGEN、SRA
パラレルロード
シミュレーションで使用
で使用
シミュレータで使用
任意
wav
. テスト識別子
regs
. テスト識別子
任意
waves
. テスト識別子
Verilog-XL/VCS/Gemini で使用
tsclst
. テスト識別子
実行リスティング
ファイル
exp
. テスト識別子
sracom
. テスト識別子
PRESRA、
TRACEGEN、
SRA で使用
tbl
tpi
tif
テスト識別子
TST で使用
PRESRA、
SRA で使用
TST で使用
入力ファイル
TSC の入力ファイルは以下のとおりです。
♦ 回路名 .tst [ テスト識別子 ]
TSTL2 で記述されたテストデータファイルです。
テスト識別子の先頭にはピリオドがつきません。
サインオフシステム R1.9.1 コマンドリファレンス 381
.....
TSC
30
TSC
入出力ファイル
♦ 回路名 .top
TOP ファイル ( 最上位モジュールファイル ) です。最上位モジュール名
とすべての入出力信号名が記述されています。最上位モジュールネット
をもとに、TNC、TFO または TVHDL で自動的に作成できます。TSC は
このファイルを TSTL2 テストデータファイルと比較することにより、入
出力ピン名に不一致がないかどうかチェックします。
♦ 回路名 .fsf または回路名 .fsa ( 任意 )
テスト容易化ツールによって生成されるスキャンパス情報が記述されて
いるファイルです。FSF ファイル ( 回路名 .fsf) は VSO/DFT、
VITALSO/DFT によって生成されるバイナリ形式のファイルです。FSA
ファイル ( 回路名 .fsa) は TestGen、Mentor-DFT、Test Compiler によっ
て生成される ASCII 形式のファイルです。パラレルロードシミュレー
ションを行う場合のみ必要です。FSA ファイルを入力とする場合は
fsaread=ON を指定します。
中間出力ファイル
TSC はまず、TSTL2 テストデータファイルをコンパイルして、TIF ファイル
( バイナリ形式のテストデータベースファイル ) を生成します。各出力ファイ
ルを生成する際には、TSTL2 テストデータではなく TIF ファイルを読み込みま
す。デフォルトのファイル名は「回路名 .tif [ テスト識別子 ]」です。
テスト識別子の先頭にはピリオドがつきません。
TIF ファイルがすでにある場合には、TSC は force
オプションで ON を指定しない限りファイルを更
新しません。ただし、TIF ファイル作成後に
TSTL2 テストデータが書き換えられている場合には、TIF
ファイルを更新します。
出力ファイル
TSC の出力ファイルは以下のとおりです。
♦ 回路名 .tbl
TSC は、まずすべての外部入出力ピンに対して参照ピン名を割り当てま
す。このファイルはピン名の対応を示します。以降のプログラムの処理
では参照ピン名が使われます。
♦ 回路名 .wav [. テスト識別子 ]
Verilog-HDL で記述された入力波形モジュールファイルです。このファ
イルの例、説明については 393 ページの「WAV ファイル」を参照してく
ださい。
382 サインオフシステム R1.9.1 コマンドリファレンス
入出力ファイル
♦ 回路名 .drive [. テスト識別子 ]
テストパターンの印加をコントロールするファイルです。PATTERN
ファイル ( 回路名 .pattern) とともに使用します。PATTERN ファイル
を行単位で読み込んで入力ピンに値を割り当てます。
VSO/VCSSO/GEMINISO の場合、このファイルを作成するには drivesw
オプションで ON を指定してください。VITALSO/VOYSO の場合は、デ
フォルトでこのファイルを作成します。このファイルの例、説明につい
ては 396 ページの「PATTERN ファイルと DRIVE ファイル」を参照して
ください。
♦ 回路名 .pattern [. テスト識別子 ]
テーブル形式のテストパターンファイルです。DRIVE ファイル ( 回路名
.drive) とともに使用します。VSO/VCSSO/GEMINISO の場合、この
ファイルを作成するには patternsw オプションで ON を指定してくだ
さい。VITALSO/VOYSO の場合は、デフォルトでこのファイルを作成し
ます。このファイルの例、説明については 396 ページの「PATTERN ファ
イルと DRIVE ファイル」を参照してください。
♦ 回路名 .waves [. テスト識別子 ] ( 任意 )
シミュレーション結果を波形形式で表示するための Verilog-HDL 記述で
す。シミュレーション実行中にこのファイルを参照することにより、
$gr_waves タスクを実行できます。このファイルを作成するには
wavessw オプションで ON を指定してください。このファイルの例、説明
については 394 ページの「WAVES ファイル」を参照してください。
♦ 回路名 .regs [. テスト識別子 ] ( 任意 )
シミュレーション結果をテーブル形式で表示するための Verilog-HDL 記
述です。シミュレーション実行中にこのファイルを参照することにより
$gr_regs タスクを実行できます。このファイルを作成するには regssw
オプションで ON を指定します。このファイルの例、説明については 395
ページの「REGS ファイル」を参照してください。
♦ 回路名 .para [. テスト識別子 ] ( 任意 )
VHDL シミュレータのパラレルロードシミュレーション実行時に必要な
情報が記述されたパラレルロードファイルです。iscan オプションまた
は jtagiscan オプションで ON を指定した場合に生成されます。
DRIVE ファイル ( 回路名 .drive)、PATTERN ファイル ( 回路名
.pattern) とともに使います。このファイルについては 400 ページの
「パラレルロードシミュレーション」を参照してください。
♦ 回路名 .exp [. テスト識別子 ]
PRESRA、TRACEGEN、SRA の入力ファイルとして使用するバイナリ形
式の EXP ファイル ( 期待値ファイル ) です。
サインオフシステム R1.9.1 コマンドリファレンス 383
.....
TSC
30
TSC
TSC の実行方法
♦ 回路名 .sracom [. テスト識別子 ]
PRESRA、TRACEGEN、SRA で使用する SRACOM ファイル ( 解析コマ
ンドファイル ) です。ASCII 形式のテキストファイルです。任意のテキ
ストエディタで編集できます。詳細については「18章 PRESRA
(VSO/VCSSO のみ )」または「29章 TRACEGEN
(GEMINISO/VITALSO/VOYSO のみ )」を参照してください。
♦ 回路名 .tpi [. テスト識別子 ]
サイクル情報やタイミング切り換え情報が入ったバイナリ形式のファイ
ルです。PRESRA、SRA の入力ファイルとして使います。
♦ 回路名 .tsclst [. テスト識別子 ]
TSC の実行リスティングファイルです。
TSC の実行方法
..................................................
この項では TSC のシンタックスとオプションについて説明します。
シンタックス
TSC を実行するには、UNIX のシェルプロンプトで以下のコマンドを入力し
ます。
tsc オプション ...
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *TSC 部分にも記述できます。これらのオプションについては「付
録 A コンフィグレーションファイル」を参照してください。
simulator =
[VERILOG|VCS|GEMINI|MODELSIM|LEAPFROG|VSS|VOYAGER]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
design = 回路名
toplevel = [CHIP|FUNCTION]
paragraph = [ON|OFF]
help = [ON|OFF]
384 サインオフシステム R1.9.1 コマンドリファレンス
TSC の実行方法
----------------------------------------------------------testext = テスト識別子
head = 接頭語 (VSO/VCSSO/GEMINISO のみ )
tail = 接尾語 (VSO/VCSSO/GEMINISO のみ )
{exp|expdir|expext} = ファイル名
msout = [ON|OFF]
*TSC に分類されるオプション
以下は TSC で使用するオプションであり、tsb.config ファイルの *TSC 部
分に記述できます。
{sracom|sracomdir|sracomext} = ファイル名
wavsw = [ON|OFF] (VSO/VCSSO/GEMINISO のみ )
wavessw = [ON|OFF] (VSO/VCSSO/GEMINISO のみ )
regssw = [ON|OFF] (VSO/VCSSO/GEMINISO のみ )
drivesw = [ON|OFF] (VSO/VCSSO/GEMINISO のみ )
patternsw = [ON|OFF] (VSO/VCSSO/GEMINISO のみ )
ilist = [ON|OFF]
nopin = [ON|OFF]
noitm = [ON|OFF]
nootm = [ON|OFF]
noexp = [ON|OFF]
timeunit = 単位時間
setx = [0|1|X]
setz = [0|1|X]
init = [0|1|X]
force = [ON|OFF]
name = [ON|OFF]
splen = [ON|OFF]
pathlen = [ON|OFF]
iscan = [ON|OFF]
jtagiscan = [ON|OFF]
plscmp = [ON|OFF]
scanin = 時刻 1, タイミング名 1, 時刻 2, タイミング名 2, ...
scanstbq = 時刻
scanstbw = 幅
column = [ON|OFF]
arch = アーキテクチャ名 (VITALSO/VOYSO のみ )
fsaread = [ON|OFF]
{top|topdir|topext} = ファイル名
{tst|tstdir|tstext} = ファイル名
{tif|tifdir|tifext} = ファイル名
{fsf|fsfdir|fsfext} = ファイル名
{fsa|fsadir|fsaext} = ファイル名
{wav|wavdir|wavext} = ファイル名 (VSO/VCSSO/GEMINISO のみ )
{wavs|wavsdir|wavsext} = ファイル名 (VSO/VCSSO/GEMINISO のみ )
サインオフシステム R1.9.1 コマンドリファレンス 385
.....
TSC
30
TSC
TSC の実行方法
{regs|regsdir|regsext} = ファイル名 (VSO/VCSSO/GEMINISO のみ )
{drive|drivedir|driveext} = ファイル名
{pattern|patterndir|patternext} = ファイル名
{para|paradir|paraext} = ファイル名 (VITALSO のみ )
{pintbl|pintbldir|pintblext} = ファイル名
{tsclst|tsclstdir|tsclstext} = ファイル名
{tpi|tpidir|tpiext} = ファイル名
stmax = 文字数
tester = [T120|T256|T512L|T512H|T1024]
オプション
以下に *TSC に分類されるオプションについて説明します。
{sracom|sracomdir|sracomext} = ファイル名
TSC により作成される SRACOM ファイルの名前を指定しま
す。
wavsw = [ON|OFF] (VSO/VCSSO/GEMINISO のみ )
WAV ファイルを生成するかどうかを指定します。
wavessw = [ON|OFF] (VSO/VCSSO/GEMINISO のみ )
WAVES ファイルを生成するかどうかを指定します。
regssw = [ON|OFF] (VSO/VCSSO/GEMINISO のみ )
REGS ファイルを生成するかどうかを指定します。
drivesw = [ON|OFF] (VSO/VCSSO/GEMINISO のみ )
DRIVE ファイルを生成するかどうかを指定します。
patternsw = [ON|OFF] (VSO/VCSSO/GEMINISO のみ )
PATTERN ファイルを生成するかどうかを指定します。
ilist = [ON|OFF]
TSTL2 テストデータを実行リスティングファイル ( 回路名
.tsclst) へ出力するかどうかを指定します。
nopin = [ON|OFF]
外部入出力ピンリストを実行リスティングファイル ( 回路名
.tsclst) へ出力するかどうかを指定します。OFF を指定す
ると、外部入出力ピンを生成します。
noitm = [ON|OFF]
入力タイミングリストを実行リスティングファイル ( 回路名
.tsclst) へ出力するかどうかを指定します。OFF を指定す
ると、入力タイミングリストを生成します。
nootm = [ON|OFF]
出力タイミングリストを実行リスティングファイル ( 回路名
.tsclst) へ出力するかどうかを指定します。OFF を指定す
ると、出力タイミングリストを生成します。
386 サインオフシステム R1.9.1 コマンドリファレンス
TSC の実行方法
noexp = [ON|OFF]
EXP ファイルを生成するかどうかを指定します。OFF を指
定すると、EXP ファイルを生成します。
timeunit = 単位時間
シミュレーションの単位時間を ns 単位で指定します。単位
時間は 0.01、0.1 などです。
setx = [0|1|X]
双方向ピンが出力パターン X から入力データ (0 または 1) に
切り換わるときの DT 波形の初期値を指定します。
setz = [0|1|X]
双方向ピンが出力パターン Z から入力データ (0 または 1) に
切り換わるときの DT 波形の初期値を指定します。
init = [0|1|X]
第 1 テストサイクルにおける DT 波形の初期値を指定しま
す。
setx、setz、init オプションを使用すると、テスタ
と整合性のない入力波形が作成されてしまいます。
サインオフシミュレーションではこのオプション
を使わずに回路の動作を確認してください。
force = [ON|OFF]
ON を指定すると、TSTL2 テストデータが変更されていなく
ても、強制的に TSTL2 テストデータを再コンパイルし、TIF
ファイルを更新します。
name = [ON|OFF]
ON を指定すると、TSC により作成される出力ファイルのデ
フォルトの名前は < モジュール名 >.< 拡張子 >.< テスト識
別子 > から < モジュール名 >< テスト識別子 >.< 拡張子 >
に変更されます。
splen = [ON|OFF]
ON を指定すると、スキャンパターン記述を使用したテスト
データ中において、同一のスキャンパスに与えるスキャン
パターン数が異なる場合、エラーメッセージを出力します。
OFF を指定すると、すべてのスキャンパターンの長さが同じ
になるように、短いスキャンパスにダミーのパターンを自
動的に挿入します。
pathlen = [ON|OFF]
ON を指定すると、同時スキャン指定されている異なるス
キャンパスで、スキャンテストパターンの長さが異なる場
合、エラーメッセージを出力します。OFF を指定すると、
すべてのスキャンパターンの長さが同じになるように、短
いスキャンパスにダミーのパターンを自動的に挿入します。
サインオフシステム R1.9.1 コマンドリファレンス 387
.....
TSC
30
TSC
TSC の実行方法
iscan = [ON|OFF]
ON を指定すると、内部スキャンのみの回路、または JTAG の
TAP コントローラに接続されていない内部スキャンに対し
て、パラレルロードシミュレーションを実行するための
ファイルを生成します。VSO/VCSSO/GEMINISO の場合は
WAV ファイル ( 回路名 .wav [. テスト識別子 ]) または、
DRIVE ファイル ( 回路名 .drive [. テスト識別子 ]) が、パ
ラレルロードシミュレーションの形式で生成されます。
VITALSO の場合は、PARA ファイル ( 回路名 .para [. テス
ト識別子 ]) が生成されます。
jtagiscan = [ON|OFF]
ON を指定すると、JTAG の TAP コントローラに接続されてい
る内部スキャンに対して、パラレルロードシミュレーショ
ンを実行するためのファイルを生成します。
VSO/VCSSO/GEMINISO の場合は WAV ファイル ( 回路名
.wav [. テスト識別子 ]) または、DRIVE ファイル ( 回路名
.drive [. テスト識別子 ]) が、パラレルロードシミュレー
ションの形式で生成されます。VITALSO の場合は、PARA
ファイル ( 回路名 .para [. テスト識別子 ]) が生成されま
す。
plscmp = [ON|OFF]
iscan、または jtagiscan が ON の場合に WAV ファイル ( 回
路名 .wav [. テスト識別子 ])、DRIVE ファイル ( 回路名
.drive [. テスト識別子 ])、または PARA ファイル ( 回路名
.para [.テスト識別子])を圧縮して出力するかどうか指定し
ます。デフォルトは ON で圧縮します。ただし、シミュレー
タとして Leapfrog または ModelSim を使用する場合は ON を
指定しても無視されます。圧縮した出力ファイルの例は 400
ページの「パラレルロードシミュレーション」を参照してく
ださい。
scanin = 時刻 1, タイミング名 1, 時刻 2, タイミング名 2, ...
内部スキャン F/F を X に初期化、および内部スキャン F/F
にスキャンインパターンを印加する時刻を TSTL2 のタイミ
ングの種類ごとに指定します。デフォルトは < シフトク
ロックが印加される時刻 > -5 ns です。テストサイクルの始
めからシフトクロックが印加される時刻までの間が 5 ns 以
上ない場合は、サイクルの先頭でスキャンインパターンを
印加します。詳しくは 400 ページの「パラレルロードシ
ミュレーション」を参照してください。
scanstbq = 時刻
内部スキャン F/F の Q ピン ( 共通 1 相型スキャンデザイン
の場合 ) または SO ピン ( 独立 2 相型スキャンデザインの場
合 ) で期待値比較を行うときのストローブ時刻を変更しま
す。デフォルトは外部スキャンアウトピンのストローブ時
388 サインオフシステム R1.9.1 コマンドリファレンス
TSC の実行方法
刻と同じです。詳しくは 400 ページの「パラレルロードシ
ミュレーション」を参照してください。
scanstbw = 幅
内部スキャン F/F の Q ピン ( 共通 1 相型スキャンデザイン
の場合 ) または SO ピン ( 独立 2 相型スキャンデザインの場
合 ) で期待値比較を行うときのストローブの幅を変更しま
す。デフォルトはエッジストローブです。詳しくは 400
ページの「パラレルロードシミュレーション」を参照してく
ださい。
column = [ON|OFF]
ON を指定すると、テストパターンが 72 カラムを超えて記述
されていても許可します。
arch = アーキテクチャ名 (VITALSO/VOYSO のみ )
DRIVE ファイル ( 回路名 .drive) に出力する回路の最上位
モジュールのアーキテクチャ名を指定します ( 図 30–11 参
照 )。デフォルトの場合、「architecture_neme」となり
ますが、シミュレーションを実行するときには手修正して
アーキテクチャ名を与えてください。
fsaread = [ON|OFF]
iscanオプションまたはjtagiscanオプションでONを指定
すると同時にこのオプションで ON を指定すると、スキャン
F/F の情報を FSA ファイルから取得します。OFF を指定す
るとスキャン F/F の情報を FSF ファイルから取得します。
{tst|tstdir|tstext} = ファイル名
TSTL2 テストデータファイルの名前を指定します。
{tif|tifdir|tifext} = ファイル名
TSC により作成される TIF ファイルの名前を指定します。
{fsf|fsfdir|fsfext} = ファイル名
パラレルロードシミュレーション実行時に必要な FSF ファ
イルの名前を指定します。FSF ファイルはスキャンパスの
接続情報を取得するために必要です。
{fsa|fsadir|fsaext} = ファイル名
パラレルロードシミュレーション実行時に必要な fsa ファ
イルの名前を指定します。fsa ファイルはスキャンパスの
接続情報を取得するために必要です。
{wav|wavdir|wavext} = ファイル名 (VSO/VCSSO/GEMINISO のみ )
TSC により作成される WAV ファイルの名前を指定します。
{waves|wavesdir|wavesext} = ファイル名
(VSO/VCSSO/GEMINISO のみ )
TSC により作成される WAVES ファイルの名前を指定しま
す。
サインオフシステム R1.9.1 コマンドリファレンス 389
.....
TSC
30
TSC
TSC の実行方法
{regs|regsdir|regsext} = ファイル名 (VSO/VCSSO/GEMINISO のみ )
TSC により作成される REGS ファイルの名前を指定します。
{drive|drivedir|driveext} = ファイル名
TSC により作成される DRIVE ファイルの名前を指定しま
す。
{pattern|patterndir|patternext} = ファイル名
TSC により作成される PATTERN ファイルの名前を指定しま
す。
{para|paradir|paraext} = ファイル名 (VITALSO のみ )
パラレルロードシミュレーションで使う PARA ファイルの
名前を指定します。
{pintbl|pintbldir|pintblext} = ファイル名
PINTBL ファイル ( ピン名のクロスリファレンス ) の名前を
指定します。
{tsclst|tsclstdir|tsclstext} = ファイル名
実行リスティングファイルの名前を指定します。
{tpi|tpidir|tpiext} = ファイル名
TSC により生成されるサイクル情報やタイミング切り換え
情報が入った TPI ファイルの名前を指定します。
{top|topdir|topext} = ファイル名
TOP ファイルの名前を指定します。
stmax = 文字数
TSTL2 の 1 論理文の最大文字数は 35,000 文字ですが、この
最大文字数を拡張し、指定した文字数まで記述できるよう
にします。
tester = [T120|T256|T512L|T512H|T1024]
TSTL2 テストデータ中のタイミングなどに対して、テスタ
制約チェックを実行する際に指定します。
実行例
以下に TSC の実行例を示します。
♦ 次のコマンドはテスト識別子として fnl を指定します。入力ファイルと
して使う TSTL2 テストデータファイルの名前は「回路名 .tstfn1」で
す。
tsc
testext=fn1
♦ 次のコマンドは入力ファイルとして使う TSTL2 テストデータファイルが
存在するディレクトリを指定します。
tsc testext=fn1 tstdir=./test
390 サインオフシステム R1.9.1 コマンドリファレンス
DT 波形に関するオプション
♦ 次のコマンドはパラレルロードシミュレーション用の WAV ファイル、
DRIVE ファイル、PARA ファイルを出力します。
tsc iscan=ON
DT 波形に関するオプション
..................................................
TSC には DT 波形を割り当てている双方向信号に関係するオプションとして
init、setx、setz があります。この頃では、これらのオプションについて説
明します。
init オプション
デフォルトでは、最初のテストサイクルにおける DT 波形の初期値、すなわ
ちディレイのあいだにおける値は X です。init オプションは、最初のテスト
サイクルにおける DT 波形の初期値をそれぞれ論理値 0 または論理値 1 に設定
するのに使います。以下に、これらのオプションの効果を波形図で示します。
図 30–2 init オプション
パターン
0
1
init=X ( デフォルト )
最初のテストサイクルの初期値は X になります。
パターンデータが 0 なので、その後「0」になります。
パターン
1
0
init=0
初期値は 0 になります。
パターン
0
1
init=1
初期値は 1 になります。
set x オプション・setz オプション
setx オプション、setz オプションは双方向ピンのテストパターンがそれぞ
れ X、Z から入力データに切り換わるときの初期値を指定します。
切り替わる直前のパターンデータが H だと、次のテストサイクルにおける
DT 波形の初期値は 1 になります。同様に直前のパターンデータが L の場合、
初期値は 0 になります。
サインオフシステム R1.9.1 コマンドリファレンス 391
.....
TSC
30
TSC
DT 波形に関するオプション
直線のパターンデータと DT 波形の初期値は次のとおりです。
表 30–1 直線のパターンデータと DT 波形の初期値
直前のパターンデータ
初期値
H
1
L
0
X
1
Z
0
DT 波形に対する setx オプションの効果を図 30–3 に、setz オプションの
効果を図 30–4 に示します。
図 30–3 setx オプション
パターン
setx=1 ( デフォルト )
X
0
出力モード
X の後の初期値は 1 です。
パターン
setx=0
X
1
出力モード
初期値は 0 になります。
パターン
setx=X
X
0
出力モード
初期値は X になります。
392 サインオフシステム R1.9.1 コマンドリファレンス
WAV ファイル
図 30–4 setz オプション
パターン
setz=0 ( デフォルト )
Z
1
出力モード
Z の後の初期値は 0 です。
パターン
setz=1
パターン
setz=X
Z
0
出力モード
Z
初期値は 1 になります。
1
出力モード
初期値は X になります。
WAV ファイル
..................................................
図 30–5 に TSC で作成される WAV ファイルの例を示します。デフォルトの
ファイル名は「回路名 .wav [. テスト識別名 ]」です。WAV ファイルでは、シ
ミュレーション時刻の指定は相対時刻が使われます。シミュレーションの絶対
時刻は各行の最後にコメントとして示されます。WAV ファイル中の各文の役割
については Cadence 社発行の『Verilog-XL Reference Manual』を参照してくださ
い。
図 30–5 WAV ファイルの例
‘timescale 1 ns / 10 ps
module wave;
reg
A0,A1,CI,CLR,CSN,RDN,WRN;
reg
D0,D1,D2,D3,D4,D5,D6,D7;
tri
BD0=D0,BD1=D1,BD2=D2,BD3=D3,
BD4=D4,BD5=D5,BD6=D6,BD7=D7;
DEMO3 DEMO3_wave(CO,BD0,BD1,BD2,
BD3,BD4,BD5,BD6,BD7,EQ,A0,
A1,CI,CLR,CSN,RDN,WRN);
initial
begin
/*
#0 $monitor ("%15.3f",$realtime,,
CO,D0,D1,D2,D3,D4,D5,D6,D7,
EQ,A0,A1,CI,CLR,CSN,RDN,WRN,
BD0,BD1,BD2,BD3,BD4,
BD5,BD6,BD7);
*/
サインオフシステム R1.9.1 コマンドリファレンス 393
.....
TSC
30
TSC
WAVES ファイル
#0
#20
#180
#200
#200
#200
#20
#180
#200
#200
#20
#180
#200
#200
#20
.......
#400
end
endmodule
CLR=0;
/* 0 */
CI=0;
CSN=1;
WRN=1;
A1=1’bx;
A0=1’bx;
RDN=1;
D1=0;
D2=0;
D3=0;
D4=0;
D5=0;
D6=0;
D7=0;
D0=1’bx;
A1=0;
/* 20*/
A0=0;
D0=0;
CLR=1;
/* 200*/
CSN=0;
D1=1;
D3=1;
D4=1;
D6=1;
WRN=0;
/* 400*/
WRN=1;
/* 600*/
D3=0;
/* 800*/
D4=0;
A0=1;
/* 820*/
D0=1;
WRN=0;
/* 1000*/
WRN=1;
/* 1200*/
D1=0;
/* 1400*/
D4=1;
D5=1;
D6=0;
D7=1;
A1=1;
/* 1420*/
A0=0;
D0=0;
WRN=0;
/* 1600*/
WRN=1;
/* 1800*/
D4=0;
/* 2000*/
D5=0;
D7=0;
A1=0;
/* 2020*/
$finish;
/* 4400 */
WAVES ファイル
..................................................
図 30–6 に TSC で作成される WAVES ファイルの例を示します。デフォルト
のファイル名は「回路名 .waves [. テスト識別子 ]」です。シミュレーション
実行中に、このファイルを参照することにより、$gr_waves タスクを実行し、
外部入出力ピンのシミュレーション結果を波形形式で表示できます。
394 サインオフシステム R1.9.1 コマンドリファレンス
REGS ファイル
図 30–6 WAVES ファイルの例
‘define top wave
‘timescale 1 ns / 10 ps
module DEMO3_waves;
initial
begin
$gr_waves(
"CO",‘top.CO,
"D0",‘top.D0,
"D1",‘top.D1,
"D2",‘top.D2,
"D3",‘top.D3,
"D4",‘top.D4,
"D5",‘top.D5,
"D6",‘top.D6,
"D7",‘top.D7,
"EQ",‘top.EQ,
"A0",‘top.A0,
"A1",‘top.A1,
"CI",‘top.CI,
"CLR,‘top.CLR,
"CSN,‘top.CSN,
"RDN,‘top.RDN,
"WRN,‘top.WRN,
"BD0",‘top.BD0,
"BD1",‘top.BD1,
"BD2",‘top.BD2,
"BD3",‘top.BD3,
"BD4",‘top.BD4,
"BD5",‘top.BD5,
"BD6",‘top.BD6,
"BD7",‘top.BD7),
#4200
$stop;
end
endmodule
REGS ファイル
..................................................
図 30–7 に TSC で作成される REGS ファイルの例を示します。デフォルトの
ファイル名は「回路名 .regs [. テスト識別子 ]」です。シミュレーション実行
中にこのファイルを参照することにより、$gr_regs タスクを実行し、外部入
出力ピンのシミュレーション結果をテーブル形式で表示できます。
図 30–7 REGS ファイルの例
‘define top wave
‘timescale 1 ns / 10 ps
module DEMO3_regs;
initial
begin
$gr_regs(
"CO
%b",‘top.CO,
"D0
%b
BD0
"D1
%b
BD1
"D2
%b
BD2
"D3
%b
BD3
%b",‘top.D0,‘top.BD0,
%b",‘top.D1,‘top.BD1,
%b",‘top.D2,‘top.BD2,
%b",‘top.D3,‘top.BD3,
サインオフシステム R1.9.1 コマンドリファレンス 395
.....
TSC
30
TSC
PATTERN ファイルと DRIVE ファイル
"D4
"D5
"D6
"D7
"EQ
"A0
"A1
"CLR
"CSN
"RDN
"WRN
#4200
end
endmodule
%b
BD4
%b",‘top.D4,‘top.BD4,
%b
BD5
%b",‘top.D5,‘top.BD5,
%b
BD6
%b",‘top.D6,‘top.BD6,
%b
BD7
%b",‘top.D7,‘top.BD7,
%b",‘top.EQ,
%b",‘top.A0,
%b",‘top.A1,
%b",‘top.CLR,
%b",‘top.CSN,
%b",‘top.RDN,
%b",‘top.WRN),
$stop;
PATTERN ファイルと DRIVE ファイル
..................................................
この項では PATTERN ファイルと DRIVE ファイルの例を示し、簡単に説明し
ます。PATTERN ファイルおよび DRIVE ファイルは図 30–8、図 30–9 の例を参
考にして、ユーザー自身で作成してもかまいません。
VSO/VCSSO/ GEMINI SO 用 PATTERN ファイル
図 30–8 に TSC で作成される VSO/VCSSO/GEMINISO 用の PATTERN ファイ
ルの例を示します。デフォルトのファイル名は「回路名 .pattern [. テスト
識別子 ]」です。時刻は前の行に対する相対時刻で示されます。シミュレー
ション絶対時刻は行の最後に「//」の後に入ります。
図 30–8 VSO/VCSSO/GEMINISO の PATTERN ファイルの例
// From ./DEMO3,tst
:
Data
Fri Aug 25 10:47:52 1995
フォーマットバージョン ( 固定 )
FMTVER 1.0;
信号数
SIGNALNUM 15 ;
ORDER
D0,D1,D2,D3,D4,D5,D6,D7,A0,
A1,CI,CLR,CSN,RDN,WRN;
PATTERN ;
ピンの並び、DRIVE ファイ
ルの assign 文の並びと一致
0
x0000000xx00111
// 0
していなければなりません。
20
000000000000111
// 20
180
010110100001011
// 200
200
010110100001010
// 400
200
010110100001011
// 600
パターンの開始宣言
200
010000100001011
// 800
20
110000101001011
// 820
180
110000101001010
// 1000
200
110000101001011
// 1200
200
100011011001011
// 1400
20
000011010101011
// 1420
180
000011010101010
// 1600
200
000011010101011
// 1800
200
000000000101011
// 2000
20
000000000001011
// 2020
380
zzzzzzzz0001011
// 2400
30
zzzzzzzz0001001
// 2430
140
zzzzzzzz0001011
// 2570
396 サインオフシステム R1.9.1 コマンドリファレンス
PATTERN ファイルと DRIVE ファイル
30
200
20
180
200
200
20
180
30
400
000000000001011
000010010011011
000010010111011
000010010111010
000010010111011
000000000111011
000000000011011
zzzzzzzz0011011
zzzzzzzz0011001
.......
$
//
//
//
//
//
//
//
//
//
2600
2800
2820
3000
3200
3400
3420
3600
3630
// 4400
パターンの終了
VITALSO/VOYSO 用 PATTERN ファイル
図 30–9 に TSC で作成される VITALSO/VOYSO 用の PATTERN ファイルの例
を示します。デフォルトのファイル名は「回路名 .pattern [. テスト識別
子 ]」です。時刻は前の行に対する相対時刻で示されます。
図 30–9 VITALSO/VOYSO の PATTERN ファイルの例
相対時刻
0
x0000000xx00111110000001111101010011001
20
000000000000111110001100000010100011101
200
010110100001011101010100101001111111111
200
010000100001011000010101010101001010011
20
110000101001011010101011110101010111000
180
110000101001010101001001100101010101010
200
110000101001011101011111111000111111111
200
100011011001011101010010011111000000000
20
000011010101011101010101010111111000011
180
000011010101010101010011011001011100110
200
000011010101011zzzzzzzzzz10110111000001
200
000000000101011101010101100011111111111
20
0000000000010111010110011001z1010100001
380
zzzzzzzz000101110101010101zz00011111111
30
zzzzzzzz0001001101010011101010101010100
200
000010010011011101010000110011100100010
20
0000100101110111010100001111111111zzzzz
180
000010010111010101001001110111001100101
DRIVE ファイルの read 文
の順序と一致していなけ
ればなりません。
サインオフシステム R1.9.1 コマンドリファレンス 397
.....
TSC
30
TSC
PATTERN ファイルと DRIVE ファイル
30
zzzzzzzz0011001010100101010101111011010
VSO/VCSSO/ GEMINI SO 用 DRI VE ファイル
図 30–10 に VSO/VCSSO/GEMINISO 用の DRIVE ファイルの例を示します。
デフォルトのファイル名は「回路名 .drive [. テスト識別子 ]」です。DRIVE
ファイル中の $vetpr タスク文に注意してください。$vetpr タスクは次頁で
示す PATTERN ファイルを読み込むための当社のタスクで、デザインキット中
に提供されています。VSO/VCSSO/GEMINISO を起動するときに DRIVE ファ
イルの名前を指定するだけで、パターンの印加をスケジュールできます。
図 30–10 VSO/VCSSO/GEMINISO の DRIVE ファイルの例
’timescale 1 ns / 10 ps
module wave;
wire
A0,A1,CI,CLR,CSN,RDN,WRN;
wire
D0,D1,D2,D3,D4,D5,D6,D7;
tri
BD0=D0,BD1=D1,BD2=D2,BD3=D3,
BD4=D4,BD5=D5,BD6=D6,BD7=D7;
リターンコード用の
integer ret;
整数型変数の宣言
レジスタ宣言
reg [14:0] test_vector;
assign {
D0,D1,D2,D3,D4,D5,D6,D7,A0
A1,CI,CLR,CSN,RDN,WRN}
= test_vector;
PATTERN ファイル中のピ
ンの並びとレジスタ名
DEMO3, DEMO3_wave(CO,BD0,BD1,BD2,
BD3,BD4,BD5,BD6,BD7,EQ,A0,
A1,CI,CLR,CSN,RDN,WRN);
initial
begin
$vetpr タスク
$vetpr("./DEMO3.pattern",test_vector,ret);
if (ret != 0)
begin
$display("..FE com-0109 Error found in Pattern file. \n");
$display("please check Pattern file. \n");
$finish ;
end
end
initial
begin
/*
#0 $monitor ("%15.3f",$realtime,,
CO,D0,D1,D2,D3,D4,D5,D6,D7,
EQ,A0,A1,CI,CLR,CSN,RDN,WRN,
BD0,BD1,BD2,BD3,BD4,
BD5,BD6,BD7);
*/
end
endmodule
398 サインオフシステム R1.9.1 コマンドリファレンス
PATTERN ファイルと DRIVE ファイル
$vetpr タスクのシンタックスを以下に示します。
$vetpr
("PATTERN ファイル名 ", レジスタ名 [, リターンコード用変数 ]);
PATTERN ファイル名
PATTERN ファイルの名前を指定します。
レジスタ名
assign文の等号(=)の右側に記述したテストパターン印加用
のレジスタの名前を指定します。
リターンコード用変数
$vetpr タスクからのリターンコードを格納する変数の名前
を指定します。省略できます。角カッコは入力しないでく
ださい。
VITALSO 用 DRI VE ファイル
図 30–11 に VITALSO 用の DRIVE ファイルの例を示します。デフォルトの
ファイル名は「回路名 .drive [. テスト識別子 ]」です。VHDL シミュレータ
を起動するときに DRIVE ファイルの名前を指定するだけで、パターンの印加
をスケジュールできます。
図 30–11 VITALSO の DRIVE ファイルの例
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_textio.all;
use std.textio.all;
TEXTIO パッケージを呼び
出します。
-- entity declaration -entity Test_DESIGN is
generic( Input_File: String := "./DESIGN.pattern");
end Test_DESIGN;
PATTERN ファイルの名前
-- architecture body -architecture Driver of Test_DESIGN is
component DESIGN port ( D1: inout std_logic;
IN1: in std_logic;
INDATA: in std_logic_vector(3 downto 0);
OUT1: out std_logic );
end component;
signal
signal
signal
signal
signal
D1 : std_logic;
BD1 : std_logic;
IN1:
std_logic;
INDATA: std_logic_vector(3 downto 0);
OUT1: std_logic;
begin
UUT: DESIGN port map (BD1, IN1, INDATA, OUT1);
サインオフシステム R1.9.1 コマンドリファレンス 399
.....
TSC
30
TSC
パラレルロードシミュレーション
Stimulus: process
file invectors : TEXT is in Input_File;
variable Li
: Line;
variable iiiiii : integer;
TEXTIO で読み込むファイル
variable D1_temp: std_logic;
を FILE 宣言で指定します。
variable IN1_temp: std_logic;
variable INDATA_temp: std_logic_vector(3 downto 0);
:
PATTERN ファイル invector か
begin
ら 1 行 ( 相対時刻 ) 読み取り、
if ENDFILE(invectors) then
バッファ Li に保管します。
wait;
end if;
バッファ Li から相対時刻を読
み取って、変数 iiiiii に保管し ----------------------read file
readline(invectors,Li);
ます。
read(Li,iiiiii);
readline(invectors,Li);
read(Li, D1_temp);
read(Li, IN1_temp);
PATTERN ファイル invector か
read(Li, INDATA_temp);
ら 1 行 ( パターン列 ) 読み取
:
り、バッファ Li に保管しま
BD1 <= D1_temp;
す。
IN1 <= IN1_temp;
INDATA <= INDATA_temp;
:
-- Input Value Save for Bi-directional Ports -D1 <= D1_temp;
バッファ Li から 1 文字読み
:
取って、ピン D0_1 に割り付
wait for iiiiii*1 ns;
けます。バッファが空になる
end process;
まで、read を繰り返します。
end;
-- configuration declaration -configuration CTEST of Test_DESIGN is
for driver
for UUT: DESIGN
USE ENTITY work.DESIGN(DESIGN_ARCHITECTURE);
ead for;
end for;
回路の最上位モジュールの
end CTEST;
アーキテクチャ名
パラレルロードシミュレーション
..................................................
この項では、パラレルロードシミュレーションを行うときのスキャンテスト
の手順とパラレルロードファイルの生成例について説明します。
スキャンテストの手順
スキャンデザインを使ったテストでは、スキャンパスのシフト機能を使って
テストデータを回路中に入力、観測します。しかし、スキャンデザインでは、
回路中で数千個のスキャン F/F が使われているのが一般的です。そのため、1
本当たりのスキャンパスは非常に長くなり、スキャンパスのシフト動作に非常
に多くのテストサイクルが必要になります。例えば、たった 1 セットのテスト
データを 1,000 個のスキャン F/F からなるスキャンパスにシフトするのに 999
400 サインオフシステム R1.9.1 コマンドリファレンス
パラレルロードシミュレーション
サイクルもかかり、1,000 サイクル目になってはじめてテストデータの入力が
完了することになります。テストデータのセットは ATPG を実行すると、数十
から数百、ときには数千セット生成されます。1,000 セットのテストパターン
すべてをシフトするのに必要なテストサイクルはさらにその 1,000 倍になりま
す。このように、通常のシミュレータでは実行時間への影響がときには非現実
的に大きくなってしまいます。
こうした問題を回避するためにスキャンモードサイクルのシフト動作をせず
に、直接スキャン F/F に値を設定したり、読み出したりする特殊なシミュレー
ション手法を採用しています。これを、パラレルロードシミュレーション手法
と呼びます。これにより、すべてのスキャン F/F は同時にロードされて、シス
テムロジックのシミュレーションを実行するための条件が設定されます。その
後、システムロジックの出力値はスキャン F/F に取り込まれて、期待値と比較
されます。
パラレルロードシミュレーションでは、次に示す手順で各スキャンテストパ
ターンを印加します。
1. スキャン F/F のスキャンイン (SI) ピンに「X」を設定して、スキャンクロッ
クを動作させることにより、すべての内部スキャン F/F を「X」に初期化し
ます。
図 30–12 内部スキャン F/F を「X」に初期化
データ入力
データ出力
組み合わせ回路
X
X
スキャンイン
X
D
CP
SI
A
B
Q
QN
SO
X
D
CP
SI
A
B
Q
QN
SO
スキャンアウト
スキャン
クロック
システム
クロック
サインオフシステム R1.9.1 コマンドリファレンス 401
.....
TSC
30
TSC
パラレルロードシミュレーション
2. スキャン F/F のスキャンイン (SI) ピンにスキャンインパターンを印加しま
す。そして、スキャンクロックを動作させることにより、組み合わせ回路
にパターンを取り込みます。
図 30–13 スキャン F/F のデータ入力ピンにスキャンインパターンを印加
データ入力
1
データ出力
組み合わせ回路
0
スキャンイン
D
CP
SI
A
B
1
Q
D
CP
SI
A
B
0
QN
SO
Q
QN
スキャンアウト
SO
スキャン
クロック
システム
クロック
3. 外部入力ピンにテストデータを設定し、組み合わせ回路の動作をシミュ
レーションして、外部出力ピンの値を読み取ります。
図 30–14 外部出力ピンの値の読み取り
データ入力
データ出力
1
0
組み合わせ回路
0
0
スキャンイン
スキャン
クロック
システム
クロック
402 サインオフシステム R1.9.1 コマンドリファレンス
1
D
CP
SI
A
B
Q
QN
SO
1
1
0
D
CP
SI
A
B
Q
QN
SO
期待値比較
スキャンアウト
パラレルロードシミュレーション
4. システムクロックを 1 回動作させて、すべての内部状態をスキャン F/F に取
り込みます。
図 30–15 内部状態のスキャン F/F への取り込み
データ入力
1
データ出力
0
組み合わせ回路
0
0
スキャンイン
0
D
CP
SI
A
B
1
Q
QN
SO
1
0
1
D
CP
SI
A
B
Q
QN
SO
1
スキャンアウト
スキャン
クロック
システム
クロック
5. スキャン F/F のスキャンアウト (SO) ピンの値をスキャンアウトパターンと
比較します。
図 30–16 スキャン F/F のスキャンアウトデータの比較
データ入力
データ出力
組み合わせ回路
スキャンイン
D
CP
SI
A
B
スキャン
クロック
システム
クロック
Q
QN
SO
0
D
CP
SI
A
B
Q
QN
SO
1
スキャンアウト
期待値比較
各テストパターンについて以上の手順が繰り返されます。不一致が検出され
た場合、そのテストパターンは、不適切であるということがわかります。
パラレルロードシミュレーション用入力ファイルの生成例
以下にパラレルロードシミュレーションを実行するときに使う TSTL2 テスト
データ、実行時のタイミング図、そして実行後に出力される WAV ファイル
(Verilog-HDL)、DRIVE ファイル (Verilog-HDL)、PARA ファイル (VHDL) の例を
それぞれ示します。PARA ファイルの形式は VHDL シミュレータにより異なり
ます。
以下に、SP 文を使って書かれている TSTL2 形式のテストデータの例を示し
ます。
サインオフシステム R1.9.1 コマンドリファレンス 403
.....
TSC
30
TSC
パラレルロードシミュレーション
TSTL2 テストデータ
図 30–17 TSTL2 テストデータの例
TITLE SCN7011.tst1
/PART0
;
DECLARE;
PATTYPE TSBINTERNAL;
SYSCLK(P) SYSCK(IN1);
SCSEL(IN1) TEN1=1;
ENDDEC;
FUNCTEST FT1;
INPUT(0) TEN1,TM,B,C,A,D,SDI1;
INPUT(1) SYSCK;
OUTPUT(7) Y,X;
OUTPUT(8) SDO1;
TIMING TS1;
CYCLE
200 /* NS */;
TIMESET(1) PP,50,100;
TIMESET(7) STB,30;
TIMESET(8) STB,50;
ENDTIM;
SCAN IN1I;
PATH SDI1;
CONST SYSCK=P,TEN1=1,TM=0;
ENDSCAN;
SCAN IN1O;
PATH SDO1;
CONST SYSCK=P,TEN1=1,TM=0;
ENDSCAN;
ASSIGN B,C,Y,X,,SYSCK,SDI1,SDO1;
CONST TEN1=0,TM=0,A=0,D=0;
TESTPATT PT1;
REM $SCAN IN1 7
SDI1 SDO1;
ENABLE TS1;
SP(IN1I) 0100110; /* 400ns */
SP(IN1O) LHLLHHL,
SP(IN1I) 0101110; /* O:600ns I:1000ns */
REM $PAT 1000NS;
01HH P0X;
/* 1200ns */
SP(IN1O) HHHLLLL,
SP(IN1I) 1111000; /* O:1400ns I:1800ns */
REM $PAT 1800NS;
11HH P0X;
/* 2000ns */
SP(IN1O) HHHHHLL,
SP(IN1I) 0101010; /* O:2200ns I:2600ns */
REM $PAT 2600NS;
11HH P0X;
/* 2800ns */
SP(IN1O) HHLHHLH; /* 3000ns */
ENDTEST;
ENDFUNC;
END;
404 サインオフシステム R1.9.1 コマンドリファレンス
パラレルロードシミュレーション
図 30–18 タイミングの例
スキャンモード
SP(IN1O)
システムモード
SP(IN1I)
スキャンモード
SP(IN1O)
ACLK
BCLK
スキャンイン
force X
force 0/1
スキャンアウト
ストローブ
SYSCLK
ストローブ
入力
出力
ストローブ
圧縮したパラレルロードシミュレーション用入力ファイルの例
以下に圧縮した (plscmp=ON) パラレルロードシミュレーション用入力ファ
イルの例を示します。ただし、シミュレータとして Leapfrog または ModelSim
を使用する場合は、plscmp オプションで ON を指定しても無視されます ( 圧縮
されません )。
図 30–19 Verilog-XL/NC-Verilog/VCS/Gemini の WAV ファイルの例 (plscmp=ON)
‘timescale 1 ns / 10 ps
module wave;
reg[1:7] _frc_IN1_sibus;
event
force_frc_IN1_sibus;
always @(force_frc_IN1_sibus) begin
force {
SCN7011_wave.SFFG.TI,
SCN7011_wave.SFFF.TI,
SCN7011_wave.SFFE.TI,
SCN7011_wave.SFFD.TI,
SCN7011_wave.SFFC.TI,
サインオフシステム R1.9.1 コマンドリファレンス 405
.....
TSC
TSC
30
パラレルロードシミュレーション
SCN7011_wave.SFFB.TI,
SCN7011_wave.SFFA.TI
} = _frc_IN1_sibus;
end
event
release_frc_IN1_sibus;
always @(release_frc_IN1_sibus) begin
release {
SCN7011_wave.SFFG.TI,
SCN7011_wave.SFFF.TI,
SCN7011_wave.SFFE.TI,
SCN7011_wave.SFFD.TI,
SCN7011_wave.SFFC.TI,
SCN7011_wave.SFFB.TI,
SCN7011_wave.SFFA.TI
};
end
reg
B,C,SYSCK,SDI1,TEN1,TM,A,D;
SCN7011 SCN7011_wave(X,Y,SDO1,SYSCK,TM,A,B,C,D,SDI1,TEN1);
initial
begin
/* #0 $monitor ("%15.3f",$realtime,,
B,C,Y,X,SYSCK,SDI1,SDO1,TEN1,TM,A,D,SCN7011_wave.SFFG.D,
SCN7011_wave.SFFG.Q,
SCN7011_wave.SFFF.D,SCN7011_wave.SFFF.Q,SCN7011_wave.SFFE.D,
SCN7011_wave.SFFE.Q,
SCN7011_wave.SFFD.D,SCN7011_wave.SFFD.Q,SCN7011_wave.SFFC.D,
SCN7011_wave.SFFC.Q,
SCN7011_wave.SFFB.D,SCN7011_wave.SFFB.Q,SCN7011_wave.SFFA.D,
SCN7011_wave.SFFA.Q); */
#0.00
B=0;
#0.00
C=0;
#0.00
SYSCK=0;
#0.00
SDI1=0;
#0.00
TEN1=1;
#0.00
TM=0;
#0.00
A=0;
#0.00
D=0;
#45.00
;
/* 0.00 */
/* 45.00 */
_frc_IN1_sibus[1:7]=7’bXXXXXXX;
-> force_frc_IN1_sibus;
#5.00
SYSCK=1;
/* 50.00 */
#100.00
SYSCK=0;
/* 150.00 */
#40.00
;
/* 190.00 */
-> release_frc_IN1_sibus;
#55.00
;
.......
406 サインオフシステム R1.9.1 コマンドリファレンス
/* 245.00 */
パラレルロードシミュレーション
図 30–20 Verilog-XL/NC-Verilog/VCS/Gemini の DRIVE ファイルの例 (plscmp=ON)
‘timescale 1 ns / 10 ps
module wave;
reg[1:7] _frc_IN1_sibus;
event
force_frc_IN1_sibus;
always @(force_frc_IN1_sibus) begin
force {
SCN7011_wave.SFFG.TI,
SCN7011_wave.SFFF.TI,
SCN7011_wave.SFFE.TI,
SCN7011_wave.SFFD.TI,
SCN7011_wave.SFFC.TI,
SCN7011_wave.SFFB.TI,
SCN7011_wave.SFFA.TI
} = _frc_IN1_sibus;
end
event
release_frc_IN1_sibus;
always @(release_frc_IN1_sibus) begin
release {
SCN7011_wave.SFFG.TI,
SCN7011_wave.SFFF.TI,
SCN7011_wave.SFFE.TI,
SCN7011_wave.SFFD.TI,
SCN7011_wave.SFFC.TI,
SCN7011_wave.SFFB.TI,
SCN7011_wave.SFFA.TI
};
end
wire
B,C,SYSCK,SDI1,TEN1,TM,A,D;
integer ret ;
reg[7:0] test_vector ;
assign {SYSCK,TM,A,B,C,D,SDI1,TEN1} = test_vector ;
SCN7011 SCN7011_wave(X,Y,SDO1,SYSCK,TM,A,B,C,D,SDI1,TEN1);
initial
begin
$vetpr("./SCN7011.pattern.1",test_vector,ret);
if (ret != 0)
begin
$display("..FE com-0109 Error found in Pattern file.\n");
$display("Please check Pattern file.\n");
$finish ;
end
サインオフシステム R1.9.1 コマンドリファレンス 407
.....
TSC
TSC
30
パラレルロードシミュレーション
end
initial
begin
#45.00
;
/* 45.00 */
_frc_IN1_sibus[1:7]=7’bXXXXXXX;
-> force_frc_IN1_sibus;
#145.00
;
/* 190.00 */
-> release_frc_IN1_sibus;
.......
図 30–21 VSS の PARA ファイルの例 (plscmp=ON)
run 45000
assign (’X’) /Test_SCN7011/UUT/SFFG/TI \
/Test_SCN7011/UUT/SFFF/TI /Test_SCN7011/UUT/SFFE/TI
/Test_SCN7011/UUT/SFFD/TI /Test_SCN7011/UUT/SFFC/TI
/Test_SCN7011/UUT/SFFB/TI /Test_SCN7011/UUT/SFFA/TI
run 200000
assign (’0’) /Test_SCN7011/UUT/SFFG/TI \
/Test_SCN7011/UUT/SFFE/TI /Test_SCN7011/UUT/SFFD/TI
/Test_SCN7011/UUT/SFFA/TI
assign (’1’) /Test_SCN7011/UUT/SFFF/TI \
/Test_SCN7011/UUT/SFFC/TI /Test_SCN7011/UUT/SFFB/TI
run 400000
assign (’X’) /Test_SCN7011/UUT/SFFG/TI \
/Test_SCN7011/UUT/SFFF/TI /Test_SCN7011/UUT/SFFE/TI
/Test_SCN7011/UUT/SFFD/TI /Test_SCN7011/UUT/SFFC/TI
/Test_SCN7011/UUT/SFFB/TI /Test_SCN7011/UUT/SFFA/TI
run 200000
assign (’0’) /Test_SCN7011/UUT/SFFG/TI \
/Test_SCN7011/UUT/SFFE/TI /Test_SCN7011/UUT/SFFA/TI
assign (’1’) /Test_SCN7011/UUT/SFFF/TI \
/Test_SCN7011/UUT/SFFD/TI /Test_SCN7011/UUT/SFFC/TI
/Test_SCN7011/UUT/SFFB/TI
run 600000
assign (’X’) /Test_SCN7011/UUT/SFFG/TI \
/Test_SCN7011/UUT/SFFF/TI /Test_SCN7011/UUT/SFFE/TI
/Test_SCN7011/UUT/SFFD/TI /Test_SCN7011/UUT/SFFC/TI
/Test_SCN7011/UUT/SFFB/TI /Test_SCN7011/UUT/SFFA/TI
run 200000
assign (’1’) /Test_SCN7011/UUT/SFFG/TI \
/Test_SCN7011/UUT/SFFF/TI /Test_SCN7011/UUT/SFFE/TI
/Test_SCN7011/UUT/SFFD/TI
assign (’0’) /Test_SCN7011/UUT/SFFC/TI \
/Test_SCN7011/UUT/SFFB/TI /Test_SCN7011/UUT/SFFA/TI
run 600000
assign (’X’) /Test_SCN7011/UUT/SFFG/TI \
/Test_SCN7011/UUT/SFFF/TI /Test_SCN7011/UUT/SFFE/TI
/Test_SCN7011/UUT/SFFD/TI /Test_SCN7011/UUT/SFFC/TI
/Test_SCN7011/UUT/SFFB/TI /Test_SCN7011/UUT/SFFA/TI
run 200000
assign (’0’) /Test_SCN7011/UUT/SFFG/TI \
/Test_SCN7011/UUT/SFFE/TI /Test_SCN7011/UUT/SFFC/TI
/Test_SCN7011/UUT/SFFA/TI
assign (’1’) /Test_SCN7011/UUT/SFFF/TI \
/Test_SCN7011/UUT/SFFD/TI /Test_SCN7011/UUT/SFFB/TI
run 755000
408 サインオフシステム R1.9.1 コマンドリファレンス
\
\
\
\
\
\
\
\
\
\
\
\
パラレルロードシミュレーション
圧縮していないパラレルロードシミュレーション用入力ファイルの例
以下に圧縮していない (plscmp=OFF) パラレルロードシミュレーション用入
力ファイルの例を示します。
図 30–22 Verilog-XL/NC-Verilog/VCS/Gemini の WAV ファイルの例 (plscmp=OFF)
‘timescale 1 ns / 10 ps
module wave;
reg
B,C,SYSCK,SDI1,TEN1,TM,A,D;
SCN7011 SCN7011_wave(X,Y,SDO1,SYSCK,TM,A,B,C,D,SDI1,TEN1);
initial
begin
/* #0 $monitor ("%15.3f",$realtime,,
B,C,Y,X,SYSCK,SDI1,SDO1,TEN1,TM,A,D,SCN7011_wave.SFFG.D,
SCN7011_wave.SFFG.Q,
SCN7011_wave.SFFF.D,SCN7011_wave.SFFF.Q,SCN7011_wave.SFFE.D,
SCN7011_wave.SFFE.Q,
SCN7011_wave.SFFD.D,SCN7011_wave.SFFD.Q,SCN7011_wave.SFFC.D,
SCN7011_wave.SFFC.Q,
SCN7011_wave.SFFB.D,SCN7011_wave.SFFB.Q,SCN7011_wave.SFFA.D,
SCN7011_wave.SFFA.Q); */
#0.00
B=0;
#0.00
C=0;
/* 0.00 */
#0.00
SYSCK=0;
#0.00
SDI1=0;
#0.00
TEN1=1;
#0.00
TM=0;
#0.00
A=0;
#0.00
D=0;
#45.00
force SCN7011_wave.SFFG.TI=1’bx;/* 45.00 */
#0.00
force SCN7011_wave.SFFF.TI=1’bx;
#0.00
force SCN7011_wave.SFFE.TI=1’bx;
#0.00
force SCN7011_wave.SFFD.TI=1’bx;
#0.00
force SCN7011_wave.SFFC.TI=1’bx;
#0.00
force SCN7011_wave.SFFB.TI=1’bx;
#0.00
force SCN7011_wave.SFFA.TI=1’bx;
#5.00
SYSCK=1;
/* 50.00 */
#100.00
SYSCK=0;
/* 150.00 */
#40.00
release SCN7011_wave.SFFG.TI;/* 190.00 */
#0.00
release SCN7011_wave.SFFF.TI;
#0.00
release SCN7011_wave.SFFE.TI;
#0.00
release SCN7011_wave.SFFD.TI;
#0.00
release SCN7011_wave.SFFC.TI;
#0.00
release SCN7011_wave.SFFB.TI;
#0.00
release SCN7011_wave.SFFA.TI;
#55.00
force SCN7011_wave.SFFG.TI=0;/* 245.00 */
サインオフシステム R1.9.1 コマンドリファレンス 409
.....
TSC
TSC
30
パラレルロードシミュレーション
#0.00
force SCN7011_wave.SFFF.TI=1;
#0.00
force SCN7011_wave.SFFE.TI=0;
#0.00
force SCN7011_wave.SFFD.TI=0;
#0.00
force SCN7011_wave.SFFC.TI=1;
#0.00
force SCN7011_wave.SFFB.TI=1;
#0.00
force SCN7011_wave.SFFA.TI=0;
#5.00
SYSCK=1;
/* 250.00 */
#100.00
SYSCK=0;
/* 350.00 */
#40.00
release SCN7011_wave.SFFG.TI;/* 390.00 */
#0.00
release SCN7011_wave.SFFF.TI;
#0.00
release SCN7011_wave.SFFE.TI;
.......
図 30–23 Verilog-XL/NC-Verilog/VCS/Gemini の DRIVE ファイルの例 (plscmp=OFF)
‘timescale 1 ns / 10 ps
module wave;
wire
B,C,SYSCK,SDI1,TEN1,TM,A,D;
integer ret ;
reg[7:0] test_vector ;
assign {SYSCK,TM,A,B,C,D,SDI1,TEN1} = test_vector ;
SCN7011 SCN7011_wave(X,Y,SDO1,SYSCK,TM,A,B,C,D,SDI1,TEN1);
initial
begin
$vetpr("./SCN7011.pattern.1",test_vector,ret);
if (ret != 0)
begin
$display("..FE com-0109 Error found in Pattern file.\n");
$display("Please check Pattern file.\n");
$finish ;
end
end
initial
begin
#45.00
force SCN7011_wave.SFFG.TI=1’bx;/* 45.00 */
#0.00
force SCN7011_wave.SFFF.TI=1’bx;
#0.00
force SCN7011_wave.SFFE.TI=1’bx;
#0.00
force SCN7011_wave.SFFD.TI=1’bx;
#0.00
force SCN7011_wave.SFFC.TI=1’bx;
#0.00
force SCN7011_wave.SFFB.TI=1’bx;
#0.00
force SCN7011_wave.SFFA.TI=1’bx;
#145.00
release SCN7011_wave.SFFG.TI;/* 190.00 */
#0.00
release SCN7011_wave.SFFF.TI;
410 サインオフシステム R1.9.1 コマンドリファレンス
パラレルロードシミュレーション
#0.00
release SCN7011_wave.SFFE.TI;
#0.00
release SCN7011_wave.SFFD.TI;
#0.00
release SCN7011_wave.SFFC.TI;
#0.00
release SCN7011_wave.SFFB.TI;
#0.00
release SCN7011_wave.SFFA.TI;
#55.00
force SCN7011_wave.SFFG.TI=0;/* 245.00 */
#0.00
force SCN7011_wave.SFFF.TI=1;
#0.00
force SCN7011_wave.SFFE.TI=0;
#0.00
force SCN7011_wave.SFFD.TI=0;
#0.00
force SCN7011_wave.SFFC.TI=1;
#0.00
force SCN7011_wave.SFFB.TI=1;
#0.00
force SCN7011_wave.SFFA.TI=0;
#145.00
release SCN7011_wave.SFFG.TI;/* 390.00 */
#0.00
release SCN7011_wave.SFFF.TI;
#0.00
release SCN7011_wave.SFFE.TI;
.......
図 30–24 ModelSim の PARA ファイルの例 (plscmp=OFF)
run 45 ns
force -deposit
force -deposit
force -deposit
force -deposit
force -deposit
force -deposit
force -deposit
run 200 ns
force -deposit
force -deposit
force -deposit
force -deposit
force -deposit
force -deposit
force -deposit
run 400 ns
force -deposit
force -deposit
force -deposit
force -deposit
force -deposit
force -deposit
force -deposit
run 200 ns
force -deposit
force -deposit
force -deposit
force -deposit
force -deposit
force -deposit
force -deposit
run 600 ns
force -deposit
force -deposit
force -deposit
/UUT/SFFG/TI
/UUT/SFFF/TI
/UUT/SFFE/TI
/UUT/SFFD/TI
/UUT/SFFC/TI
/UUT/SFFB/TI
/UUT/SFFA/TI
X
X
X
X
X
X
X
/UUT/SFFG/TI
/UUT/SFFF/TI
/UUT/SFFE/TI
/UUT/SFFD/TI
/UUT/SFFC/TI
/UUT/SFFB/TI
/UUT/SFFA/TI
0
1
0
0
1
1
0
/UUT/SFFG/TI
/UUT/SFFF/TI
/UUT/SFFE/TI
/UUT/SFFD/TI
/UUT/SFFC/TI
/UUT/SFFB/TI
/UUT/SFFA/TI
X
X
X
X
X
X
X
/UUT/SFFG/TI
/UUT/SFFF/TI
/UUT/SFFE/TI
/UUT/SFFD/TI
/UUT/SFFC/TI
/UUT/SFFB/TI
/UUT/SFFA/TI
0
1
0
1
1
1
0
/UUT/SFFG/TI X
/UUT/SFFF/TI X
/UUT/SFFE/TI X
サインオフシステム R1.9.1 コマンドリファレンス 411
.....
TSC
30
TSC
パラレルロードシミュレーション
force -deposit
force -deposit
force -deposit
force -deposit
run 200 ns
.......
/UUT/SFFD/TI
/UUT/SFFC/TI
/UUT/SFFB/TI
/UUT/SFFA/TI
X
X
X
X
図 30–25 Leapfrog の PARA ファイルの例 (plscmp=OFF)
run
SET
SET
SET
SET
SET
SET
SET
run
SET
SET
SET
SET
SET
SET
SET
run
SET
SET
SET
SET
SET
SET
SET
run
SET
SET
SET
SET
SET
SET
SET
run
SET
SET
SET
SET
SET
SET
SET
run
45 ns
FORCE :UUT:SFFG:TI
FORCE :UUT:SFFF:TI
FORCE :UUT:SFFE:TI
FORCE :UUT:SFFD:TI
FORCE :UUT:SFFC:TI
FORCE :UUT:SFFB:TI
FORCE :UUT:SFFA:TI
200 ns
FORCE :UUT:SFFG:TI
FORCE :UUT:SFFF:TI
FORCE :UUT:SFFE:TI
FORCE :UUT:SFFD:TI
FORCE :UUT:SFFC:TI
FORCE :UUT:SFFB:TI
FORCE :UUT:SFFA:TI
400 ns
FORCE :UUT:SFFG:TI
FORCE :UUT:SFFF:TI
FORCE :UUT:SFFE:TI
FORCE :UUT:SFFD:TI
FORCE :UUT:SFFC:TI
FORCE :UUT:SFFB:TI
FORCE :UUT:SFFA:TI
200 ns
FORCE :UUT:SFFG:TI
FORCE :UUT:SFFF:TI
FORCE :UUT:SFFE:TI
FORCE :UUT:SFFD:TI
FORCE :UUT:SFFC:TI
FORCE :UUT:SFFB:TI
FORCE :UUT:SFFA:TI
600 ns
FORCE :UUT:SFFG:TI
FORCE :UUT:SFFF:TI
FORCE :UUT:SFFE:TI
FORCE :UUT:SFFD:TI
FORCE :UUT:SFFC:TI
FORCE :UUT:SFFB:TI
FORCE :UUT:SFFA:TI
200 ns
.......
=
=
=
=
=
=
=
’X’
’X’
’X’
’X’
’X’
’X’
’X’
=
=
=
=
=
=
=
’0’
’1’
’0’
’0’
’1’
’1’
’0’
=
=
=
=
=
=
=
’X’
’X’
’X’
’X’
’X’
’X’
’X’
=
=
=
=
=
=
=
’0’
’1’
’0’
’1’
’1’
’1’
’0’
=
=
=
=
=
=
=
’X’
’X’
’X’
’X’
’X’
’X’
’X’
図 30–26 VSS の PARA ファイルの例 (plscmp=OFF)
run 45000
assign (’X’) /Test_SCN7011/UUT/SFFG/TI
assign (’X’) /Test_SCN7011/UUT/SFFF/TI
assign (’X’) /Test_SCN7011/UUT/SFFE/TI
412 サインオフシステム R1.9.1 コマンドリファレンス
パラレルロードシミュレーション
assign (’X’)
assign (’X’)
assign (’X’)
assign (’X’)
run 200000
assign (’0’)
assign (’1’)
assign (’0’)
assign (’0’)
assign (’1’)
assign (’1’)
assign (’0’)
run 400000
assign (’X’)
assign (’X’)
assign (’X’)
assign (’X’)
assign (’X’)
assign (’X’)
assign (’X’)
run 200000
assign (’0’)
assign (’1’)
assign (’0’)
assign (’1’)
assign (’1’)
assign (’1’)
assign (’0’)
run 600000
assign (’X’)
assign (’X’)
assign (’X’)
assign (’X’)
assign (’X’)
assign (’X’)
assign (’X’)
run 200000
assign (’1’)
assign (’1’)
assign (’1’)
assign (’1’)
assign (’0’)
assign (’0’)
assign (’0’)
run 600000
assign (’X’)
assign (’X’)
assign (’X’)
assign (’X’)
assign (’X’)
assign (’X’)
assign (’X’)
run 200000
.......
/Test_SCN7011/UUT/SFFD/TI
/Test_SCN7011/UUT/SFFC/TI
/Test_SCN7011/UUT/SFFB/TI
/Test_SCN7011/UUT/SFFA/TI
/Test_SCN7011/UUT/SFFG/TI
/Test_SCN7011/UUT/SFFF/TI
/Test_SCN7011/UUT/SFFE/TI
/Test_SCN7011/UUT/SFFD/TI
/Test_SCN7011/UUT/SFFC/TI
/Test_SCN7011/UUT/SFFB/TI
/Test_SCN7011/UUT/SFFA/TI
/Test_SCN7011/UUT/SFFG/TI
/Test_SCN7011/UUT/SFFF/TI
/Test_SCN7011/UUT/SFFE/TI
/Test_SCN7011/UUT/SFFD/TI
/Test_SCN7011/UUT/SFFC/TI
/Test_SCN7011/UUT/SFFB/TI
/Test_SCN7011/UUT/SFFA/TI
/Test_SCN7011/UUT/SFFG/TI
/Test_SCN7011/UUT/SFFF/TI
/Test_SCN7011/UUT/SFFE/TI
/Test_SCN7011/UUT/SFFD/TI
/Test_SCN7011/UUT/SFFC/TI
/Test_SCN7011/UUT/SFFB/TI
/Test_SCN7011/UUT/SFFA/TI
/Test_SCN7011/UUT/SFFG/TI
/Test_SCN7011/UUT/SFFF/TI
/Test_SCN7011/UUT/SFFE/TI
/Test_SCN7011/UUT/SFFD/TI
/Test_SCN7011/UUT/SFFC/TI
/Test_SCN7011/UUT/SFFB/TI
/Test_SCN7011/UUT/SFFA/TI
/Test_SCN7011/UUT/SFFG/TI
/Test_SCN7011/UUT/SFFF/TI
/Test_SCN7011/UUT/SFFE/TI
/Test_SCN7011/UUT/SFFD/TI
/Test_SCN7011/UUT/SFFC/TI
/Test_SCN7011/UUT/SFFB/TI
/Test_SCN7011/UUT/SFFA/TI
/Test_SCN7011/UUT/SFFG/TI
/Test_SCN7011/UUT/SFFF/TI
/Test_SCN7011/UUT/SFFE/TI
/Test_SCN7011/UUT/SFFD/TI
/Test_SCN7011/UUT/SFFC/TI
/Test_SCN7011/UUT/SFFB/TI
/Test_SCN7011/UUT/SFFA/TI
サインオフシステム R1.9.1 コマンドリファレンス 413
.....
TSC
30
TSC
パラレルロードシミュレーション
scanstbw オプション・scanst bq オプション
SRA の期待値比較機能により、TSTL2 テストデータファイルで記述された出
力期待値とシミュレーション結果を自動的に比較できます。スキャン回路のシ
ミュレーションでは、共通 1 相型スキャンデザインでは、内部スキャン F/F の
Q ピンから出力される値が期待値比較の対象になります。独立 2 相型スキャン
デザインでは、内部スキャン F/F の SO ピンから出力される値が期待値比較の
対象になります。
デフォルトでは、スキャン F/F のストローブはエッジストローブ ( 幅のない
ストローブ ) になります。scanstbw オプションを使って、ストローブをウィ
ンドウストローブに変更できます。
tsc iscan=ON scanstbw=10
図 30–27 に TSTL2 テストデータとそのテストデータのスキャン F/F の Q ピン
または SO ピンを読み取るストローブタイミングを示します。デフォルトでは、
スキャン F/F のストローブタイミングのディレイは TSTL2 テストデータでの外
部スキャンアウトピンのストローブ時刻になります。ストローブの幅は、デ
フォルトでは TSTL2 には関係なく 0 ( エッジストローブ ) になります。スキャ
ンパス上の F/F の Q ピン ( 共通 1 相型スキャンデザインの場合 )、SO ピン ( 独
立 2 相型スキャンデザインの場合 ) の値を読み取るタイミングは外部スキャン
アウトピン ( ここでは SDO1) のタイミングと同じになります。
図 30–27 スキャン F/F の Q ピンのストローブタイミング
TITLE SAMPLE;
.......
OUTPUT(7) Z1,Z2,Z3,Z4;
OUTPUT(8) SDO1;
TIMING TS1;
CYCLE 100;
TIMESET(7) STB,30,10;
TIMESET(8) STB,60,10;
ENDTIM;
Q ピン
(SO ピン )
ストローブ
60
0
160
100
260
200
ストローブタイミングを変更するには、scanstbq オプションを使います。
tsc iscan=ON scanstbq=80
この例では、スキャン F/F の Q ピンまたは SO ピンはディレイ = 80 のエッジ
ストローブで読み取られます。
414 サインオフシステム R1.9.1 コマンドリファレンス
実行リスティングファイル
scanin オプション
scanin オプションを指定することにより、スキャン F/F の初期化タイミング
とスキャンインパターンの設定時刻を変更することができます。以下に記述例
を示します。ここで、タイミング名は TS1 とします。
図 30–28 共通 1 相型スキャンデザインの F/F 初期化タイミングと
スキャンインパターンの設定時刻の変更
tsc iscan=ON scanin=10,TS1
クロック
10 ns
10 ns
TI ピン
force
force
F/F 初期化
スキャンインパターン
図 30–29 独立 2 相型スキャンデザインの F/F 初期化タイミングと
スキャンインパターンの設定時刻の変更
tsc iscan=ON scanin=50,TS1
A スキャンクロック
B スキャンクロック
SI ピン
50 ns
50 ns
force
force
F/F 初期化
スキャンインパターン
実行リスティングファイル
..................................................
この項では、TSC の実行リスティングファイルで、TSTL2 のコンパイルに関
する部分の例を示します。デフォルトのファイル名は「回路名 .tsclst [. テ
スト識別子 ]」です。実行リスティングファイルは以下の部分から構成されま
す。
♦ TSTL2 ソースリスト (ilist オプションを指定したときのみ )
♦ パターンカウントリスト
♦ テスタピンリスト
♦ 入力タイミングリスト
♦ 出力タイミングリスト
サインオフシステム R1.9.1 コマンドリファレンス 415
.....
TSC
30
TSC
実行リスティングファイル
♦ ピン名クロスリファレンス
TSTL2 ソースリスト
デフォルトでは、コンパイル中に TSTL2 テストデータにシンタックスエラー
を見つけると、エラーメッセージが実行リスティングファイルの先頭に出力さ
れます。また、コマンドラインで ilist オプションを指定すると、TSTL2 テ
ストデータのソースリストが実行リスティングに出力され、ソースリストの中
のメッセージが挿入されます。メッセージは、誤りのある行の直後に挿入され
ます。
パターンカウントリスト
パターンカウントリストの例を以下に示します。
図 30–30 パターンカウントリスト
*** PATTERN COUNT LIST ***
PATTERN STEP
=
PIN NUMER
=
REPEAT STEP
=
REFER STEP
=
DC MEASURE STEP
MEASURE(H) =
MEASURE(L) =
MEASURE(Z) =
MEASURE(I) =
MEASURE(D) =
MEASURE(S) =
21
17
0
0
(PATTERNS
)
1)
2)
3)
4)
5)
0
0
0
0
0
0
1. 各 TESTPATT ブロック中に記述されているパターンステップ数を示します。
パターンはテスタのメモリに格納するのと同様の方法でカウントされます。
すなわち、REFER 文は 1 つのパターンとしてカウントされ、REPEAT ブ
ロック中のパターンは 1 回だけカウントされます。また、SUBPATT ブロッ
ク中のパターンはカウントされません。
2. TSTL2 テストデータで記述したピン数です。ASSIGN 文と CONST 文のピン
数が含まれます。
3. REPEAT 文の数
4. REFER 文の数
5. 各 MEASURE 文の数
テスタピンリスト
テスタピンリストの例を以下に示します。このリストはデフォルトで
(nopin オプションで ON を指定しない限り ) 生成されます。
416 サインオフシステム R1.9.1 コマンドリファレンス
実行リスティングファイル
図 30–31 テスタピンリスト
1)
PIN NO
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
2)
PIN NAME
CLR
CI
CSN
WRN
A1
A0
RDN
CO
EQ1
D0
D1
D2
D3
D4
D5
D6
D7
3)
PIN TYPE
INPUT
INPUT
INPUT
INPUT
INPUT
INPUT
INPUT
OUTPUT
OUTPUT
BUS
BUS
BUS
BUS
BUS
BUS
BUS
BUS
4)
PULSE
OFF
OFF
OFF
OFF
OFF
OFF
OFF
OFF
OFF
OFF
OFF
OFF
OFF
OFF
OFF
OFF
OFF
1. ASSIGN 文、CONST 文に記述されている順番にピン番号がつけられます。
2. ピン名
3. ピンの種類を示します。
INPUT
OUTPUT
BUS
POWER
GROUND
NCPIN
入力ピン
出力ピン
双方向ピン
電源ピン
グランドピン
未使用ピン
4. PULSE 文で指定されているピンは ON に、指定されていないピンは OFF に
なります。PULSE 文はシミュレーション結果からテストパターンを抽出す
るときに使います。詳細な説明は「32 章 TST」を参照してください。
入力タイミングリスト
入力タイミングリストの例を以下に示します。このリストはデフォルトで
(noitm オプションで ON を指定しない限り ) タイミングセット
(TIMING-ENDTIM ブロック ) ごとに作成されます。
サインオフシステム R1.9.1 コマンドリファレンス 417
.....
TSC
30
TSC
実行リスティングファイル
図 30–32 入力タイミングリスト
*** INPUT TIME TABLE ***
1)
2)
TIMING NAME :TS1
200 NSEC
3)
PIN No.
1
2
3
4
5
6
7
10
11
12
13
14
15
16
17
4)
PIN NAME
CLR
CI
CSN
WRN
A1
A0
RDN
D0
D1
D2
D3
D4
D5
D6
D7
5) 6)
7)
8)
9)
10)
TG T1 T2 T3 DELAY1 WIDTH1 DELAY2 WIDTH2 DELAY3 WIDTH3 PATTERN
0
0
0
0
0 NS 200 NS
0 -0 -0 -0 -- PAT
0
0
0
0
0 NS 200 NS
0 -0 -0 -0 -- PAT
0
0
0
0
0 NS 200 NS
0 -0 -0 -0 -- PAT
0
0
0
0
0 NS 200 NS
0 -0 -0 -0 -- PAT
1
0
0
0
20 NS 180 NS
0 -0 -0 -0 -- PAT
1
0
0
0
20 NS 180 NS
0 -0 -0 -0 -- PAT
2
0
0
0
30 NS 140 NS
0 -0 -0 -0 -- PAT
0
0
0
0
0 NS 200 NS
0 -0 -0 -0 -- PAT
0
0
0
0
0 NS 200 NS
0 -0 -0 -0 -- PAT
0
0
0
0
0 NS 200 NS
0 -0 -0 -0 -- PAT
0
0
0
0
0 NS 200 NS
0 -0 -0 -0 -- PAT
0
0
0
0
0 NS 200 NS
0 -0 -0 -0 -- PAT
0
0
0
0
0 NS 200 NS
0 -0 -0 -0 -- PAT
0
0
0
0
0 NS 200 NS
0 -0 -0 -0 -- PAT
0
0
0
0
0 NS 200 NS
0 -0 -0 -0 -- PAT
11)
PULSE
NRZ
NRZ
NRZ
NRZ
NRZ
NRZ
NRZ
NRZ
NRZ
NRZ
NRZ
NRZ
NRZ
NRZ
NRZ
1. TIMING 文に記述されているタイミングセット名
2. CYCLE 文に記述されているテストサイクル
3. 外部入出力ピンが ASSIGN 文に現れた順に番号がつけられ、そのうち入力
ピンおよび双方向ピンが番号順に並べられます。
4. ピン名
5. 各入力ピンに割り当てられたタイムセットの番号が入ります。TIMING 文で
指定された番号です。
6. ダブルクロックやトリプルクロックなどの合成波形に対して指定された元
のタイムセットの番号が入ります。
7. テストサイクルの先頭からの波形のディレイが入ります。
8. PP 波形、NP 波形などのパルス波形の場合、パルス幅が入ります。DT 波形
の場合、波形上の変化点とテストサイクルの終端のあいだの時間が入りま
す。
9. DELAY2、WIDTH2、DELAY3、WIDTH3 には、合成波形について元の波形の
ディレイ、パルス幅が入ります。
10. 常に PAT と入ります。
11. 入力ピンに割り当てられている波形タイプが入ります。
NRZ
RZ
RZI
WRZ
WIRZ
TRZ
DT 波形
PP 波形
NP 波形
WPP 波形
WNP 波形
TPP 波形
418 サインオフシステム R1.9.1 コマンドリファレンス
実行リスティングファイル
TIRZ
XOR
FCR
DNRZ
DRZ
TNP 波形
EO 波形
FCR 波形
DNRZ 波形
DRZ 波形
使用できる入力波形の種類については当社 ASIC
デザインセンターにお問い合わせください。
出力タイミングリスト
出力タイミングリストの例を以下に示します。このリストはデフォルトで
(nootm オプションで ON を指定しない限り ) タイミングセット
(TIMING-ENDTIM ブロック ) ごとに作成されます。
図 30–33 出力タイミングリスト
*** OUTPUT TIME TABLE ***
1)
2)
TIMING NAME :TS1
200
NSEC
3)
4)
5)
6)
PIN No.
PIN NAME
TG
T1
T2
8
0
0
8
9
CO
7)
8)
9)
T3
DELAY1
WIDTH1
DELAY2
WIDTH2
0
150 NS
20 NS
0 --
0 --
EQ1
8
0
0
0
150 NS
20 NS
0 --
0 --
10
D0
7
0
0
0
150 NS
10 NS
0 --
0 --
11
D1
7
0
0
0
150 NS
10 NS
0 --
0 --
12
D2
7
0
0
0
150 NS
10 NS
0 --
0 --
13
D3
7
0
0
0
150 NS
10 NS
0 --
0 --
14
D4
7
0
0
0
150 NS
10 NS
0 --
0 --
15
D5
7
0
0
0
150 NS
10 NS
0 --
0 --
16
D6
7
0
0
0
150 NS
10 NS
0 --
0 --
17
D7
7
0
0
0
150 NS
10 NS
0 --
0 --
1. TIMING 文に記述されているタイミングセット名
2. CYCLE 文に記述されているテストサイクル
3. 外部入出力ピンが ASSIGN 文に現れた順に番号がつけられ、そのうち出力
ピンおよび双方向ピンが番号順に並べられます。
4. ピン名
5. 各出力ピンに割り当てられたタイムセットの番号が入ります。TIMING 文で
指定された番号です。
6. ダブルストローブについて、元のタイムセット番号が T1、T2 に入ります。
T3 は現在のところ使っていません。
7. テストサイクルの先頭からのストローブのディレイが入ります。
サインオフシステム R1.9.1 コマンドリファレンス 419
.....
TSC
30
TSC
実行リスティングファイル
8. ウィンドウストローブについて、ストローブの幅が入ります。
9. ダブルストローブについて DELAY1 と WIDTH1 と同様の情報が入ります。
使用できるストローブの種類については当社 ASIC
デザインセンターにお問い合わせください。
420 サインオフシステム R1.9.1 コマンドリファレンス
TSG
.....
....................................
31
この章では TSG について以下のことを説明します。
♦ TSG の機能
♦ 入出力ファイル
♦ WAVCONF ファイル
♦ TSG 使用上の注意
♦ システムシミュレーションの結果からASIC用 テストパターンを抽出する
ときの WAVCONF ファイル
♦ TSG の実行方法
♦ 実行リスティングファイル
♦ TSTL2 テストデータファイルのテンプレート
TSG の機能
..................................................
TSG は Verilog シミュレータや VHDL シミュレータにより生成されたシミュ
レーション結果ファイルを当社 TSTL2 形式のテストデータファイルに変換しま
す。Verilog-HDL や VHDL のテストベンチを使って、システムシミュレーショ
ンの結果から ASIC 用のテストパターンを抽出するのに使います。
TSG を実行するまえに、WAVCONF ファイル ( 回路名 .wavconf) を作成し
なければなりません。WAVCONF ファイルにはテストサイクルと ASIC 回路の
I/O ピン名とタイミングを指定します。このファイルのテンプレートは TNC、
TFO または TVHDL で生成されます。ASCII 形式のテキストファイルなので、
必要に応じて手修正してください。
テスタはサイクルを基本に動作します。例えば、まず 100 ns のようにテスト
サイクルを指定し、そのサイクルのいつの時点で入力ピンにテストパターンを
印加し、いつの時点で出力値を読み取るかを指定します。また、テスタには使
用できる波形の種類やタイミングに制約があります。当社の TSTL2 は基本的に
テスタのタイミング動作に合わせた記述の仕方をします。したがって、システ
ムシミュレーションにおける ASIC の I/O ピンの信号変化をそのまま忠実に
TSTL2 に変換できるわけではありません。TSG により得られる TSTL2 はあく
までもテストデータのテンプレートと考えてください。また、テンプレートと
して十分なテストパターンを生成するには、通常、何回か信号のサンプリング
ポイントを変えながら試してみる必要があります。そのため、TSG はテスタの
制約に違反するテストパターンについてはチェックしません。
サインオフシステム R1.9.1 コマンドリファレンス 421
31
TSG
入出力ファイル
TSG は、TSTL2 テストデータファイル中、抽出したテストパターンの前に抽
出で使ったテストパターンの値をコメント文として 1 行挿入します。コメント
として挿入される値は、入力ピンについてはシミュレーション結果をサンプリ
ングした値、出力ピンについては「X」、双方向ピンについては「Z」になりま
す。このコメントは、特にシミュレーションの初期段階のテストパターンを修
正するときに使うと便利です。
生成したテストパターンはそのままサインオフ用のテストパターンとして使
用できません。当社のサインオフフローに従って検証してください。その過程
で、TSC を実行するときに、テスタの制約に違反してないかチェックされま
す。
入出力ファイル
..................................................
図 31–1 に TSG の入出力ファイルを示します。
図 31–1 TSG の入出力ファイル
シミュレーション
結果ファイル
外部ピン情報
pininf
. テスト識別子
vcd. テスト識別子
ow. テスト識別子
vcd2. テスト識別子
wavconf
PRESRA、PRETSO、
TRACEGEN で生成
TSG
tsglst
. テスト識別子
実行リスティング
ファイル
tstl2_template
. テスト識別子
TSTL2 テストデータ
ファイルのテンプレート
TSC で使用
入力ファイル
TSG の入力ファイルは以下のとおりです。
♦ 回路名 .vcd [. テスト識別子 ]
回路名 .ow [. テスト識別子 ]
シミュレーション結果ファイルです。VCD ファイルは Verilog-XL、
NC-Verilog、VCS、Gemini、ModelSim、Leapfrog、Voyager で生成される
Cadence 社 Value Change Dump (VCD) 形式のファイルです。
422 サインオフシステム R1.9.1 コマンドリファレンス
WAVCONF ファイル
OW ファイルは VSS で生成される WIF 形式のファイルです。
♦ 回路名 .vcd2 [. テスト識別子 ]
シミュレーション結果を VCD 形式で保存したファイルです。Gemini で
生成されます。
Gemini を使うと VCD 形式のシミュレーション結
果ファイルが 2 つ生成されます (VCD ファイル、
VCD2 ファイル )。TSG を実行するとき、この 2
つのファイルを入力ファイルとして読み込みます。
♦ 回路名 .pininf [. テスト識別子 ]
PRESRA、PRETSO または TRACEGEN で作成された、回路の外部入出力
ピンに関する情報が入っているバイナリ形式のファイルです。
♦ 回路名 .wavconf
ASIC の外部入出力ピンの値をサンプリングするポイントを指定するため
のファイルです。このファイルのテンプレートは TNC、TFO または
TVHDL で作成されます。
出力ファイル
TSG の出力ファイルは以下のとおりです。
♦ 回路名 .tstl2_template [. テスト識別子 ]
当社 TSTL2 形式のテストデータファイルのテンプレートです。
♦ 回路名 .tsglst [. テスト識別子 ]
実行リスティングファイルです。
WAVCONF ファイル
..................................................
WAVCONF ファイルには TSTL2 テストデータで必要な、すべての I/O ピンの
タイミングを記述します。図 31–2 に WAVCONF ファイルの例を示します。デ
フォルトのファイル名は「回路名 .wavconf」です。
図 31–2 WAVCONF ファイルの例
cycle 200ns ; /* test cycle */
pin INPIN1,INPIN2 in dt 180ns ;
pin INPIN3 in np 50ns 100ns ;
pin BUSIN[0] in dt 132ns ;
pin BUSIN[1] in dt 10ns ;
pin BUSIO[19:0] bi dt 15ns stb 130ns 10ns ;
pin MPO out stb 135ns 14ns ;
サインオフシステム R1.9.1 コマンドリファレンス 423
.....
TSG
31
TSG
TSG 使用上の注意
♦ コメントは /* と */ で囲みます。また、各文はセミコロン (;) で終わらせ
ます。
♦ cycle 文にはテストサイクルの長さを ns 単位で指定します。数値の後に、
単位を表す「ns」を記述してください。cycle 文はかならず 1 度だけ指
定しなければなりません。
♦ pin 文には I/O ピン名、ピンの種類、波形の種類、テストサイクル中のサ
ンプリングポイントを指定します。複数のピン名を指定する場合、カン
マ (,) で区切って指定します。このとき、ピン名とカンマの間に空白を
入れてはいけません。また、バスピンは、TSTL2 のバス表記方法を使っ
て指定できます。例えば、一度に記述する場合は BUS[2:0]、個々に展
開して記述する場合は BUS[2],BUS[1],BUS[0] と記述します。また、
BUS[2]、BUS[1]、BUS[0] を別々の pin 文で順番に指定しても構いま
せん。
•
入力ピン
pin ピン名 in 入力信号波形 ;
•
出力ピン
pin ピン名 out 出力信号波形 ;
•
双方向ピン
pin ピン名 bi 入力信号波形 出力信号波形 ;
pin ピン名 noz 入力信号波形 出力信号波形 ;
♦ WAVCONF ファイルに記述できる波形の種類は、入力信号の DT、PP、
NP と、出力信号の STB の 4 種類です。以下にシンタックスを示します。
ここで「ディレイ」は各テストサイクルの先頭からみた時間を示します。
•
DT 波形
dt ディレイ
•
PP 波形
pp ディレイ パルス幅
•
NP 波形
np ディレイ パルス幅
•
STB 波形
stb ディレイ ストローブ幅
TSG 使用上の注意
..................................................
TSG を利用するためには、TSTL2 のタイミングルールについて知っておく必
要があります。
424 サインオフシステム R1.9.1 コマンドリファレンス
TSG 使用上の注意
入力タイミング
TSTL2 ではまず最初にテストサイクル時間を定義します。例えばテストサイ
クルを 100 ns と定義したら、次に、そのサイクルのいつの時点で入力ピンにテ
ストパターンを印加し、また、いつの時点で出力値を読み取るかを指定しま
す。例えば、TSTL2 ではディレイ付きの DT 波形は次のように定義されていま
す。
『入力信号の変化はテストサイクルの境からある一定のディレイの
後に起こります。各サイクルで信号値が変化する必要はありません
が、変化する場合は、ディレイは全サイクルで同じになります。』
TSTL2 テストデータはテスタ用の形式に変換され、製品のテストで使われま
す。そのため、WAVCONF ファイルでも同様の規則に従ってタイミングを定義
します。
例えば、ディレイ = 30 ns の DT 波形は、WAVCONF ファイルでは以下のよう
に定義されます。
cycle 100ns;
pin INPIN1 in dt 30ns;
上記のタイミング定義を与えられると、TSG は各テストサイクルの境から
30 ns の時点における入力信号 INPIN1 の値を抽出し、TSTL2 のパターンに変
換します。入力信号が「1」であれば TSTL2 には「1」が書き込まれ、また「0」
であれば、TSTL2 には「0」が書き込まれます。
以上のことを念頭に置いて、図 31–3 の波形を考えてください。この図におい
て第 3 サイクルではディレイ、すなわち波形の変化点が 30 ns より長いことに
注意してください。第 3 サイクルでは、波形が「0」のときに信号値が抽出さ
れるため、TSTL2 テストデータには「0」が入ってしまいます。
図 31–3 TSTL2 の規則に合っていない信号の変化
テストサイクル
30 ns
30 ns
>30 ns
このような場合で変化後の値を得たいときは、いったんこの DT 波形に対し
てディレイを十分にとって TSTL2 を生成し、その後、生成された TSTL2 タイ
ミング定義を修正してください。こうすることにより、希望どおりのテストサ
イクルで値が変化するような TSTL2 が得られます。
サインオフシステム R1.9.1 コマンドリファレンス 425
.....
TSG
31
TSG
TSG 使用上の注意
出力タイミング
出力信号はストローブの先端の値が出力期待値として TSTL2 のパターンに変
換されます。ストローブのあいだに値が変化している場合は、TSTL2 には X
(don’t care) ではなく、H または L が書き込まれるので注意してください ( 図
31–4 を参照 )。
図 31–4 出力パターンの変換
テストサイクル
ストローブ
H
L
H
正しい出力期待値が得られるように、ストローブの位置を適切に設定して、
いったん TSTL2 を生成してから、その後生成された TSTL2 のタイミング定義
を修正してください。
適切なストローブの位置を決めるのに、何回か試してみる必要があるでしょ
う。
双方向タイミング
TSTL2 では同じサイクル内に双方向信号の入力と出力は混在できません。こ
れは双方向信号が入力から出力、あるいはその逆に変化するとき、たとえ信号
が DT 型だったとしてもサイクルの境界で信号を切り替えなければならないた
めです。図 31–5 に双方向信号の切り替わりを示します。
図 31–5 双方向信号の切り替わり
テストサイクル
入力パターン
0/1
0/1
0/1
L/H/Z/X
信号状態
入力
入力
入力
出力
双方向信号
双方向ピンの値を TSTL2 のテストパターンとして抽出する場合、注意点を図
31–6 のシステム設計を例に説明します。ASIC の双方向ピンの値を抽出するに
は、本来、ASIC からの出力信号 A と外部から ASIC に入力される信号 C の両
方を調べる必要があります。ところが、シミュレータの制約によりシステムシ
426 サインオフシステム R1.9.1 コマンドリファレンス
TSG 使用上の注意
ミュレーション中に信号 C をモニタすることができません。そこで TSG は信
号 C の代わりに信号 B を見ています。
図 31–6 ASIC を含むシステム設計
A
B
C
ASIC
それぞれのタイミングで A と B の値をサンプリングした後、TSG は各サイク
ルごとに表 31–1 を参照して信号の方向と値を決定します。
表 31–1 双方向ピンの信号の方向と値の決定
A の値
1
0
X
Z
1
H
L
X
1
0
H
L
X
0
X
H
L
X
Z
Z
H
L
X
Z
B の値
H、L、Z、X は出力方向、1、0 は入力方向を意味します。
ここで、信号 A、B、C が図 31–7 のように変化するものとします。テストサ
イクルのあいだ、信号 C はハイインピーダンス状態 (Z) なので、信号 B の値は
信号 A ( すなわち ASIC の出力信号 ) と同じになります。前述したように、シ
ミュレータの制約により信号 C の値はモニタできないため、TSG は代わりに信
号 B の値を調べています。そこで、以下のタイミング定義が、WAVCONF ファ
イルで与えられたとします。
pin BID1 bi dt 10ns stb 180ns 10ns;
すると、信号 A はハイインピーダンス状態で、信号 B は「1」のときにサン
プリングされるので、TSG はこのテストサイクルでは双方向ピンは入力モード
にあるものと解釈して、TSTL2 に「1」を書き込んでしまいます ( 本来は、C か
ら ASIC に対して信号は印加されません )。
サインオフシステム R1.9.1 コマンドリファレンス 427
.....
TSG
31
TSG
TSG 使用上の注意
図 31–7 信号 A・B・C の波形
テストサイクル
Z
A
Z
B
C
Z
10 ns
180 ns
次に特殊なケースを考えてみます。図 31–8 を見てください。論理シミュレー
ションを正常に継続するためには信号 B の値が一瞬たりとも「0」、
「1」以外に
なることが許されない設計があります。このような設計の場合、シミュレー
ション上、信号 A がハイインピーダンス状態 (Z) のあいだは、かならず信号 C
は「0」か「1」でなければなりません。この例の場合、第 1 サイクルでは信号
A がハイインピーダンス状態で信号 C が「1」なので、信号 B の値は「1」にな
り、TSTL2 には「1」が抽出されます。では、第 2 サイクルではどうでしょう
か。信号 A がサイクルの途中でハイインピーダンス状態から「0」に変化した
ものとします。すると、信号 A とコンフリクトを起こして、信号 B が「X」に
ならないようにするには、信号 C は信号 A と同じ「0」にしておく必要があり
ます。
図 31–8 X・Z の防止
テストサイクル
Z
A
C
Z
B
出力サンプリングポイント 入力サンプリングポイント
TSTL2
1
0
L
さて、このとき信号 A がハイインピーダンス状態であるときに出力サンプリ
ングポイントを設定して、信号 B が「0」のときに入力サンプリングポイント
を設定すれば、TSG はそのサイクルを入力モードと判断して、TSTL2 に「0」
を書き込みます。こうすることにより、
「X」
、「Z」が混入するのをある程度防
ぐことができます。
428 サインオフシステム R1.9.1 コマンドリファレンス
TSG 使用上の注意
「X」、「Z」の混入を防ぐ方法は、入力と出力のサンプリングポイントを調整
する方法以外に、WAVCONF ファイル中の pin 文で bi の代わりに noz を指定
する方法があります。以下に記述例を示します。
pin INOUT noz dt 10ns stb 180ns 10ns;
noz で指定された信号は、以下のように処理されます ( 以下の処理が行われ
ないサイクルは、通常の bi と同じサンプリングとなります )。
『信号 A がサイクルの両端でいずれか一方がハイインピーダンス状
態で、もう一方が「1」または「0」である場合、ハイインピーダン
ス状態でない方の値を入力信号とする。』
この方法で生成した TSTL2 で、シミュレーション中に「X」
、「Z」が発生せ
ず、さらに期待値比較を実行するには、以下の条件を満たしていなければなり
ません。TSG は、これらの条件を満たしているかどうかはチェックしていない
ので注意してください。
♦ 入力波形の場合、DT 波形であること。
DT 波形であっても信号 C のディレイは 0、または信号 A のディレイよ
りも小さくなければなりません。信号 A よりも大きい場合、信号 A が入
力から出力へ変化する時、信号 A と信号 C との間にコンフリクトが生じ
てしまいます。
♦ 出力波形の場合、テストサイクル中の信号変化は 1 回だけであること
(「Z」から「1 または 0」
、あるいは「1 または 0」から「Z」へのどちら
かの変化 )。
出力波形の場合、テストサイクル中で値が「X」に変化しているときま
たは複数回変化しているとき、TSTL2 では「X」や「Z」が生じてしまい
ます ( このような場合、TSTL2 は「X」や「Z」が生じないような波形を
表現できません )。
♦ 入出力が切り替わるサイクルが連続していないこと。
noz の仕様により、入力から出力へ変化するサイクルと出力から入力へ
変化するサイクルでは、信号が出力状態であっても入力状態とみなされ
ます。連続するサイクルで入出力が切り替わると、たとえ信号が出力状
態であっても両サイクルとも入力状態とみなされるため、期待値比較が
行われません。そこで、出力期待値比較を行う場合、入出力が切り替わ
るサイクルの間に、出力状態のサイクルが 1 つは必要です。
「X」や「Z」の混入を考慮せずに、双方向信号のサンプリング問題を簡単に
扱うには、WAVCONF ファイル中で入力と出力のサンプリングポイントを同じ
場所に設定してから TSG を実行し、生成された TSTL2 のタイミング定義を修
正するのがいいでしょう。
サインオフシステム R1.9.1 コマンドリファレンス 429
.....
TSG
31
TSG
システムシミュレーションの結果から ASIC 用 テストパターンを抽出するときの WAVCONF
システムシミュレーションの結果から ASIC 用
テストパターンを抽出するときの WAVCONF ファイル
..................................................
ASIC をシステムの一部として設計するときは、システム全体のなかにおける
タイミングを考慮しなければなりません。以下にシステムシミュレーションの
結果から ASIC 用のテストパターンを抽出する場合の、WAVCONF ファイル
( 回路名 .wavconf) のサンプリングポイントの決め方について説明します。
WAVCONF ファイルで入力ピンのディレイを定義するときは、クロック信号
のアクティブエッジに対して、入力信号が外部の素子から ASIC の入力ピンま
でに到達するのにかかる遅延時間を考慮します。出力ピンのストローブディレ
イを定義するときは、クロック信号のアクティブエッジに対して、出力信号が
ASIC の出力ピンから外部の素子に到達するのにかかる遅延時間を考慮します。
図 31–9 に例を示します。
図 31–9 システム全体のなかにおける ASIC のタイミング
ボード
ボード
ASIC
組み合わせ回路
IN
D
C
CP
OUT1
D
C
CP
CLK
CLK
クロックサイクル = 100 ns
10 ns
CLK
50 ns
IN1
入力側の外部遅延 = 10 ns
OUT1
70 ns
出力側の外部遅延 = 40 ns
以下にこの場合のタイミングの定義例を示します。入力ピンのディレイは、
クロックのアクティブエッジ後の入力信号 IN1 の変化後 ( 図 31–10 では 10 + 10
= 20 ns 以降 ) に設定します。出力ピンのストローブディレイは出力ピン上に
データが現れているとき ( 図 31–10 では 70 ns 以降 ) に設定します。
430 サインオフシステム R1.9.1 コマンドリファレンス
TSG の実行方法
図 31–10 図 31–9 のタイミング定義
cycle 100ns;
pin CLK in pp 10ns 50ns;
pin IN1 in dt 40ns;
pin OUT1 out stb 80ns 10ns;
TSG の実行方法
..................................................
この項では TSG のシンタックスとオプションについて説明します。
シンタックス
TSG を実行するには、UNIX のシェルプロンプトで以下のコマンドを入力し
ます。
tsg オプション ...
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *TSG 部分にも記述できます。これらのオプションについては「付
録 A コンフィグレーションファイル」を参照してください。
simulator =
[VERILOG|VCS|GEMINI|MODELSIM|LEAPFROG|VSS|VOYAGER]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
paragraph = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------testext = テスト識別子
{vcd|vcddir|vcdext} = ファイル名
{wif|wifdir|wifext} = ファイル名 (VSS のみ )
{vcd2|vcd2dir|vcd2ext} = ファイル名 (GEMINISO のみ )
msout = [ON|OFF]
*TSG に分類されるオプション
以下は TSG で使用するオプションであり、tsb.config ファイルの *TSG 部
分に記述できます。
サインオフシステム R1.9.1 コマンドリファレンス 431
.....
TSG
31
TSG
TSG の実行方法
{pininf|pininfdir|pininfext} = ファイル名
{wavconf|wavconfdir|wavconfext} = ファイル名
{tstl2tmpl|tstl2tmpldir|tstl2tmplext} = ファイル名
{list|listdir|listext} = ファイル名
inerrchr = 文字
placeinfosw = [ON|OFF]
gaugecycle = テストサイクル数
オプション
以下に *TSG に分類されるオプションについて説明します。
{pininf|pininfdir|pininfext} = ファイル名
TSG の入力ファイルとなる PININF ファイルの名前を指定し
ます。このファイル名にはテスト識別子が使用されます。
{wavconf|wavconfdir|wavconfext} = ファイル名
TSG の入力となる WAVCONF ファイルの名前を指定しま
す。
{tstl2tmpl|tstl2tmpldir|tstl2tmplext} = ファイル名
TSG により生成される TSTL2 テストデータファイルの名前
を指定します。このファイル名にはテスト識別子が使用さ
れます。
inerrchr = 文字
TSG によって抽出された入力ピンの値が TSTL2 では表現で
きない値 (Z および X) の場合、TSTL2 テストデータ中に出
力する文字を指定します。デフォルトは「!」です。
placeinfosw = [ON|OFF]
ASSIGN 文のピン並びとテストパターンの対応を示すコメン
トを ASSIGN 文中に出力するかどうかを指定します。
gaugecycle = テストサイクル数
テストパターン中のカラムの位置を数えやすくするために
ゲージを挿入します。ゲージを挿入する間隔をテストサイ
クル数で指定します。デフォルトは「0」で、ゲージを挿入
しません。負の値は指定しないでください。また、あまり
長大なシミュレーションに対して小さな値を指定すると
ゲージが多数挿入されます。ゲージは REM 文ですが、
TSTL2 中で許される REM 文の数には上限があります。TSC
でエラーが検出されたら、この値を調整してゲージが減る
ようにしてください。
{list|listdir|listext} = ファイル名
実行リスティングファイルの名前を指定します。このファ
イル名にはテスト識別子が使用されます。
432 サインオフシステム R1.9.1 コマンドリファレンス
実行リスティングファイル
実行例
以下に TSG の実行例を示します。
tsg tstl2tmpl=sample.tstl2
実行リスティングファイル
..................................................
図 31–11 に実行リスティングファイルの例を示します。この例では、実行リ
スティングの先頭に、3 ステート出力バッファに関連するワーニングメッセー
ジが出力されています。3 ステート出力バッファを使用している場合、
PRESRA、PRETSO、あるいは TRACEGEN の実行時と TSG の実行時の両方で、
tsb.configファイル中にsystemsim=ONの指定があることを確認してくださ
い。指定がある場合、このメッセージは無視して構いません。
図 31–11 実行リスティングファイルの例 ( 回路名 .tsglst)
************************************************************************
*
Toshiba New Delay Model System
*
*
Toshiba S/O System for SUN Release 1.9.1
*
*
Toshiba VERILOG S/O System for SUN Release 1.9.1
*
*
TC200G Library (TSBVLIBP) Release 1.9.1
*
*
*
TC200G Library (TDOBJ) Release 1.9.1
Toshiba layout i/f System
Release 1.9.1
*
*
*
(c) Copyright Toshiba Corporation, since 1994.
*
*
All rights reserved.
*
************************************************************************
..Warning tsg-0406 systemsim=ON is not defined in tsb.config while
creating event file.
If your design has any tri-state output that was driven from external
source, remake event file with systemsim=ON specification.
************************* TSG EXECUTION LOG ***************************
Program version : TSG V1.1.2 for 191
Userid
: verisrc
Module
: test
Technology
: TC200G
Master type
: T9V53
Library type
: GA
Option
: simulator = verilog
: module = test
: instance = wave.test_wave
: technology = TC200G
: voltage = 3.3
: libtype = GA
: arraytype = T9V53
サインオフシステム R1.9.1 コマンドリファレンス 433
.....
TSG
31
TSG
TSTL2 テストデータファイルのテンプレート
: libdir = .
: events = ./test.tstevents
: tstl2tmpldir = sun_result
: tstl2tmplext = tstl2.1
: listdir = sun_result
: listext = tsglist.1
: msout = ON
Condition
: simulator = verilog
: divider = .
: instance = wave.test_wave
: list = sun_result/test.tsglist.1
: mode = event
: events = ./test.tstevents
: pininf = ./test.pininf
: wavconf = ./test.wavconf
: tstl2tmpl = sun_result/test.tstl2.1
: inerrchr = !
: placeinfosw = OFF
: gaugecycle = 0
>> Execution Time <<
Start Time
: Tue Mar 14 08:20:44 2000
End Time
: Tue Mar 14 08:20:45 2000
CPU Time
: 00:00:00.16
>> Configuration file <<
File name : ./tsb.config
*******************
Error message count table
COMMON
TSG
: Message level
= (
0 )
(
0 )
: Warning level
= (
0 )
(
1 )
: Error
level
= (
0 )
(
0 )
: Fatal
level
= (
0 )
(
0 )
: System
level
= (
0 )
(
0 )
: Design Warning = (
0 )
(
0 )
: Design Error
0 )
(
0 )
***************************
TSG
*******************
= (
END OF LOG
**************************
Completed.
TSTL2 テストデータファイルのテンプレート
..................................................
図 31–12 に TSG により生成される TSTL2 テストデータファイルのテンプ
レートの例を示します。
434 サインオフシステム R1.9.1 コマンドリファレンス
TSTL2 テストデータファイルのテンプレート
図 31–12 TSG により生成される TSTL2 テストデータファイルのテンプレートの例
TITLE This file is generated by TSG program. ;
DECLARE ;
TSG によって生成されたこ
とを示すコメント
VECTOR PIN[31:0] ;
ENDDECLARE ;
FUNCTEST FC1 ;
INPUT(1) CLK1X ;
OUTPUT(7) MPOUT ;
BIDIRCT(2,7) PIN[31] ;
BIDIRECT(2,7) PIN[31] ;
.......
BIDIRECT(2,7) PIN[1] ;
BIDIRECT(2,7) PIN[0] ;
TIMING TS1 ;
CYCLE 200 ;
TIMESET(1) NP, 50 , 100 ;
TIMESET(2) DT, 180 ;
TIMESET(7) STB, 130 , 10 ;
ENDTIM ;
ASSIGN
CLK1X /* row = 1 , col = 1 */ ,
MPOUT /* row = 1 , col = 2 */ ,
PIN[31] /* row = 1 , col = 3 */ ,
PIN[30] /* row = 1 , col = 4 */ ,
.......
PIN[1] /* row = 1 , col = 33 */ ,
PIN[0] /* row = 1 , col = 34 */ ;
テストパターン中のロウと
カラムの位置を示すコメント
TESTPATT ;
ENABLE TS1 ;
REM 5
10
15
20
25
30
35
40
45
50
55
60
65
70;
REM v
v
v
v
v
v
v
v
v
v
v
v
v
v;
REM This pattern is inserted by TSG as default values.
カラムの位置を示すゲージ
1XZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ;
TSG によって 1L110ZZZZZZ110ZZZZZZ110ZZZZZZ11000;
挿入されたテス
.......
トパターンを示
すコメント
1L110ZZZZZZ110ZZZZZZ110ZZZZZZ11000;
(REM ∼ ; の 2
REM 5
10
15
20
25
30
35
行)
REM v
v
v
v
v
v
v
40
45
50
55
60
65
70;
v
v
v
v
v
v
v;
サインオフシステム R1.9.1 コマンドリファレンス 435
.....
TSG
TSG
31
TSTL2 テストデータファイルのテンプレート
1LL110ZZZZZZ110ZZZZZZ110ZZZZZZ1100;
.......
ENDTEST ;
ENDFUNC ;
END ;
436 サインオフシステム R1.9.1 コマンドリファレンス
TST
.....
....................................
32
この章では TST について以下のことを説明します。
♦ TST の機能
♦ 入出力ファイル
♦ TIF ファイル
♦ タイミング定義ファイル
♦ TST 使用上の注意
♦ TST の実行方法
♦ norbreak オプション
♦ nostrict オプション
♦ dcgen オプション・dcall オプション
♦ 双方向信号のコンフリクトとフローティング
♦ 安定領域
♦ 実行リスティングファイル
♦ DCL ファイル (DC 測定情報リスト )
♦ IDDSLST ファイル (IDD(S) 測定情報リスト )
♦ NPIN ファイル (DC 未測定情報リスト )
TST の機能
..................................................
TST は PRESRA、PRETSO または VHDL シミュレータにより生成されたシ
ミュレーション結果ファイルを当社の TSTL2 形式のテストデータファイルに変
換します。TSTL2 テストデータファイルには、シミュレーション中に印加され
た入力パターンと出力期待値の両方が書き込まれます。
TST を実行するには、TIF ファイルを用意しなければなりません。TIF ファイ
ルは TSC で生成します。TST 実行時に TIF ファイルがない場合、TST は
TSTL2 テストデータファイルから TIF ファイルを自動生成します。
TST で使用する TIF ファイルは以下の 2 通りの方法で作成します。
サインオフシステム R1.9.1 コマンドリファレンス 437
32
TST
入出力ファイル
♦ TSTL2 形式のテストデータがない場合、まずタイミング定義ファイルを
作成します。タイミング定義ファイルのテンプレートは TNC、TFO また
は TVHDL で自動的に作成できます。タイミング定義ファイルには
TSTL2 テストデータのヘッダ部分、すなわち外部入出力ピンの名前とタ
イミングを定義する部分 (TITLE 文から ASSIGN 文まで、および
ENDxxxx 文 ) を記述します。そして、TST を実行すると TIF ファイルが自
動生成されます。
♦ TSTL2 テストデータファイル ( 期待値付きまたは期待値なし ) を用意し、
TSC を実行してある場合、TST の入力として TSC で生成される TIF ファ
イルを使用できます。
TST が行う処理には 3 つの段階があります。最初の段階では、TIF ファイル
がなければ TST は TSTL2 テストデータファイルから TIF ファイルを生成しま
す (TIF ファイルがあればこの処理は省略されます )。第 2 段階では、TST はシ
ミュレーション結果ファイルから外部入出力ピンの値を抽出し、それらを TIF
ファイルに書き込みます。TIF ファイルに入力パターン、出力パターン、また
はその両方が存在する場合でも、シミュレーション値が優先され、TIF ファイ
ルに格納されます。第 3 段階では、TST は TIF ファイルを逆コンパイルし、
TSTL2 テストデータファイルを生成します。
また、TST には以下の機能があります。
♦ シミュレーション中に双方向信号のコンフリクト、フローティングが起
きていないかどうかチェックします。
♦ 外部出力ピン、外部双方向ピンごとにストローブの安定領域 ( マージン )
を計算し、ダイヤグラムを作成します。
♦ オプションにより、DC テストに最適なテストサイクルをサーチし、
MEASURE 文を TSTL2 テストデータファイルに書き込みます。
TST はパラレルロードシミュレーションに対応し
ていません。TSC 実行時に iscan=ON または
jtagiscan=ON を指定した場合 ( パラレルロードシ
ミュレーションを実行した場合)、TST を実行して
も正しい結果が出力されません。ただし、パラレルロードシ
ミュレーションの結果は SRA で解析できます。
入出力ファイル
..................................................
図 32–1 に TST の入出力ファイルを示します。
438 サインオフシステム R1.9.1 コマンドリファレンス
入出力ファイル
図 32–1 TST の入出力ファイル
TSTL2 テスト
データファイル
tst
テスト識別子
tif
テスト識別子
ピン名クロス
リファレンス
外部ピン情報
TDGS データ
ベースファイル
tbl
pininf
tdgs
シミュレーション
結果ファイル
コンフリクトエラー IDD(S) 条件指定
cnferr
. テスト識別子
vcd. テスト識別子
ow. テスト識別子
vcd2. テスト識別子
iddsinf
TST
zepf
任意
its
テスト識別子
tstlst
. テスト識別子
TSTL2
実行リスティング
ファイル
テストデータファイル
任意
任意
dcl
テスト識別子
npin
テスト識別子
iddslst
. テスト識別子
DC 測定
情報リスト
DC 未測定
情報リスト
IDD(S) 測定
情報リスト
VSO/VCSSO の場合、PRESRA と PRETSO で生成されるシミュレーション結
果ファイルの形式は保存されるデータを除いて、まったく同じです。一般に、
PRESRA で生成されたシミュレーション結果ファイルのほうが多くの信号が保
存されます。これは、PRESRA で外部入出力ピンに関するコマンドを指定しな
い場合でも、デフォルトで最低限すべての外部入出力ピンの値が入るためで
す。したがって、PRESRA を実行してある場合は、PRETSO を実行する必要は
ありません。PRESRA で生成されたシミュレーション結果ファイルを TST の入
力ファイルとして使用できます。
GEMINISO/VITALSO/VOYSO の場合、TRACEGEN の sra オプションと
tstmode オプションで生成される TRACE ファイルを使って得られるシミュ
レーション結果ファイル中の信号数を比較すると、sra オプションを指定した
ときの方が多く保存されます。これは sra オプションを指定するとデフォルト
ですべての外部入出力ピンの信号が保存されるためです。TST を実行するため
には、必要最低限の I/O ピンの信号が保存されていれば問題ありません。した
がって、TST を実行するときは、TRACEGEN で sra オプション、tstmode オ
プションのどちらを使っていてもかまいません。
サインオフシステム R1.9.1 コマンドリファレンス 439
.....
TST
32
TST
入出力ファイル
入力ファイル
TST の入力ファイルは以下のとおりです。
♦ 回路名 .tst [ テスト識別子 ]
TSTL2 で記述されたテストデータファイルです。TIF ファイルがない場
合、TST はこのファイルを使って TIF ファイルを自動生成します。
♦ 回路名 .vcd [. テスト識別子 ]
回路名 .ow [. テスト識別子 ]
シミュレーション結果ファイルで、EDP、SRA、TSG、TST の入力ファ
イルとして使用されます。このファイルは realtime オプションを OFF
に指定するか、または realtime オプションと extout オプションを
ON に指定すると生成されます。VCD ファイルは Verilog-XL、NC-Verilog、
VCS、Gemini、ModelSim、Leapfrog、Voyager で生成される Cadence 社
Value Change Dump (VCD) 形式のファイルです。OW ファイルは VSS で
生成される WIF 形式のファイルです。
♦ 回路名 .vcd2 [. テスト識別子 ]
シミュレーション結果を VCD 形式で保存したファイルです。Gemini で
生成されます。
Gemini を使うと VCD 形式のシミュレーション結
果ファイルが 2 つ生成されます。TST を実行する
とき、この 2 つのファイルを入力ファイルとして
読み込みます。
♦ 回路名 .tbl
TSC により、すべての外部入出力ピンに対して参照ピン名を割り当てら
れています。このファイルは実際のピン名と参照ピン名の対応を示しま
す。このファイルは TSC により生成され、TST の入力として必要です。
TSTL2 テストデータファイルがなく TSC を実行していない場合は、タイ
ミング定義ファイルを用意してください。TST はタイミング定義ファイ
ルから TBL ファイルを作成し、そのあと期待値を抽出します。
*** PIN TABLE LIST ***
P0000000001I
P0000000002I
P0000000003I
P0000000004I
P0000000005I
P0000000006I
P0000000007I
A0
A1
CI
CLR
CSN
RDN
WRN
♦ 回路名 .tif [ テスト識別子 ]
TSC により生成される、バイナリ形式のテストデータベースです。
TSTL2 テストデータファイルがなく TSC を実行していない場合は、タイ
440 サインオフシステム R1.9.1 コマンドリファレンス
入出力ファイル
ミング定義ファイルを用意してください。TST はタイミング定義ファイ
ルから TIF ファイルを作成し、そのあと期待値を抽出します。
TST の入力として使用する TIF ファイルには最新
の情報が入っていることを確認してください。最
新の情報が入っていない場合は、TIF ファイルを
更新するために、force オプションで ON を指定し
てください。
♦ 回路名 .pininf [. テスト識別子 ]
PRESRA、PRETSO または TRACEGEN により生成される、回路内の外部
I/O ピンに関する情報が入っているファイルです。
♦ 回路名 .tdgs
TDGS データベースファイルです。
♦ 回路名 .iddsinf
IDD(S) 測定アドレスサーチ時に特定のパターンを与えるピンとそのパ
ターンを指定するファイルです。このファイルは iddspin=ON または
iddscon=ON を指定すると読み込まれます。以下にファイルのフォー
マットを示します。
IDDSPIN
A0=1
A1=0
IDDSCON
RDN=1
♦ 回路名 .cnferr [. テスト識別子 ]
SRA、PRESRA により生成される内部バスでのコンフリクト、フロー
ティング情報が入ったファイルです。dcgen=ON または dcall=ON を指
定したときにこのファイルが存在する場合、IDD(S) 測定アドレスサーチ
において内部バスのコンフリクト、フローティングを考慮します。
中間出力ファイル
TST を実行すると中間出力ファイルとして、I/O ピンに関する情報が入って
いるバイナリ形式のファイルが生成されます。ファイル名は「回路名 .zepf」
です。
出力ファイル
TST の出力ファイルは以下のとおりです。
♦ 回路名 .its [ テスト識別子 ]
期待値付きの TSTL2 テストデータファイルです。
サインオフシステム R1.9.1 コマンドリファレンス 441
.....
TST
32
TST
TIF ファイル
♦ 回路名 .npin [ テスト識別子 ] ( 任意 )
各 DC 特性を測定のために最適な状態に設定できなかった外部入出力ピ
ンの一覧を示します。
♦ 回路名 .dcl [ テスト識別子 ] ( 任意 )
各 DC 特性を測定のために最適な状態に設定できた外部入出力ピンとテ
ストパターンの一覧を示します。
♦ 回路名 .tstlst [. テスト識別子 ]
実行リスティングファイルです。
♦ 回路名 .iddslst [. テスト識別子 ] ( 任意 )
静的消費電流を測定するのに最適なパターンの情報が 10 個入っていま
す。
TIF、ITS、NPIN、DCL ファイルのテスト識別子の
先頭にはピリオドはつきません。
TIF ファイル
..................................................
TST を実行するには TIF ファイルが必要です。TIF ファイルがない場合は、
タイミング定義ファイルをテキストエディタで作成しておきます。タイミング
定義ファイルを用意しておくと、TST は最初に TIF ファイルを作成し、その
後、期待値を抽出します。
タイミング定義ファイル
..................................................
タイミング定義ファイルには TSTL2 のシンタックスに従って外部入出力ピン
の名前とタイミングを記述します。図 32–2 にタイミング定義ファイルの例を
示します。
図 32–2 タイミング定義ファイルの例
TITLE
FUNCTEST
INPUT(0)
FUNCTIONAL TEST ;
FUNC ;
TLOAD_,SDATA,CE_,PARITY,
ADRSEL,DATSEL,DRWR,RAMSEL,ROMSEL,
ADR<3:0>,TMODE0,TMODE1 ;
INPUT(1)
CLOCK,DATACK ;
INPUT(2)
WE_ ;
INPUT(3)
XIN ;
OUTPUT(7)
PRIFLG,PRCEND,EQFLAG,SGMNTG,SGMNTF,SGMNTE,
SGMNTD,SGMNTC,SGMNTB,SGMNTA,XOUT;
BIDIRECT(0,7) DB<3:0> ;
TIMING TS1 ;
CYCLE
100 ;
TIMESET(1) PP,20,60;
442 サインオフシステム R1.9.1 コマンドリファレンス
タイミング定義ファイル
TIMESET(2)
TIMESET(3)
TIMESET(7)
NP,30,40;
PP,30,40;
STB,80,10;
ENDTIM ;
ASSIGN
XIN,XOUT,,SDATA,DATACK,PARITY,CE_,WE_,,TLOAD_,
CLOCK,,RDWR,ADRSEL,DATSEL,RAMSEL,ROMSEL,,TMODE0,
TMODE1, (ADR<3:0>),,(DB<3:0>),,
PRIFLG,PRCEND,EQFLAG,,
(,SGMNTG,SGMNTF,SGMNTE,SGMNTD,SGMNTC,SGMNTB,SGMNTA);
TESTPATT PAT1 ;
ENABLE TS1 ;
ENDTEST ;
ENDFUNC ;
END ;
上記のタイミング定義ファイル中の文について簡単に説明します。
♦ TITLE
TITLE 文はテストデータのタイトルを記述します。省略してもかまいま
せん。
♦ FUNCTEST・DCTEST・ACTEST・FCDCTEST
TSTL2 のシンタックスにしたがって FUNCTEST、DCTEST、ACTEST、
FCDCTEST のどれか 1 つを記述します。ブロックを終了させる文
(ENDFUNC、ENDDC、ENDAC、ENDFCDC) がブロックの最後 ( 通常 END 文
の直前 ) に必要です。
♦ INPUT・OUTPUT・BIDIRECT
回路中のすべての外部入力ピン、外部出力ピン、および外部双方向ピン
の名前をそれぞれ INPUT 文、OUTPUT 文、BIDIRECT 文で記述します。
回路で双方向ピンが使われていないときは、BIDIRECT 文は不要です。
これらの文では ADR<3:0> のようにバス形式でピン名を記述してもかま
いません。
♦ TIMING・ENDTIM
タイミングセットは TIMING 文で始まり、ENDTIM 文で終わります。
TIMING 文にはタイミングセット名を記述します。このブロックでは
CYCLE 文と TIMESET 文を記述します。それ以外の文は許されません。
♦ CYCLE
TSTL2 ではまずテストサイクルを定義し、次にサイクル内のいつの時点
で入力パターンを印加し、いつの時点で出力値を読み取るかを指定しま
す。CYCLE 文は TIMING 文の直後に記述してください。
♦ TIMESET
INPUT 文、OUTPUT 文、BIDIRECT 文と対応する TIMESET 文を TSTL2 のシ
ンタックスに従って記述してください。TIMESET 文は信号のタイミング
サインオフシステム R1.9.1 コマンドリファレンス 443
.....
TST
32
TST
タイミング定義ファイル
を定義します。TIMESET 文の番号は、INPUT 文、OUTPUT 文、
BIDIRECT 文と対応していなければなりません。
♦ ASSIGN
ASSIGN 文はパターンデータとピン名のけた位置の対応を宣言します。
♦ TESTPATT・ENDTEST
TESTPATT 文はテストパターンブロックの始まりを示します。ブロック
を終止させる ENDTEST 文がブロックの最後に必要です。
♦ ENABLE
ENABLE 文は、タイミングセットを引用するために、TESTPATT 文の直後
に記述しなければなりません。
♦ END
END 文は TSTL2 テストデータファイルの終わりを示します。
PULSE 文
PULSE 文は TST を実行するときだけ使います。PULSE 文は、ストローブ後に
値が変化する外部出力ピンを宣言します。PULSE 文に指定した出力ピンはスト
ローブ後に値が変化してもワーニングメッセージが出力されません。PULSE 文
で宣言されていない出力ピンの値がストローブの後に変化した場合、ワーニン
グメッセージが出力されます。
必要ならば、PULSE 文は FUNCTEST 文、DCTEST 文、ACTEST 文、または
FCDCTEST 文のまえに記述します。PULSE 文のシンタックスは以下のとおりで
す。
PULSE ピン名 1, ピン名 2, ... ;
REMARK 文に関する注意事項
REMARK 文は TIF ファイルに格納されて、TST の逆コンパイル時に TSTL2 テ
ストデータに戻されます。ただし、テストパターンブロックの外側にある
REMARK 文は無視されます。したがって、TIF ファイルには入りません。TST で
生成される TSTL2 テストデータ中に REMARK 文をフィードバックしたい場合
は、TESTPATT 文と ENDTEST 文のあいだに記述してください。REMARK 文の
例を以下に示します。
図 32–3 REMARK 文
.......
ASSIGN
XIN,XOUT,,SDATA,DATACK,PARITY,CE_,WE_,,TLOAD_,
CLOCK,,RDWR,ADRSEL,DATSEL,RAMSEL,ROMSEL,,TMODE0,
TMODE1,, (ADR<3:0>),,(DB<3:0>),,
PRIFLG,PRCEND,EQFLAG,,
(,SGMNTG,SGMNTF,SGMNTE,SGMNTD,SGMNTC,SGMNTB,SGMNTA);
444 サインオフシステム R1.9.1 コマンドリファレンス
TST 使用上の注意
TESTPATT PAT1 ;
ENABLE TS1 ;
REM ***** ASSIGN *****
II IIIII II IIIII II I B OOO OO
------------------------------------------------------------XX SDPCW TC RADRR TT A D PPE SS
IO DAAEE LL DDAAO MM D B RRQ GG
NT ATR__ OO WRTMM OO R
ICF MM
TAI
AC RSSSS DD
FEL NN
ACT
DK EEEE EE
LNA TT
KY
LLLL 01
GDG
*******************************;
ENDTEST ;
ENDFUNC ;
END ;
TST 使用上の注意
..................................................
TST を利用するためには、TSTL2 のルールについて知っておく必要がありま
す。シミュレーションにおける I/O ピンの変化が TSTL2 のタイミングとあって
いないと、多くのエラーが発生してしまいます。
入力タイミング
TST はタイミング定義ファイルで与えられた入力ピンのタイミングとシミュ
レーション結果ファイルに保存されている実際の入力ピンの変化のあいだで不
整合がないかどうかを確認します。
TSTL2 ではまず最初にテストサイクル時間を定義します。例えばテストサイ
クルを 100 ns と定義したら、そのサイクルのいつの時点で入力ピンにテストパ
ターンを印加し、また、いつの時点で出力値を読み取るかを指定します。例え
ば、TSTL2 ではディレイ付きの DT 波形は次のように定義されています。
『入力信号の変化はテストサイクルの境からある一定のディレイの後に起こり
ます。各サイクルで信号値が変化する必要はありませんが、変化する場合は、
ディレイは全サイクルで同じになります。』
例えばディレイ =30 ns の DT 波形は、TSTL2 では以下のように定義されま
す。
CYCLE 100;
TIMESET(1) DT,30;
上記のタイミング定義を与えられると、TST は各テストサイクルの境から
30 ns の時点における入力信号の変化を抽出し、TSTL2 のパターンに変換しま
す。入力信号が「1」へ変化していると TSTL2 には「1」が書き込まれ、また
「0」へ変化していると、TSTL2 には「0」が書き込まれます。
サインオフシステム R1.9.1 コマンドリファレンス 445
.....
TST
32
TST
TST 使用上の注意
以上のことを念頭に置いて、図 32–4 の波形を考えてください。この図におい
て第 3 サイクルではディレイ、すなわち波形の変化点が 30 ns より長いことに
注意してください。第 3 サイクルでは、波形が「L」のときに信号値が抽出さ
れるため、TSTL2 テストデータには「0」が入ってしまいます。この場合、テ
スタに転送されたときに「0」から「1」への変化は起こりません。TST はタイ
ミング定義とシミュレーションで与えた入力信号値のあいだに不整合を検出す
ると、ワーニングメッセージを出力します。
図 32–4 TSTL2 の規則に合っていない信号の変化
テストサイクル
30 ns
>30 ns
30 ns
出力タイミング
出力信号はストローブのあいだで値が安定していれば、その値が出力期待値
として TSTL2 のパターンに変換されます。ストローブのあいだに値が変化して
いる場合は、TSTL2 には X (don’t care) が書き込まれます ( 図 32–5 を参照 )。
図 32–5 出力パターンの変換
テストサイクル
ストローブ
H
L
X
TSTL2 ではパターンは 2 進、8 進、または 16 進で記述できます。各ビットの
値は、0、1、X (don’t care)、または Z ( ハイインピーダンス ) のいずれかになり
ます。2 進の場合は、これらのビットは 0、1、X、または Z で表示されます。8
進または 16 進の場合は、すべてのビットが不定、またはハイインピーダンス
の場合、X または Z を出力します。ただし、バス内で X または Z が 0 または 1
と衝突したら、疑問符 (?) が出力されます。
双方向タイミング
TSTL2 では同じサイクル内に双方向信号の入力と出力を混在できません。こ
れは双方向信号が入力から出力、あるいはその逆に変化するとき、たとえ信号
が DT 波形だったとしてもサイクルの境界で信号を切り替えなければならない
ためです。図 32–6 に双方向信号の切り替わりを示します。
446 サインオフシステム R1.9.1 コマンドリファレンス
TST の実行方法
図 32–6 双方向信号の切り替わり
テストサイクル
入力パターン
信号状態
0/1
0/1
0/1
L/H/Z/X
入力
入力
入力
出力
双方向信号
♦ 双方向ピンにおいて、同一のテストサイクル中に 1、0 が Z( ハイインピー
ダンス ) と混在している場合、入力値 (0 または 1) が TSTL2 テストデー
タファイルに書き込まれ、ワーニングメッセージが出力されます。
♦ 双方向ピンでコンフリクトが起こっている場合、入力側の信号値が
TSTL2 テストデータファイルに書き込まれ、ワーニングメッセージが出
力されます。
♦ 入出力モードに上記以外の矛盾がある場合は、生成された TSTL2 テスト
データ中に致命的エラーがあることを示す「F」が表示されます。
「F」と「?」は通常使用する TSTL2 の文字セット
の中には含まれていません。「F」や「?」がある
TSTL2 ファイルをコンパイルすると、コンパイル
エラーが発生します。
TST の実行方法
..................................................
この項では TST のシンタックスとオプションについて説明します。
TST を再実行する場合の注意
シミュレーション結果に不定 (X) があると、X は TIF ファイルにそのまま書
き込まれます。いったん TIF ファイルに格納されると、X は「Don’t care」とし
て解釈されます。TST を再実行しても、TIF ファイルの X はシミュレーション
結果に置き換えられず、TSTL2 テストデータに X (Don’t care) として入ること
に注意してください。これを避けるには、force オプションで ON を指定して
TST を再実行します。これにより TIF ファイルは TST 実行前の状態となりま
す。
シンタックス
TST を実行するには、UNIX のシェルプロンプトで以下のコマンドを入力し
ます。
tst オプション ...
サインオフシステム R1.9.1 コマンドリファレンス 447
.....
TST
32
TST
TST の実行方法
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *TST 部分にも記述できます。これらのオプションについては「付
録 A コンフィグレーションファイル」を参照してください。
simulator =
[VERILOG|VCS|GEMINI|MODELSIM|LEAPFROG|VSS|VOYAGER]
edaversion = EDA バージョン
module = 回路名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
toplevel = [CHIP|FUNCTION]
paragraph = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------testext = テスト識別子
{vcd|vcddir|vcdext} = ファイル名
{wif|wifdir|wifext} = ファイル名
{vcd2|vcd2dir|vcd2ext} = ファイル名
{zepf|zepfdir|zepfext} = ファイル名
msout = [ON|OFF]
TST を実行するとき、tsb.config ファイル中で
toplevel = CHIP を指定してください。CHIP 以外を
指定すると正しく動作しません。以下のメッセー
ジが出力された場合、toplevel オプションで CHIP
を指定してあるかどうか確認、修正してください。
...ZEPF file (./xxxx.zepf) not found. please try again.
*TST に分類されるオプション
以下は TST で使用するオプションであり、tsb.config ファイルの *TST 部
分に記述できます。
notst = [ON|OFF]
notif = [ON|OFF]
nolst = コード番号 1, コード番号 2, ...
nodet = コード番号 1, コード番号 2, ...
type = [fc|dc|ac|fcdc]
dcgen = [ON|OFF]
dcall = [ON|OFF]
norbreak = [ON|OFF]
norepeat = [ON|OFF]
nostrict = [ON|OFF]
448 サインオフシステム R1.9.1 コマンドリファレンス
TST の実行方法
notmglis = [ON|OFF]
nopatlis = [ON|OFF]
hexbreak = [ON|OFF]
xdc = [ON|OFF]
dcstart = サーチ開始アドレス
dccycle = サイクル数 , ピン名 1, ピン名 2, ...
meascut = [ON|OFF]
nodc0 = [ON|OFF]
nomask = [ON|OFF]
mpin = ピン名 1, ピン名 2, ...
mstart = テストサイクルの先頭
mstop = テストサイクルの終端
epin = [x|f]
confwd = コンフリクト最小検出幅
floatwd = フローティング最小検出幅
bfloat = [ON|OFF]
check = [conf|float|stbm|timchk|compare|extract]
pinchk = [ON|OFF]
nocompstb = [ON|OFF]
spike = 時間幅
column = [ON|OFF| カラム数 ]
tifio = [ON|OFF]
initconf = [ON|OFF]
cfout = [ON|OFF]
{its|itsdir|itsext} = ファイル名
{tif|tifdir|tifext} = ファイル名
{pintbl|pintbldir|pintblext} = ファイル名
{pininf|pininfdir|pininfext} = ファイル名
{npin|npindir|npinext} = ファイル名
{dcl|dcldir|dclext} = ファイル名
{iddslst|iddslstdir|iddslstext} = ファイル名
workdir = パス名
{tstlst|tstlstdir|tstlstext} = ファイル名
idds = [ON|OFF]
iddsnum = IDD(S) 測定ポイント挿入数
{tst|tstdir|tstext} = ファイル名
iihl = [ON|OFF]
iopud = [ON|OFF]
iddspin = [ON|OFF]
iddscon = [ON|OFF]
{iddsinf|iddsinfdir|iddsinfext} = ファイル名
{cnferr|cnferrdir|cnferrext} = ファイル名
force = [ON|OFF]
オプション
以下に *TST に分類されるオプションについて説明します。
サインオフシステム R1.9.1 コマンドリファレンス 449
.....
TST
32
TST
TST の実行方法
notst = [ON|OFF]
TSTL2 テストデータファイルの生成を抑止するかどうか指
定します。OFF を指定すると、TSTL2 を生成します。
notif = [ON|OFF]
シミュレーション結果にもとづいて TIF ファイルを書き換
えるかどうか指定します。notif オプションで ON を指定
すると、notst オプションは自動的に ON に指定されます。
OFF を指定すると、TIF ファイルを書き換えます。
nolst = コード番号 1, コード番号 2, ...
指定したコード番号で始まるタイミング違反メッセージの
出力を抑止します。ただし、検出したエラー数はレポート
します。コード番号の先頭の 0 は省略することができます。
カンマの前後に空白を入れないでください。
nodet = コード番号 1, コード番号 2, ...
指定したエラーコード番号のエラーの検出を抑止します。
コード番号の先頭の 0 は省略することができます。カンマ
の前後に空白を入れないでください。
メッセージ番号
メッセージ
1
INPUT TIMING IS WRONG
2
INPUT NODE VALUE IS ’X’ OR ’Z’
3
CHANGE FROM Z ON BID-IN DURING CYCLE
4
CHANGE TO Z ON BID-IN DURING CYCLE
11
CHANGE DURING STROBE
12
CHANGE AFTER STROBE BEFOR CYCLE END
14
VALUE CHANGES TWICE OR MORE IN ONE CYCLE
15
VALUE CHANGES THREE OR MORE TIMES IN ONE CYCLE
16
NO INDICATION OF MASK, BUT MASK SET ON
21
CONFLICT OCCURS ON BIDIRECTIONAL PIN
22
FLOATING OCCURS ON BIDIRECTIONAL PIN
23
PATTERN UNMATCHED
type = [fc|dc|ac|fcdc]
生成するテストデータのタイプを指定します。このオプ
ションを指定しないと、TIF ファイルに記述されている元の
タイプと同じになります。
450 サインオフシステム R1.9.1 コマンドリファレンス
TST の実行方法
dcgen = [ON|OFF]
MEASURE 文を発生するかどうかを指定します。このオプ
ションで ON を指定すると、type オプションは自動的に dc
に設定されます。
dcall = [ON|OFF]
MEASURE 文を発生するかどうかを指定します。このオプ
ションで ON を指定すると、type オプションは自動的に dc
に設定されます。dcgen オプションと dcall オプション
は、DC 測定に最適なテストポイントをサーチする際の条件
の厳しさが異なります。詳細は 459 ページの「dcgen オプ
ション・dcall オプション」を参照してください。
norbreak = [ON|OFF]
元のテストデータの REPEAT ブロックおよび SUBPATT ブ
ロック中で、シミュレーション出力が繰り返しになってい
ないとき、繰り返しになっていない部分を X でマスクして
REPEATおよびSUBPATTブロックを保持するかどうかを指定
します。OFF を指定すると REPEAT ブロック、SUBPATT ブ
ロックを展開します。詳細は、456 ページの「norbreak オプ
ション」を参照してください。
norepeat = [ON|OFF]
元の TSTL2 では REPEAT 形式になっていないが、同一単数
パターンが繰り返され、REPEAT 形式に圧縮できる場合、
REPEAT ブロックの作成を抑止するかどうか指定します。
OFF を指定すると REPEAT ブロックを作成します。
nostrict = [ON|OFF]
ストローブ後にシミュレーション出力値が変化している場
合、TSTL2 の期待値をどう決定するか指定します。ON を指
定すると、ストローブ後に値が変化している場合、変化後
の値から TSTL2 の期待値を決定します。OFF を指定すると
ストローブ時点におけるシミュレーション値から TSTL2 の
期待値を決定します。詳細は 458 ページの「nostrict オプ
ション」を参照してください。
notmglis = [ON|OFF]
ON を指定すると、実行リスティングファイル ( 回路名
.tstlst [. テスト識別子 ]) 中の I/O タイミングリストの作成
を抑止します。
nopatlist = [ON|OFF]
ON を指定すると、実行リスティングファイル ( 回路名
.tstlst [. テスト識別子 ]) 中の抽出パターンリストの作成
を抑止します。
サインオフシステム R1.9.1 コマンドリファレンス 451
.....
TST
32
TST
TST の実行方法
hexbreak = [ON|OFF]
@X、@Z 以外の 16 進記述で指定した出力ピンのいずれか
が X または Z である場合、デフォルトでは TSTL2 テスト
データに「?」が入ります。このオプションで ON を指定す
ると、16 進記述のパターンを展開した形で TSTL2 テスト
データを出力することができます。
xdc = [ON|OFF]
X (Don’t care) の部分を DC 測定アドレスサーチ (MEASURE
文の発生 ) に含めるかどうか指定します。デフォルトでは、
X の部分は除外されます。
dcstart = サーチ開始アドレス
指定したアドレスから DC 測定アドレスのサーチを始めま
す。デフォルトでは、サーチ開始アドレスは 0 になります。
dccycle = サイクル数 , ピン名 1, ピン名 2, ...
DC 測定アドレスサーチにおいて、指定したピンに対して、
パターンの状態が安定している領域を何サイクルまで検査
するかを指定します。指定したサイクル数のあいだ安定し
ていないと、DC 測定アドレスとして選択されません。
meascut = [ON|OFF]
ON を指定すると、元の TSTL2 テストデータファイル中に記
述されていた MEASURE 文の情報をすべて削除してから、
TST のアルゴリズムに従って、改めて MEASURE 文を発生し
なおします。
nodc0 = [ON|OFF]
ON を指定すると、最初のサイクルを DC 測定アドレスサーチ
(MEASURE 文の発生 ) から除外します。
nomask = [ON|OFF]
ON を指定すると、TSTL2 テストデータで X (Don’t care) のサ
イクルについても安定領域・フローティング・コンフリク
トのチェックを行います。
mpin = ピン名 1, ピン名 2, ...
mstart および mstop オプションによって指定されたテスト
サイクルにおける出力期待値を X (Don’t care) にする出力ピ
ンを指定します。
mstart = テストサイクルの先頭
シミュレーション結果にかかわらず、mpin オプションで指
定された出力ピンの期待値を X (Don’t care) にするテストサ
イクルの先頭を指定します。
mstop = テストサイクルの終端
シミュレーション結果にかかわらず、mpin オプションで指
定された出力ピンの期待値を X (Don’t care) にするテストサ
イクルの終端を指定します。
452 サインオフシステム R1.9.1 コマンドリファレンス
TST の実行方法
epin = [x|f]
TIF ファイルに期待値情報が格納されている場合、比較
チェックを行います。シミュレーション結果と期待値との
あいだに不一致がある場合、指定した文字を TSTL2 テスト
データに書き込みます。
confwd = コンフリクト最小検出幅
コンフリクト最小検出幅を ns 単位で指定します。指定した
時間以上継続するコンフリクトを検出すると、ワーニング
メッセージを出力します。デフォルトは 10 ns です。
floatwd = フローティング最小検出幅
フローティング最小検出幅を ns 単位で指定します。指定し
た時間以上継続するフローティングを検出すると、ワーニ
ングメッセージを出力します。デフォルトは 10 ns です。
bfloat = [ON|OFF]
プルアップまたはプルダウン付き双方向バッファをフロー
ティングチェックに含めるかどうか指定します。
check = [conf|float|stbm|timchk|compare|extract]
指定されたチェックだけを実行します。何も指定しない場
合、すべてチェックします。
conf
float
stbm
timchk
compare
extract
コンフリクト
フローティング
安定領域
I/O タイミング
期待値比較
すべてのチェックを抑止 (TSTL2 の生成のみ )
pinchk = [ON|OFF]
dcgen オプションで ON を指定してあるときに、このオプ
ションで ON を指定すると、回路のすべての I/O ピンが TIF
ファイルに記述されているかどうかチェックします。
nocompstb = [ON|OFF]
ON を指定すると、シミュレーション結果と期待値の比較で
不一致があるとき、そのサイクルのストローブのマージン
を 0 とみなします。
spike = 時間幅
スパイクとみなす最大時間幅を指定します。指定した時間
以下のパルスはスパイクとみなされます。
column = [ON|OFF| カラム数 ]
TIF ファイルを生成するために TSC を実行したときの
TSTL2 ファイルでのテストパターンのピン方向の長さを指
定します。
ON
テストパターンの長さを 72 カラムに制限しま
す。
サインオフシステム R1.9.1 コマンドリファレンス 453
.....
TST
32
TST
TST の実行方法
OFF
カラム数
元の TSTL2 ファイルと同じカラム数を使用しま
す。
指定したカラム数を使用します。
tifio = [ON|OFF]
DC 測定のための最適なテストサイクルを探すとき、TIF
ファイル中の I/O ピンのタイプを考慮するかどうかを指定し
ます。
initconf = [ON|OFF]
ON を指定すると、
時刻 0 でコンフリクトを起こしている信号
を探します。
cfout = [ON|OFF]
双方向信号でコンフリクトが起きているときに、入力モー
ドにするか出力モードにするかを指定します。コンフリク
トを検出すると、TST はワーニングメッセージを出力しま
す。デフォルト (OFF) を指定すると入力側の信号値が書き
込まれます。ON を指定すると出力側の信号値から出力信号
の値を決定し、期待値として書き込みます。コンフリクト
については 467 ページの「双方向信号のコンフリクトとフ
ローティング」を参照してください。
{its|itsdir|itsext} = ファイル名
TST により生成される TSTL2 テストデータファイルの名前
を指定します。
{tif|tifdir|tifext} = ファイル名
TST で使う TIF ファイルの名前を指定します。
{pintbl|pintbldir|pintblext} = ファイル名
TST で使うピン名のクロスリファレンスを記述した PINTBL
ファイルを指定します。
{pininf|pininfdir|pininfext} = ファイル名
TST で使う PININF ファイルの名前を指定します。
{npin|npindir|npinext} = ファイル名
TST により生成される NPIN ファイルの名前を指定します。
{dcl|dcldir|dclext} = ファイル名
TST により生成される DCL ファイルの名前を指定します。
{iddslst|iddslstdir|iddslstext} = ファイル名
TST により生成される IDDSLST ファイルの名前を指定しま
す。
workdir = パス名
テンポラリファイルを格納するディレクトリを指定します。
454 サインオフシステム R1.9.1 コマンドリファレンス
TST の実行方法
{tstlst|tstlstdir|tstlstext} = ファイル名
実行リスティングファイル ( 回路名 .tstlst [. テスト識別
子 ]) の名前を指定します。
idds = [ON|OFF]
TSTL2 テストデータファイルのテストパターン中に IDD(S)
測定アドレス (MEASURE(S) 文 ) のみを挿入する場合に、
dcall=ON、または dcgen=ON とともに ON を指定します。
iddsnum = IDD(S) 測定ポイント挿入数
TSTL2 テストデータファイルのテストパターン中に IDD(S)
測定アドレス (MEASURE(S) 文 ) を挿入する数を指定しま
す。指定しない場合は 1 です。dcall=ON、または
dcgen=ON とともに指定します。
{tst|tstdir|tstext} = ファイル名
TSC で生成された TIF ファイルが存在しない場合、TIF ファ
イルを生成するもとになるタイミング定義ファイル ( 回路名
.tst [ テスト識別子 ]) の名前を指定します。
iihl = [ON|OFF]
dcgen=ON または dcall=ON 指定時、OFF ( デフォルト ) を指
定すると入力ピン、双方向ピンのサイクルエンドで入力が
「H」レベルまたは「L」レベルのいずれかのサイクルに
MEASURE(I) 文を 1 つ挿入します。ON を指定すると、入力ピ
ン、双方向ピンのサイクルエンドで入力が「H」レベル、
「L」レベルそれぞれのサイクルに MEASURE(I) 文を 1 つ挿
入します。
iopud = [ON|OFF]
dcgen=ON または dcall=ON 指定時、非標準のプルアップ付
き双方向ピンのパターン「L」、非標準のプルダウン付き双
方向ピンのパターン「H」を IDD(S) 測定対象から除外するた
めのオプションです。詳細については、461 ページの「サー
チ条件」を参照してください。
iddspin = [ON|OFF]
dcgen=ON または dcall=ON 指定時、特定のピンとそのパ
ターンを含むテストサイクルだけを IDD(S) 測定アドレスの
サーチ対象にするためのオプションです。詳細については、
461 ページの「サーチ条件」を参照してください。
iddscon = [ON|OFF]
dcgen=ON または dcall=ON 指定時、指定したピンがすべて
変化したサイクル以降を IDD(S) 測定アドレスのサーチ対象
にするためのオプションです。詳細については、461 ページ
の「サーチ条件」を参照してください。
{iddsinf|iddsinfdir|iddsinfext} = ファイル名
TST で使う IDDSINF ファイル ( 回路名 .iddsinf [. テスト
識別子 ]) の名前を指定します。このファイルは
サインオフシステム R1.9.1 コマンドリファレンス 455
.....
TST
32
TST
norbreak オプション
iddspin=ON または iddscon=ON を指定すると読み込まれ
ます。
{cnferr|cnferrdir|cnferrext} = ファイル名
TST で使う CNFERR ファイル ( 回路名 .cnferr [. テスト
識別子 ]) の名前を指定します。dcgen=ON または
dcall=ON を指定したときに CNFERR ファイルが存在する
と、IDD(S) 測定アドレスサーチにおいて内部バスのコンフリ
クト、フローティングを考慮します。
force = [ON|OFF]
ON を指定すると、TST を再実行するときに TIF ファイルを更
新します。
実行例
以下に TST の実行例を示します。
♦ 次のコマンドは最も簡単な TST の起動方法です。テスト識別子として fnl
を指定します。
tst testext=fn1
♦ 次のコマンドは双方向信号のコンフリクトの最小検出幅を 0 ns と指定し
ています。
tst testext=fn1 confwd=0
♦ 次のコマンドはTSTL2テストデータファイルをサブディレクトリtestに
出力します。
tst testext=fn1 itsdir=./test
♦ 次のコマンドは MEASURE 文を挿入した DC テスト用 TSTL2 テストデータ
ファイルを生成します。
tst testext=fn1 dcgen=ON
norbreak オプション
..................................................
norbreak オプションは REPEAT ブロック、SUBPATT ブロックをどのように
作成するかを制御します。以下の項では norbreak オプションの REPEAT ブ
ロック、SUBPATT ブロックに対する作用について説明します。
REPEAT ブロックに対する作用
元の TSTL2 テストデータ中で REPEAT ブロックを使って入力パターンを記述
したとします。このとき TST によって抽出された出力期待値パターンも繰り返
しである場合は、図 32–7 に示すように、その REPEAT ブロックは保持されま
す。
456 サインオフシステム R1.9.1 コマンドリファレンス
norbreak オプション
図 32–7 REPEAT ブロックの保持
[ 元のテストデータ ]
REPEAT 10
1111
0000
0000
ENDREPT ;
;
;
;
;
[TST によって作成されるテストデータ ]
REPEAT 10
1111 LLLL
0000 LLLL
0000 HHHH
ENDREPT ;
;
;
;
;
出力期待値パターンが繰り返しになっていない場合、TST で作成されるテス
トデータは norbreak オプションの指定によって異なります。デフォルトでは
REPEAT ブロックは保持されますが、REPEAT ブロックにおいて出力パターンが
完全に繰り返しになるように繰り返し回数が減らされ、繰り返しになっていな
いテストサイクルは REPEAT ブロックの外側に展開されます。norbreak オプ
ションで ON を指定すると、REPEAT ブロックはそのまま保持され、繰り返し
になっていない部分は X (Don’t care) に設定されます。この場合、TST はワーニ
ングメッセージを出力します。
図 32–8 REPEAT ブロックに対する norbreak オプションの作用
[ 元のテストデータ ]
REPEAT 10
1111
0000
0000
ENDREPT ;
;
;
;
;
[norbreak = OFF ( デフォルト )] [norbreak = ON]
REPEAT 9 ;
1111 LLLL ;
0000 LLLL ;
0000 HHHH ;
ENDREPT ;
1111 LLLL ;
0000 LLLL ;
0000 HHLL ;
REPEAT 10
1111 LLLL
0000 LLLL
0000 HHXX
ENDREPT ;
;
;
;
;
繰り返しになっていない
部分は X に設定されます。
繰り返しになっていない部分
SUBPATT ブロックに対する作用
元の TSTL2 テストデータにおいて、入力パターンが SUBPATT ブロックによ
りサブルーチンとして定義されていて、それが REFER 文によって 3 回呼び出
されているとします。このとき、TST によって抽出された出力期待値パターン
が 3 つの REFER 文に対応するテストサイクルにおいてまったく同一ならば、
元の SUBPATT ブロックはそのまま保持されます。
サインオフシステム R1.9.1 コマンドリファレンス 457
.....
TST
32
TST
nostrict オプション
出力期待値パターンを元のサブルーチンの形式で圧縮できない場合、TST に
よって作成されるテストデータは norbreak オプションの指定によって異なり
ます。デフォルトでは、SUBPATT ブロックは保持されますが、他の REFER 文
と同一でない出力期待値がある REFER 文に対して、新しい SUBPATT ブロック
が作成され、その REFER 文は新しく作成された SUBPATT ブロックを呼び出す
ように変更されます。
norbreak オプションで ON を指定すると、元の SUBPATT ブロックは保持さ
れ、同一でない部分は X (Don’t care) に設定されます。以下の例を参照してくだ
さい。
図 32–9 SUBPATT ブロックに対する norbreak オプションの作用
[ 元のテストデータ ]
REPEAT ABC ;
0000
;
0000
;
1111
;
ENDSPATT ;
REFER ABC ;
.......
REFER ABC ;
.......
REFER ABC ;
[norbreak = OFF ( デフォルト )]
[norbreak = ON]
REPEAT 9 ;
SUBPATT ABC ;
0000 LLLL ;
0000 LLLL ;
0000 LLLL ;
0000 LLLL ;
1111 HHHH ;
1111 HHXX ;
ENDREPT ;
REFER ABC ;
SUBPATT SP1 ;
.......
新しく作成された REFER ABC ;
0000 LLLL ;
SUBPATT ブロック
0000 LLLL ;
.......
REFER ABC ;
1111 HHLL ;
REFER ABC ;
同一でない部分
.......
REFER SP1 ;
変更された REFER 文
.......
REFER ABC ;
同一でない部分
nostrict オプション
..................................................
シミュレーション出力値がストローブのあとで変化するとき、nostrict オ
プションで出力期待値をどのように決定するかを指定します。nostrict オプ
ションで OFF を指定すると、TSTL2 の期待値は常にストローブ時点における
シミュレーション値から決定されます。ON を指定すると、出力の値がスト
458 サインオフシステム R1.9.1 コマンドリファレンス
dcgen オプション・dcall オプション
ローブのあとに変化したとき、期待値はその変化後の値から決定されます。図
32–10 に nostrict オプションが TST により作成されるテストデータに与える
影響を示します。
図 32–10 nostrict オプションの影響
期待値
[nostrict=OFF( デフォルト )]
[nostrict=ON]
テストサイクル
H
H
X
H
ストローブ
テストサイクル
出力タイミングエラー
ストローブ
テストサイクル
L
H
PULSE 文で宣言しない限り
出力タイミングエラー
ストローブ
dcgen オプション・dcall オプション
..................................................
dcgen オプションまたは dcall オプションを指定すると、TST はテストパ
ターンをサーチして外部入出力ピンが IDD テストと DC テスト ( これ以後はま
とめて DC テストと呼ぶ ) を実行するのに最適な状態になるテストサイクルを
見つけ、MEASURE 文を TSTL2 テストデータに書き込みます。
サインオフシステム R1.9.1 コマンドリファレンス 459
.....
TST
32
TST
dcgen オプション・dcall オプション
元の TSTL2 テストデータにすでに MEASURE 文が記述されている場合は、指
定されているテスト項目とピンの組み合わせについては、それらの MEASURE
文の内容が優先されます。存在するすべての MEASURE 文を削除し、TST の内
部のアルゴリズムに従って MEASURE 文を発生しなおしたい場合は、meascut
オプションで ON を指定します。
パターンアドレスとは
DC 測定について「テストパターンのアドレス」という用語をよく使います。
テストパターンのアドレスとは、サイクルに対して、パターンの物理的な位置
を表します。また、DC 測定に最適なパターンのアドレスを DC 測定アドレス
といいます。図 32–11 に示すリストはアドレス番号とサイクル番号の違いを示
しています。図に示したように、アドレスは「何番目のパターンか」を表しま
す。
図 32–11 パターンのアドレス番号とサイクル番号
TESTPATT PAT1 ;
ENABLE TS1 ;
00000 XXXX ;
00001 HHHH ;
00010 HHHL ;
REPEAT 3 ;
00100 HHLH ;
00100 HLHH ;
ENDREPT ;
10000 LHHH ;
.......
/* Address
Cycle# */
/*
/*
/*
0
1
2
0
1
2
*/
*/
*/
/*
/*
3
4
357
468
*/
*/
/*
5
9
*/
DC テストの項目
MEASURE 文を発生するテスト項目は以下のとおりです。
♦ MEASURE(S)
IDD(S) (IDD スタティック )
♦ MEASURE(D)
IDD(D) (IDD ダイナミック )
♦ MEASURE(H)
VOH・IOH
♦ MEASURE(L)
VOL・IOL
♦ MEASURE(I)
IIH・IIL
♦ MEASURE(Z)
IDH・IDL
上記の測定項目の説明については、当社発行の『CMOS ASIC デザインマ
ニュアル』を参照してください。
460 サインオフシステム R1.9.1 コマンドリファレンス
dcgen オプション・dcall オプション
dcgen オプションと dcal l オプションの相違点
dcgenオプションとdcall オプションはDC測定アドレスのサーチを2段階で
行います。第 1 段階では、DC 測定に最適な厳しい条件を適用してサーチしま
す。第 1 段階で適切な DC 測定アドレスが見つからなかったテスト項目・ピン
の組み合わせについては、第 2 段階において、条件を緩くしてサーチします。
DC アドレスサーチの手順を以下に説明します。
1. DC 測定に最適なパターンアドレスが第 1 段階のサーチで見つかると、
dcgen オプション、dcall オプションの両方とも (notif オプションで ON を
指定しない限り )、その情報を TIF ファイルに書き込みます。また、DCL
ファイルに DC 測定アドレスレポートを出力します。
2. 第 1 段階でパターンアドレスが見つからなかったテスト項目・ピンの組み
合わせについては、dcgen オプションも dcall オプションも第 2 段階で条
件を緩めてサーチします。ただし、この場合 dcgen オプションは、この段
階で見つかった DC 測定アドレスの情報を測定が難しいピンとして、NPIN
ファイルに出力するだけで TIF ファイルには書き込みません。一方、
dcallオプションは第2段階で見つかったDC測定アドレスの情報をTIFファ
イルに書き込み、DC 測定アドレスレポートを DCL ファイルに出力します。
3. 第 2 段階の緩い条件を適用しても測定できないテスト項目・ピンの組み合
わせがある場合、dcgen オプションも dcall オプションも、その情報を
NPIN ファイルに出力します。
サーチ条件
この項では DC 測定アドレスのサーチ条件について説明します。DC 測定アド
レスのサーチはアドレス 0 または dcstart オプションで指定したアドレスか
ら開始します。
DC 測定は、テストサイクルの終端で行われます。
したがって、TST は測定する出力ピンのテストサ
イクルの終端における論理値を調べて、DC 測定ア
ドレスをサーチします。
静的消費電流
静的消費電流 (IDD(S)) とは入力が安定状態にあるときの LSI の消費電流です。
IDD(S) を測定する目的は回路が静止した状態でどのくらいの DC リーク電流が
流れるかを調べることです。IDD(S) の測定では外部入出力ピンは安定していれ
ばかまいません。
プルアップ抵抗・プルダウン抵抗付きの入力ピンと双方向ピンは抵抗に合わ
せた論理レベルに設定されなくてはなりません。すなわち、プルアップ抵抗付
きの場合は「1」、プルダウン抵抗付きの場合は「0」に設定しなければなりま
せん。
サインオフシステム R1.9.1 コマンドリファレンス 461
.....
TST
32
TST
dcgen オプション・dcall オプション
IDD(S) の測定にはすべての外部入出力ピンを同時に以下の状態に制御する必
要があります。パターン「N」は負のパルスを発生するので、サイクルの終端
では「1」になります。
同様に、
「P」は正のパルスを発生するので、サイクルの終端では「0」にな
ります。
1. 入力ピン
♦ プル抵抗なし
0、1、P、N
♦ プルアップ抵抗付き
1、N
♦ プルダウン抵抗付き
0、P
( 第 2 段階 1、N)
2. 出力ピン
♦ 第 1 段階
H、L、Z
( 第 2 段階 X)
3. 双方向ピン
♦ プル抵抗なし
0、1、P、N、H、L
♦ プルアップ抵抗付き
1、N
( 第 2 段階 H、Z、L)
♦ プルダウン抵抗付き
0、P
( 第 2 段階 1、N、L、Z、H)
iopud=ON を指定すると、双方向ピンのプルアッ
プ付きの「L」
、プルダウン付きの「H」はサーチ
対象から除外されます。
IDD(S) 測定アドレスをサーチする場合、特定のピンおよびそのパターンを
サーチ対象のアドレスに指定することができます。以下のテストパターンを例
に説明します。
462 サインオフシステム R1.9.1 コマンドリファレンス
dcgen オプション・dcall オプション
A
B
C
D
0
0
0
H
H
1
1
0
H
H
2
0
1
H
L
3
0
0
H
H
4
0
0
L
H
5
1
1
H
L
6
0
1
H
H
7
1
0
L
H
( ピン )
( アドレス )
1. 特定のピンとそのパターンを含むアドレスのみ IDD(S) 測定アドレスサーチの
対象とする場合
IDDSINF ファイルを作成します。
IDDSPIN
A=0
D=H
TST 実行時に dcgen=ON または dcall=ON とともに iddspin=ON を指定す
ると、上記ファイルより A が「0」
、D が「H」となるアドレスが IDD(S) 測定
アドレスのサーチ対象となります。この場合は 0、3、4、6 のアドレスがサー
チ対象です。
A
B
C
D
0
0
0
H
H
1
1
0
H
H
2
0
1
H
L
3
0
0
H
H
4
0
0
L
H
5
1
1
H
L
6
0
1
H
H
7
1
0
L
H
( ピン )
( アドレス )
サインオフシステム R1.9.1 コマンドリファレンス 463
.....
TST
32
TST
dcgen オプション・dcall オプション
2. 指定したピンがすべて変化したアドレス以降を IDD(S) 測定アドレスサーチの
対象とする場合
IDDSINF ファイルを作成します。
IDDSCON
B=1
C=L
TST 実行時に dcgen=ON または dcall=ON とともに iddscon=ON を指定す
ると、上記ファイルより B が「1」
、C が「L」に変化した以降のアドレスが
IDD(S)測定アドレスのサーチ対象となります。この場合は4アドレス以降です。
A
B
C
D
0
0
0
H
H
1
1
0
H
H
2
0
1
H
L
3
0
0
H
H
4
0
0
L
H
5
1
1
H
L
6
0
1
H
H
7
1
0
L
H
( ピン )
( アドレス )
3. IDDSPIN と IDDSCON を組み合わせる場合
IDDSINF ファイルを作成します。
IDDSPIN
A=0
D=H
IDDSCON
B=1
C=L
TST 実行時に dcgen=ON または dcall=ON とともに iddspin=ON および
iddscon=ON を指定すると、上記ファイルより A が「0」、D が「H」となるア
ドレスで、しかも、B が「1」、C が「L」に変化した以降のアドレスが IDD(S)
測定アドレスのサーチ対象となります。この場合は 4、6 のアドレスです。
464 サインオフシステム R1.9.1 コマンドリファレンス
dcgen オプション・dcall オプション
A
B
C
D
0
0
0
H
H
1
1
0
H
H
2
0
1
H
L
3
0
0
H
H
4
0
0
L
H
5
1
1
H
L
6
0
1
H
H
7
1
0
L
H
( ピン )
( アドレス )
動的消費電流
動的消費電流 (IDD(D)) は、常にテストパターンの終端で測定されます。外部
入出力ピンを設定すべき条件はありません。
VOH・IOH ( 高レベル出力電圧・高レベル出力電流 )
高レベル出力電圧 (VOH) は出力ピンに製品仕様上の「H」レベルになるよう
な入力条件を与えたときの出力電圧を表します。高レベル入力電流は出力バッ
ファの電流駆動能力を測定します。すなわち、出力ピンに「H」レベルになる
ような入力条件を与えたときの出力電流を表します。
VOH と IOH 測定では、出力および出力モードの双方向バッファは「H」レベ
ルでなくてはなりません。オープンドレイン出力バッファの出力ピンは「L」
とハイインピーダンス状態にしかならないので、TST はオープンドレイン出力
バッファの DC 測定アドレスをサーチしません。
VOL・IOL ( 低レベル出力電圧・低レベル出力電流 )
低レベル出力電圧 (VOL) は出力ピンに製品仕様上の「L」レベルになるような
入力条件を与えたときの出力電圧を表します。低レベル入力電流は出力バッ
ファの電流駆動能力を測定します。すなわち、出力ピンに「L」レベルになる
ような入力条件を与えたときの出力電流を表します。
VOL と IOL 測定では、出力および出力モードの双方向バッファは「L」レベル
でなくてはなりません。オープンソース出力バッファの出力ピンは「H」とハ
イインピーダンス状態にしかならないので、TST はオープンソース出力バッ
ファの DC 測定アドレスをサーチしません。
サインオフシステム R1.9.1 コマンドリファレンス 465
.....
TST
32
TST
dcgen オプション・dcall オプション
IIH・IIL ( 高レベル入力電流・低レベル入力電流 )
IIH と IIL は、入力に「H」レベルと「L」レベルの電圧を印加したとき、入力
から LSI 内部に流れ込む電流と外部に流れ出る電流を示します。TST は入力ピ
ンについてパターン 1、0、P、N をサーチします。第 2 段階ではサーチを Z ま
でひろげます。
IDH・IDL ( 高レベルディセーブル電流・低レベルディセーブル電流 )
3 ステート出力バッファにおいて、出力ピンを 3 ステート状態にしたときに
流れるリーク電流を測定します。3 ステート状態の出力ピンに「H」レベルの
電圧を印加したときに流れる電流を IDH、「L」レベルの電圧を印加したときに
流れる電流を IDL と呼びます。TST は、3 ステート出力バッファの出力ピンが
Z になるパターンをサーチします。
最適な DC 測定アドレス
TST はある項目のテストを実行するのに適切なピンの状態を検出すると、そ
こにフラグを立てます。テストパターンを全部調べると、以下のようにピン名
とパターンアドレスのテーブルが得られます。TST はこのテーブルを使って、
測定回数が最小になるようにパターンを選択します。
例えば、あるテスト項目について以下のようなテーブルが得られたとします。
A
0
B
C
D
E
1
F
G
H
I
J
1
1
( ピン )
1
1
1
1
2
3
1
4
5
6
1
1
1
1
1
7
1
1
1
1
1
1
1
8
9
1
1
1
1
1
( アドレス )
この表でアドレス 5 のパターンは A、C、D、E、G、I、J と最も多くのピン
測定条件を満たしています。TST は最初にこのアドレスで MEASURE 文を生成
します。
466 サインオフシステム R1.9.1 コマンドリファレンス
双方向信号のコンフリクトとフローティング
次に TST は以下の表に示すようにアドレス 5 のパターンで測定できないピン
(B、F、H) のフラグを調べ、最も多くのピンでフラグのたっているアドレス 6
のパターンを選択し、ここで MEASURE 文を生成します。このパターンでは F
と H のピンが測定できます。
B
F
H
1
0
( ピン )
1
2
1
3
1
4
1
6
7
1
1
8
9
( アドレス )
ピン B はパターン 2 と 7 で測定できます。この場合、TST はまえのパターン
を優先させて、パターン 2 を選択し、MEASURE 文を発生します。
双方向信号のコンフリクトとフローティング
..................................................
この項では以下の項目について説明します。
♦ コンフリクト・フローティングとは
♦ コンフリクトの発生タイミング
コンフリクト・フローティングとは
双方向信号のコンフリクトは、双方向バッファの出力部分がイネーブルされ
て、入力信号と異なる論理値を出力しているときに発生します。
双方向ピンが外部入力信号によって駆動されるあいだは出力バッファをハイ
インピーダンス状態にすることにより、双方向信号のコンフリクトを防止でき
ます。逆に、双方向ピンが出力バッファによって駆動されているときは、外部
入力信号をハイインピーダンス状態にすることにより双方向信号のコンフリク
トを防止できます。
サインオフシステム R1.9.1 コマンドリファレンス 467
.....
TST
32
TST
双方向信号のコンフリクトとフローティング
双方向信号のフローティングは、双方向バッファの入力信号と出力信号の両
方が、同時にハイインピーダンス状態のときに発生します。
双方向信号のコンフリクトが発生すると、駆動さ
れているゲートが過熱し、ごく短い時間で損傷し
てしまう可能性があるので注意してください。フ
ローティングはエラーではありませんが、駆動さ
れているゲートに過剰な静的電流が流れる恐れがあるため、
静的消費電流 (IDD(S)) の測定の妨げとなります。コンフリク
トとフローティングの詳細については、当社発行の『CMOS
ASIC デザインマニュアル』を参照してください。
コンフリクトの発生タイミング
図 32–12 は双方向信号のコンフリクトが発生する状況を示し、図 32–13 は発
生しない状況を示します。
図 32–12 双方向信号のコンフリクトが発生する状況
モード
出力
入力
入力
入力
出力
イネーブル
IN
OUT
コンフリクト
コンフリクト
図 32–13 双方向信号のコンフリクトが発生しない状況
モード
出力
X
入力
X
出力
イネーブル
IN
OUT
IN は外部からの入力信号です。OUT は双方向バッファの出力信号です。TST
がどのようにコンフリクト、フローティングを検出しているかについては当社
発行の各サインオフシステムのユーザーガイドを参照してください。
468 サインオフシステム R1.9.1 コマンドリファレンス
安定領域
安定領域
..................................................
この項では以下の項目について説明します。
♦ 安定領域のチェックの目的
♦ TST の機能
♦ 安定領域の計算方法
安定領域のチェックの目的
LSI テスタでは主にテスタのプローブヘッドのスキューにより、ストローブ
が指定した位置からずれてしまうことがあります。
図 32–14 ではストローブが信号変化点に対して十分にマージンをもっていて、
信号が安定しているときに値を読み取っています。
図 32–14 安定領域
安定
出力
ストローブ
ところが、LSI テスタのヘッドスキューにより、ストローブが指定した位置
より前後にずれて、信号が変化している最中あるいは信号が出力期待値と逆の
レベルにあるときにストローブがたてられたとします。そうなると、正確な測
定ができず、良品が不良品とみなされたり、不良品が良品とみなされかねませ
ん。これを防止するために、ストローブは最も近い信号変化点に対して十分な
安定領域 ( ストローブマージン ) をもっていなければなりません。
安定領域の目安については当社発行の『CMOS
ASIC デザインマニュアル』を参照してください。
TST の機能
TST は各出力ピンについてストローブの前後の 最小安定領域 ( 最小ストロー
ブマージン ) を計算します。map オプションで OFF を指定しなければ、安定領
域は視覚的に比較できるように、図 32–15 のようにダイヤグラムとしてプロッ
トされます。
図 32–15 安定領域ダイヤグラム
< TS1
> : STABLE REGION OF EACH PIN
PIN_NO(D) PIN_NAME
TIMESET
STABLE REGION : '*' =
4.000
NSEC, STROBE : '+'
LEFT
RIGHT
サインオフシステム R1.9.1 コマンドリファレンス 469
.....
TST
32
TST
安定領域
5(O) CO
STB
7(B) D0
STB
8(B) D1
STB
9(B) D2
STB
--***********************************************139.000 + 40.000
150 N
10 N
11.000
200.000
( CYL =
10 )
( CYL =
2 )
( SAV =
1 )
( SAV =
1 )
---------***********************************-----112.000 + 17.000
150 N
10 N
38.000
177.000
( CYL =
13 )
( CYL =
13
)
( SAV =
1 )
( SAV =
1 )
---------***********************************-----112.000 + 17.000
150 N
10 N
38.000
177.000
( CYL =
19 )
( CYL =
19
)
( SAV =
1 )
( SAV =
1 )
---------***********************************-----112.000 + 17.000
150 N
10 N
38.000
177.000
( CYL =
13 )
( CYL =
13
)
( SAV =
1 )
( SAV =
1 )
安定領域の計算方法
前側マージンは、ストローブのリーディングエッジ ( 前側のエッジ ) の前に
おいて、信号値が安定している時間です。後側マージンは、ストローブのト
レーリングエッジ ( 後側のエッジ ) において安定している時間です。テストサ
イクルは考慮されます。
図 32–16 前側マージンと後側マージン
ストローブ
マージン
マージン
ある出力ピンの安定領域とは、そのピンのすべてのストローブについて最小
の前側マージンと最小の後側マージンを表します。例えば、出力信号 LA がシ
ミュレーション中に図 32–17 のように変化したとします。
図 32–17 安定領域の計算方法
テストサイクル
LA
ストローブ
A
470 サインオフシステム R1.9.1 コマンドリファレンス
B
C
D
実行リスティングファイル
信号 LA は第 3 サイクルを除く各テストサイクルの A、B、C、D の範囲で安
定しています。第 3 テストサイクルではストローブ中に信号が変化していま
す。図 32–18 は、これらのサイクルを縦に並べ直したものです。この図で A、
B、C、D の交差する部分が信号 LA の安定領域になります。信号値がストロー
ブ中に変化したサイクルは安定領域の計算から除外されます。
図 32–18 安定領域の求め方
ストローブ
サイクル 1
サイクル 2
サイクル 3
サイクル 4
サイクル 5
安定領域
実行リスティングファイル
..................................................
実行リスティングファイルは以下の部分で構成されます。
♦ オプションリスト
♦ タイミングエラーリスト
♦ 抽出パターンリスト
♦ I/O タイミングリスト
♦ 安定領域ダイヤグラム
♦ コンフリクト領域ダイヤグラム
♦ フローティング領域ダイヤグラム
♦ エラーカウントリスト
♦ ピン名クロスリファレンス
以下の項では、上記の各項目の説明をします。
オプションリスト
オプションリストの例を以下に示します。オプションリストにはオプション
で指定した条件やデフォルトで使用された処理条件が入ります。
サインオフシステム R1.9.1 コマンドリファレンス 471
.....
TST
32
TST
実行リスティングファイル
図 32–19 TST オプションリスト
1)
CHECK START STEP ------------------------
1
2)
CHECK STOP STEP -------------------------
2147483647
3)
CHECK PIN -------------------------------
*** ALL ***
4)
MASK START STEP -------------------------
1
5)
MASK STOP STEP --------------------------
2147483647
6)
MASK PIN --------------------------------
*** NOTHING ***
7)
DC TIME ---------------------------------
0 NSEC
8)
DC TIME PIN -----------------------------
*** ALL ***
9)
SW FLAG ---------------------------------
OFF
10)
DC START STEP --------------------------
1
11)
DC CYCLE -------------------------------
1 CYCLE
12)
DC CYCLE PIN ---------------------------
*** ALL ***
13)
EXTRACT SAVE NUMBER --------------------
1
14)
UNMATCHED PATTERN ----------------------
*** EXTRACT PATTERN ***
15)
Z PATTERN CONVERSION -------------------
*** NOTHING ***
16)
CONFLICT CONTINUAL TIME ----------------
10.000000 NSEC
17)
FLOATING CONTINUAL TIME ----------------
10.000000 NSEC
18)
SIMULATION UNIT TIME -------------------
0.001000 NSEC
19)
MASK FLAG ------------------------------
ON
20)
SIMULATOR START TIME -------------------
0.000000 NSEC
21)
SIMULATOR STOP TIME --------------------
*** END OF EVENT OR
END OF TIF PATTERN ***
22)
TIMING LIST OUTPUT FLAG ----------------
ON
23)
PATTERN LIST OUTPUT FLAG ---------------
ON
24)
TEST TYPE ------------------------------
*** NO CHANGE ***
25)
TEST NAME ------------------------------
*** FIRST TEST NAME ***
26)
LCF FILE NAME --------------------------
*** UNKNOWN ***
27)
TST FILE NAME --------------------------
*** UNKNOWN ***
28)
WRITE FLAG ( TEST DATA BASE ) ----------
ON
29)
STRICT FLAG ----------------------------
ON
30)
INPUT ERROR MAXIMUM --------------------
2147483647
31)
OUTPUT ERROR MAXIMUM -------------------
2147483647
32)
DC GENERATOR FLAG ----------------------
OFF
33)
PATTERN EXPAND FLAG --------------------
ON
34)
REPEAT CREATE FLAG ---------------------
ON
35)
SPIKE TIME -----------------------------
10.000000 NSEC
36)
CONFLICT CHECK FLAG --------------------
ON
37)
CONFALL FLAG ---------------------------
OFF
38)
FLOATING CHECK FLAG --------------------
ON
39)
STROBE MARGIN CHECK FLAG ---------------
ON
40)
TIMING ERROR CHECK FLAG ----------------
ON
41)
PATTERN COMPARE FLAG -------------------
ON
42)
PATTERN EXTRACT FLAG -------------------
ON
472 サインオフシステム R1.9.1 コマンドリファレンス
実行リスティングファイル
43)
DC ADDRESS SEARCH FLAG -----------------
OFF
44)
X PATTERN DC GENERATION FLAG -----------
OFF
45)
LIBRARY NAME ---------------------------
TC220G
46)
PULL UP/DOWN PIN FLOATING CHECK FLAG ---
ON
47)
MARGIN CHECK FLAG WITH PATTERN COMPARE -
OFF
48)
MARGIN CHECK FLAG BY TIF PATTERN -------
ON
49)
TIF PIN CHECK FLAG ---------------------
OFF
50)
EXIST LIST OUTPUT FLAG OF DCI FILE -----
OFF
51)
NPIN FILE READ FLAG --------------------
OFF
52)
INITIAL X VALID FLAG FOR DT WAVE -------
ON
53)
X TO X VALID FLAG FOR DT WAVE ON BID ---
OFF
54)
Z TO X VALID FLAG FOR DT WAVE ON BID ---
OFF
55)
MEASURE STATEMENT CUT FLAG -------------
OFF
56)
MEASURE Z SEARCH FLAG FOR NO TRISTATE --
OFF
57)
BIT RANGE FLAG -------------------------
OFF
58)
CYCLE LIST FLAG ------------------------
OFF
59)
DC0 FLAG -------------------------------
ON
60)
DCALL FLAG -----------------------------
ON
61)
DCCNV FLAG -----------------------------
OFF
62)
PPA FILE READ FLAG ---------------------
OFF
63)
EWS FLAG -------------------------------
ON
64)
EWS NAME -------------------------------
VSS
65)
IDDQ FILE READ FLAG --------------------
OFF
66)
STROBE FILE READ FLAG ------------------
OFF
67)
TIFIO FLAG -----------------------------
OFF
68)
PFIDDQ FILE READ FLAG ------------------
OFF
69)
PFIDDQ PATTERN NO ----------------------
1
70)
CF MODE --------------------------------
*** NOTHING ***
71)
DC GENERATION PROBABILITY --------------
*** NOTHING ***
72)
LINE NUMBER OF DCI FILE ----------------
*** NOTHING ***
73)
TIF WRITING PATTERN --------------------
*** NOTHING ***
74)
DC SELECTION (H) (L) (I) (Z) (S) (D) --ON
75)
ON
ON
ON
ON
ON
WADET ( 1) ( 2) ( 3) ( 4) ( 5) ( 6) ( 7) ( 8) ( 9) (10)
ON
ON
ON
ON
OFF
OFF
OFF
OFF
OFF
OFF
(11) (12) (13) (14) (15) (16) (17) (18) (19) (20)
ON
ON
ON
ON
ON
ON
OFF
OFF
OFF
OFF
(21) (22) (23) (24) (25) (26) (27) (28) (29)
ON
76)
ON
ON
ON
ON
ON
ON
ON
ON
WALST ( 1) ( 2) ( 3) ( 4) ( 5) ( 6) ( 7) ( 8) ( 9) (10)
ON
ON
ON
ON
OFF
OFF
OFF
OFF
OFF
OFF
(11) (12) (13) (14) (15) (16) (17) (18) (19) (20)
ON
ON
ON
ON
ON
ON
OFF
OFF
OFF
OFF
(21) (22) (23) (24) (25) (26) (27) (28) (29)
サインオフシステム R1.9.1 コマンドリファレンス 473
.....
TST
32
TST
実行リスティングファイル
ON
ON
ON
ON
ON
ON
ON
ON
ON
以下の表にオプションリストの各行とコマンド行オプションの対応を示しま
す。
表 32–1 オプションリストの行とオプションの対応
番号
オプション
番号
オプション
番号
オプション
1
未サポート
26
未サポート
51
未サポート
2
未サポート
27
未サポート
52
オプションなし
3
未サポート
28
notif
53
オプションなし
4
mstart
29
nostrict
54
オプションなし
5
mstop
30
未サポート
55
meascut
6
mpin
31
未サポート
56
未サポート
7
オプションなし
32
dcgen
57
未サポート
8
オプションなし
33
norbreak
58
未サポート
9
オプションなし
34
norepeat
59
nodc0
10
dcstart
35
spike
60
dcall
11
dccycle
36
check
61
未サポート
12
dccycle
37
check
62
未サポート
13
未サポート
38
check
63
未サポート
14
epin
39
check
64
simulator
15
未サポート
40
check
65
未サポート
16
confwd
41
check
66
未サポート
17
floatwd
42
check
67
tifio
18
オプションなし
43
dcgen
68
未サポート
19
nomask
44
xdc
69
未サポート
20
未サポート
45
technology
70
cfout
21
未サポート
46
bfloat
71
未サポート
22
notmglis
47
nocompstb
72
未サポート
23
nopatlis
48
未サポート
73
未サポート
24
type
49
pinchk
74
未サポート
25
type
50
未サポート
75
nodet
76
nolst
474 サインオフシステム R1.9.1 コマンドリファレンス
実行リスティングファイル
タイミングエラーリスト
タイミングエラーリストにはコード番号「W TPE0001」から「W TPE0029」
までの I/O タイミングエラーメッセージが列挙されます。
I/O タイミングエラーメッセージは以下の形式で生成されます。
メッセージテキスト
テストパターン名 [SUBPATT] 1 アドレス サイクル番号 行番号 サイクル開始時刻 ピン番号 ピン名 ピンタイプ 波形 時刻 [ 値 ]
図 32–20 タイミングエラーリスト
TEST_PAT_NAME
PIN_NO
SUB_PAT_NAME
PIN_NAME
..W TPE0001
SAVE
DIRECTION
P0000000001I
..W TPE0014
1
IN
P0000000010B
..W TPE0022
TIME
6
NRZ
1
CYCLE_START
VALUE
5
23
800.000
820.000
BID-OUT
14
STB
13
31
2400.000
2571.000
FLOATING OCCURS ON BIDIRECTIONAL PIN.
PATTERNS
10
LINE_NO
VALUE CHANGES TWICE OR MORE IN ONE CYCLE.
PATTERNS
10
WAVE
CYCLE_NO
INPUT TIMING IS WRONG.
PATTERNS
1
ADDR
P0000000010B
1
BID
14
13
31
2400.000
2400.000 + 36.000 --> 2436.000
Z + Z --> Z
抽出パターンリスト
抽出パターンリストは、TST が抽出したテストパターンのリストで、パター
ンアドレスと行番号が挿入されています。パターンに対して出力されたワーニ
ングメッセージがあれば、そのコード番号も示されます。抽出パターンリスト
は 2 進記述で出力されます。
図 32–21 抽出パターンリスト
ADDR PATTERN
LINE_NO CYCLE_NO
WARNINGS
TEST_NAME FUNC
TEST_TYPE DCTEST
SEQUENCE
PATTERNS
ASSIGN
P0000000008O, P0000000010B, P0000000011B, P0000000012B, P0000000013B,
P0000000014B, P0000000015B, P0000000016B, P0000000017B, P0000000009O,
P0000000001I, P0000000002I, P0000000003I, P0000000004I, P0000000005I,
P0000000006I, P0000000007I
TESTPATT
1 ENABLE
PATTERNS
TS1
MEASURE(H)
P0000000008O
MEASURE(I)
P0000000001I, P0000000002I, P0000000003I, P0000000004I, P0000000005I,
P0000000006I, P0000000007I, P0000000010B, P0000000011B, P0000000012B,
P0000000013B, P0000000014B, P0000000015B, P0000000016B, P0000000017B
サインオフシステム R1.9.1 コマンドリファレンス 475
.....
TST
TST
32
実行リスティングファイル
2 HF0000000LFF00111
19
3 H01011010L0001011
20
4 H01011010L0001010
21
5 H01011010L0001011
22
6 HF1000010LF001011
23
7 H11000010L1001010
24
8 H11000010L1001011
25
9 HF0001101LFF01011
26
10 H00001101L0101010
27
MEASURE(L)
W02
W01
W01
P0000000008O, P0000000009O
11 L00001101L0101011
28
12 L00000000L0F01011
29
13 L00000000L0001011
30
W01
MEASURE(S)
14 LZZZZZZZZL00010F1
31
15 L00000000L0001011
32
W01 W14 W22
16 L00001001L0F11011
33
17 L00001001L0111010
34
18 H00001001L0111011
35
19 H00000000L0F11011
36
W01
20 HZZZZZZZZL00110F1
37
W01 W14 W22
21 HF0000000L0011011
38
W01
W01
MEASURE(D)
22 H00000000L0010111
39
ENDTEST
57
I/ O タイミングリスト
図 32–22 に I/O タイミングリストの例を示します。I/O タイミングリストは各
タイミングセット (TIMING-ENDTIM ブロック ) ごとに生成されます。
図 32–22 I/O タイミングリスト
1)
< TS1
2)
>
ENABLE ADDRESS
3) CYCLE
4)
5)
PIN_NO PIN_NAME
= 1
= 200
6)
I/O/B WAVE
N
7)
8)
9)
D-1
W-1
D-2
W-2
D-3
W-3
1 P0000000001I
I
NRZ
0 N
200 N
2 P0000000002I
I
NRZ
0 N
200 N
3 P0000000003I
I
NRZ
0 N
200 N
4 P0000000004I
I
NRZ
0 N
200 N
5 P0000000005I
I
NRZ
0 N
200 N
6 P0000000006I
I
NRZ
0 N
200 N
7 P0000000007I
I
NRZ
0 N
200 N
8 P0000000008O
O
STB
180 N
10 N
9 P0000000009O
O
STB
180 N
10 N
10 P0000000010B
B(I)
NRZ
0 N
200 N
10 P0000000010B
B(O)
STB
180 N
10 N
11 P0000000011B
B(I)
NRZ
0 N
200 N
11 P0000000011B
B(O)
STB
180 N
10 N
476 サインオフシステム R1.9.1 コマンドリファレンス
実行リスティングファイル
12 P0000000012B
B(I)
NRZ
0 N
12 P0000000012B
B(O)
STB
180 N
13 P0000000013B
B(I)
NRZ
0 N
13 P0000000013B
B(O)
STB
180 N
14 P0000000014B
B(I)
NRZ
0 N
14 P0000000014B
B(O)
STB
180 N
15 P0000000015B
B(I)
NRZ
0 N
15 P0000000015B
B(O)
STB
180 N
200 N
10 N
200 N
10 N
200 N
10 N
200 N
10 N
..................
1. TIMING 文で指定されたタイミングセット名
2. ENABLE 文のアドレス
3. CYCLE 文で指定されたテストサイクル
4. ピン名がアルファベット順にソートされて入ります。参照ピン名で示され
ます。
5. 「I/O/B」欄には次の I/O ピンのタイプが入ります。
I
O
B(I)
B(O)
入力
出力
双方向ピン入力側
双方向ピン出力側
6. 「WAVE」欄には I/O ピンに割り当てられている波形タイプが入ります。
NRZ
RZ
RZI
WRZ
WIRZ
TRZ
TIRZ
XOR
FCR
DNRZ
DRZ
STB
DSTB
DT 波形
PP 波形
NP 波形
WPP 波形
WNP 波形
TPP 波形
TNP 波形
EO 波形
FCR 波形
DNRZ 波形
DRZ 波形
シングルストローブ (STB)
ダブルストローブ (DSTB)
7. 「D-1」欄にはテストサイクルの先頭からの波形またはストローブのディレ
イが入ります。
8. 「W-1」欄にはパルス幅またはストローブ幅が入ります。DT 波形については
波形の変化点からテストサイクルの終端までの時間が入ります。
サインオフシステム R1.9.1 コマンドリファレンス 477
.....
TST
32
TST
実行リスティングファイル
9. 「D-2」、
「W-2」
、「D-3」、
「W-3」の欄には合成波形の元の波形のディレイお
よびパルス幅が入ります。
使用できる入力波形、ストローブの種類について
は当社 ASIC デザインセンターまでお問い合わせ
ください。
安定領域ダイヤグラム
ストローブからの最小安定領域が出力ピンおよび出力モードの双方向ピンご
とにダイヤグラムとしてプロットされます。テストサイクルの全長は 50 個の
ハイフンでプロットされ、アスタリスクにより安定領域の相対的な長さおよび
位置が表されます。
「+」はテストサイクル中におけるストローブの位置を表し
ます。
図 32–23 に示すように、安定領域ダイヤグラムは、TSTL2 テストデータ中で
定義されたタイミングセットでピンごとに生成されます。
図 32–23 安定領域ダイヤグラム
< TS1
> : STABLE REGION OF EACH PIN
PIN_NO(D) PIN_NAME
TIMESET
5(O) CO
STB
STABLE REGION : ’*’ =
4.000
NSEC, STROBE : ’+’ LEFT
RIGHT
--***********************************************139.000 + 40.000
150 N
7(B) D0
STB
10 N
11.000
200.00
0
( CYL =
10 )
( CYL =
2 )
( SAV =
1 )
( SAV =
1 )
( CYL =
13 )
( CYL =
13 )
( SAV =
1 )
( SAV =
1 )
( CYL =
19 )
( CYL =
19 )
( SAV =
1 )
( SAV =
1 )
( CYL =
13 )
( CYL =
13 )
( SAV =
1 )
( SAV =
1 )
( CYL =
13 )
( CYL =
13 )
( SAV =
1 )
( SAV =
1 )
( CYL =
13 )
( CYL =
13 )
( SAV =
1 )
( SAV =
1 )
---------***********************************-----112.000 + 17.000
150 N
8(B) D1
STB
10 N
38.000
177.00
0
---------***********************************-----112.000 + 17.000
150 N
9(B) D2
STB
10 N
38.000
177.00
0
---------***********************************-----112.000 + 17.000
150 N
10(B) D3
STB
10 N
38.000
177.00
0
---------***********************************-----112.000 + 17.000
150 N
11(B) D4
STB
10 N
38.000
177.00
0
---------***********************************-----112.000 + 17.000
150 N
12(B) D5
STB
10 N
38.000
177.00
0
---------***********************************-----112.000 + 17.000
150 N
478 サインオフシステム R1.9.1 コマンドリファレンス
10 N
38.000
177.00
0
実行リスティングファイル
13(B) D6
STB
( CYL =
13 )
( CYL =
13 )
( SAV =
1 )
( SAV =
1 )
( CYL =
19 )
( CYL =
19 )
( SAV =
1 )
( SAV =
1 )
( CYL =
13 )
( CYL =
13 )
( SAV =
1 )
( SAV =
1 )
( CYL =
2 )
( CYL =
2 )
( SAV =
1 )
( SAV =
1 )
---------***********************************-----112.000 + 17.000
150 N
14(B) D7
10 N
STB
38.000
177.00
0
---------***********************************-----112.000 + 17.000
150 N
15(O) EQ
10 N
STB
38.000
177.00
0
**************************************************
150.000 + 40.000
150 N
< TS1
10 N
0.000
200.00
0
> : STABLE REGION OF EACH TIMESET
TIMESET
STABLE REGION : ’*’ =
STB
4.000
NSEC, STROBE : ’+’ LEFT
RIGHT
---------***********************************-----150.000
10.000
38.000
177.000
112.000 + 17.000
( PIN =
7 )
( PIN =
7 )
( CYL =
13 )
( CYL =
13 )
( SAV =
1 )
( SAV =
1 )
図 32–24 安定領域ダイヤグラムの見方
アスタリスク (*)1 つあたりの時間
< TS1
> : STABLE REGION OF EACH PIN
PIN_NO(D) PIN_NAME
TIMESET
STABLE REGION : '*' =
4.000
NSEC, STROBE : '+'
LEFT
RIGHT
安定領域
7(B) D0
ピンタイプ
0: 出力
B: 双方向
STB
---------***********************************-----112.000 + 17.000
150 N
10 N
38.000
( CYL =
( SAV =
13 )
1 )
177.000
( CYL =
13 )
( SAV =
1 )
ストローブの位置
ピン名
ストローブの
ディレイと幅
ストローブ前の ストローブ後の 安定領域の左端を
安定領域 (ns)
安定領域 (ns) 決めた時刻と
サイクル
安定領域の右端を
決めた時刻と
サイクル
コンフリクト領域ダイヤグラム
シミュレーション時に最も長時間継続したコンフリクトが双方向ピンごとに
ダイヤグラムとしてプロットされます。
サインオフシステム R1.9.1 コマンドリファレンス 479
.....
TST
TST
32
実行リスティングファイル
このダイヤグラムでは、テストサイクルはバーの全長 (50 文字 ) によって表
され、コンフリクト領域がテストサイクルに相対的にプロットされます。
「0」、
「1」は 0 どうし、1 どうしのコンフリクトを表します。「X」は双方向バッファ
のワイヤード OR 信号が X になるコンフリクト、すなわち、0-1、1-X、0-X の
コンフリクトを表します。バーの下の「+」はストローブの位置を表します。
コンフリクト領域のダイヤグラムは、テストデータ中で定義されたタイミン
グセットごとに生成されます。
図 32–25 コンフリクト領域ダイヤグラム
** < TS1
PIN NUMBER
10
> : CONFLICT REGION OF EACH PIN
PIN NAME
BID1
CONFLICT REGION : ’1’ = 20.00(NSEC), STROBE : ’+’
11111--------------------------------------------+
( CYL =
LEFT
RIGHT
6.400
107.700
5 )
( SAV =
PIN NUMBER
11
PIN NAME
BID2
CONFLICT REGION : ’1’ = 20.00(NSEC), STROBE : ’+’
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
+
( CYL =
1 )
12
PIN NAME
BID3
+
RIGHT
1000.000
1 )
13
PIN NAME
BID4
1 )
RIGHT
1000.000
4 )
CONFLICT REGION : ’1’ = 20.00(NSEC), STROBE : ’+’
+
2 )
( SAV =
LEFT
( CYL =
-----------------------------------XXXXXXXXXXXXXXX
( CYL =
507.400
( SAV =
PIN NUMBER
1 )
LEFT
1 )
CONFLICT REGION : ’1’ = 20.00(NSEC), STROBE : ’+’
-------------------------0000000000000000000000000
5 )
( SAV =
0.000
( SAV =
PIN NUMBER
( CYL =
1 )
( CYL =
4 )
( SAV =
1 )
LEFT
RIGHT
706.900
1000.000
( CYL =
5 )
( SAV =
1 )
( CYL =
5 )
( SAV =
1 )
図 32–26 コンフリクト領域ダイヤグラムの見方
1 文字あたりの時間
** < TS1
PIN NUMBER
10
> : CONFLICT REGION OF EACH PIN
PIN NAME
BID1
CONFLICT REGION : '1' = 20.00(NSEC), STROBE : '+'
LEFT
RIGHT
11111---------------------------------------------
6.400
107.700
+
( CYL =
( SAV =
5 )
1 )
( CYL =
( SAV =
5 )
1 )
ストローブの位置
コンフリクト領域
X: 1 と X、0 と X、0 と 1 が衝突
1: 1 と 1 の衝突
0: 0 と 0 の衝突
480 サインオフシステム R1.9.1 コマンドリファレンス
コンフリクトの
始まった時刻
コンフリクトの
終わった時刻
( コンフリクトの起ったサイクル )
実行リスティングファイル
♦ シミュレーションの前に回路内のすべてのノードの初期状態は X に設定
されます。
したがって、実際にはコンフリクトが起こっていなくても、第 1 サイク
ルの初めにコンフリクトが起きたものとしてレポートされてしまうこと
があります。この場合以外でコンフリクトがまったくなかったときは
「NO CONFLICT REGION」と示されます。
♦ コンフリクトの起こった時刻はテストサイクルの初めからの相対時刻で
示されます。
♦ (SAV=n) の n は常に 1 になります。
フローティング領域ダイヤグラム
シミュレーション時に最も長時間継続したフローティングが双方向ピンごと
にダイヤグラムとしてプロットされます。
このダイヤグラムではテストサイクルはバーの全長 (50 文字 ) によって表さ
れ、フローティング領域がテストサイクルに相対的にプロットされます。
「Z」
はフローティングを表します。バーの下の「+」はストローブの位置を表しま
す。
フローティング領域ダイヤグラムはテストデータ中で定義されたタイミング
セットごとに生成されます。
図 32–27 フローティング領域ダイヤグラム
< TS1
PIN_NO
7
PIN_NAME
D0
> : FLOATING REGION OF EACH PIN
FLOATING REGION : ’Z’ =
4.000
NSEC
,STROBE : ’+’
ZZZZZZZZZZ---------------------------------------+
8
D1
ZZZZZZZZZZ---------------------------------------+
9
D2
ZZZZZZZZZZ---------------------------------------+
10
D3
ZZZZZZZZZZ---------------------------------------+
11
D4
ZZZZZZZZZZ---------------------------------------+
12
D5
ZZZZZZZZZZ---------------------------------------+
LEFT
RIGHT
0.000
38.000
( CYL =
13 )
( CYL =
13 )
( SAV =
1 )
( SAV =
1 )
0.000
38.000
( CYL =
13 )
( CYL =
19 )
( SAV =
1 )
( SAV =
1 )
0.000
38.000
( CYL =
13 )
( CYL =
13 )
( SAV =
1 )
( SAV =
1 )
0.000
38.000
( CYL =
13 )
( CYL =
13 )
( SAV =
1 )
( SAV =
1 )
0.000
38.000
( CYL =
13 )
( CYL =
13 )
( SAV =
1 )
( SAV =
1 )
0.000
38.000
( CYL =
13 )
( CYL =
13 )
( SAV =
1 )
( SAV =
1 )
サインオフシステム R1.9.1 コマンドリファレンス 481
.....
TST
32
13
D6
TST
DCL ファイル (DC 測定情報リスト )
ZZZZZZZZZZ---------------------------------------+
14
D7
ZZZZZZZZZZ---------------------------------------+
0.000
38.000
( CYL =
13 )
( CYL =
19 )
( SAV =
1 )
( SAV =
1 )
0.000
38.000
( CYL =
13 )
( CYL =
13 )
( SAV =
1 )
( SAV =
1 )
♦ フローティングの起こった時刻はテストサイクルの初めからの相対時刻
で示されます。
♦ (SAV=n) の n は常に 1 になります。
エラーカウントリスト
エラーカウントリストは、TST により検出された I/O タイミングエラーの数
を示します。以下に例を示します。例えば「W19」は「..W TPF0019」を示しま
す。
図 32–28 エラーカウントリスト
INPUT ERROR COUNTER = 14
W1 = 11
, W2 = 3
,
OUTPUT ERROR COUNTER = 32
W11 = 0
, W12 = 0
,
W16 = 0
W21 = 0
, W22 = 16
,
W26 = 0
, W27 = 0
,
LAST ADDRESS OF PATTERN = 21
W3
= 0
, W4
= 0
W13 = 0
, W14 = 16
, W15 = 0
W23 = 0
W28 = 0
, W24 = 0
, W29 = 0
, W25 = 0
<<< WARNING END >>>
ピン名クロスリファレンス
実行リスティングファイルの最後には、TSC により生成される、参照ピン名
と実際のピン名の対応が記述されたピン名クロスリファレンスがコピーされま
す。
DCL ファイル (DC 測定情報リスト )
..................................................
dcgen オプションまたは dcall オプションを指定すると、TST はユーザー参
照用に DCL ファイル ( 回路名 .dcl [ テスト識別子 ]) を出力します。DCL ファ
イルはテスト項目ごとに測定アドレスを示します。DCL ファイルに示されたア
ドレスで、MEASURE 文が TSTL2 テストデータに挿入されています。DCL ファ
イルの例を以下に示します。
482 サインオフシステム R1.9.1 コマンドリファレンス
IDDSLST ファイル (IDD(S) 測定情報リスト )
図 32–29 DCL ファイルの例
** DCL LIST **
1)
2)
KIND
PIN
TESTPATT
3)
4)
VOH/IOH
P0000000008O
PATTERNS
VOL/IOL
P0000000008O
5)
ADDRESS
2
CONDITION
1
11
P0000000009O
IIH/IIL
P0000000001I
2
P0000000002I
P0000000003I
P0000000004I
P0000000005I
P0000000006I
P0000000007I
P0000000010B
P0000000011B
P0000000012B
P0000000013B
P0000000014B
P0000000015B
P0000000016B
P0000000017B
IDD(S)
14
2
IDD(D)
22
1
1. テスト項目
2. ピン名
3. 「TESTPATT」欄は TESTPATT 文で指定したパターンブロック名を示しま
す。
4. 「ADDRESS」欄はパターンのアドレスを示します。
5. 「CONDITION」欄は dcall オプションが指定されたときのみ出力されます。
この欄はアドレスが第 1 条件、第 2 条件のどちらを適用して見つかったか
を示します。
IDDSLST ファイル ( IDD(S) 測定情報リスト )
..................................................
dcgen または dcall オプションを指定すると、TST は「回路名 .iddslst
[. テスト識別子 ]」という名前のテキストファイルを作成します。このファイ
ルには IDD(S) 測定アドレスについて最適なパターン情報が 10 個入っています。
サインオフシステム R1.9.1 コマンドリファレンス 483
.....
TST
32
TST
IDDSLST ファイル (IDD(S) 測定情報リスト )
図 32–30 IDDSLST ファイルの例
1) IN
2)
PPPPPPP
0000000
0000000
0000000
0000000
0000000
0000000
0000000
0000000
0000000
1234567
IIIIIII
OUT
PU-I/O
PP
00
00
00
00
00
00
00
00
00
89
OO
PPPPPPPP
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
11111111
01234567
BBBBBBBB
3)
STEP No. = 2
(400 ns)
4) 0001011
HL
STEP No. = 3
(600 ns)
0001010
HL
STEP No. = 4
(800 ns)
0001011
HL
STEP No. = 5
(1000 ns)
1001011
HL
STEP No. = 6
(1200 ns)
1001010
HL
STEP No. = 7
(1400 ns)
1001011
HL
STEP No. = 8
(1600 ns)
1101011
HL
STEP No. = 10
(2000 ns)
0101011
LL
STEP No. = 9
(1800 ns)
0101010
HL
STEP No. = 15
(3000 ns)
0111011
LL
01011010
@ @ @ @ 5)
01011010
@ @ @ @
01011010
@ @ @ @
11000010
@@@@ @
11000010
@@@@ @
11000010
@@@@ @
10001101
@@@@ @
00001101
@@@@ @
00001101
@
@@@@
00001001
@@@@ @@
1. I/O バッファは以下のように分類されます。
IN
OUT
I/O
PU-IN
PU-I/O
入力バッファ
出力バッファ
双方向バッファ
プルアップ付き入力バッファ
プルアップ付き双方向バッファ
484 サインオフシステム R1.9.1 コマンドリファレンス
NPIN ファイル (DC 未測定情報リスト )
PD-IN プルダウン付き入力バッファ
PD-I/O プルダウン付き双方向バッファ
アスタリスク (*) はオープンドレインピンを示します。
2. ピン名
3. IDD (S) 測定アドレスに最適な候補についての情報で、3 行で構成されます。
1 行目はテストサイクル、サイクルの終端の時刻を示します。
4. 2 行目はパターンを示します。
5. 3 行目は I DD (S) 測定の条件を満たしていない I/O ピンを @ で示します。
NPIN ファイル (DC 未測定情報リスト )
..................................................
dcgenオプションを指定したとき生成されるNPINファイルの例を以下に示し
ます。NPIN ファイルは DC 測定ができない外部入出力ピンを示します。
リストの上半分は第 1 条件を適用したときに測定できないテスト項目とピン
を示します。
「Searched by 2nd condition」以降は、第 2 条件を適用した
場合に測定できると判断されたパターンアドレスを示します。ただし、
MEASURE 文は発生されていないので注意してください。dcall オプションを指
定した場合は、第 2 条件で見つかったアドレスについても MEASURE 文が発生
されるので、その情報は NPIN ファイルではなく、DCL ファイルに入ります。
したがってこの場合、
「Searched by 2nd condition」以降の部分は出力さ
れません。
図 32–31 NPIN ファイルの例
← 1)
*IDDSNUM 3
** NPIN LIST **
KIND
PIN
VOH/IOH
VOH/IOH
O1
O2
IO1
IO2
IO5
IO8
IO9
IO11
IO12
IO3
IO10
IO4
O1
O2
IO1
IO2
IO6
IO7
IO8
サインオフシステム R1.9.1 コマンドリファレンス 485
.....
TST
32
TST
NPIN ファイル (DC 未測定情報リスト )
IO9
IO12
IO3
IO10
IO4
IIH/IIL
IO5
IO6
IO7
IO11
← 2)
IDD(S)
3
Searched by 2nd condition
KIND
PIN
TESTPATT
ADDRESS
← 3)
IIH/IIL
IO8
IO12
SAMPLE
3
1. iddsnum オプションで指定した数を示します。
2. IDD(S) を測定できないと判断されたポイント数を示します。
3. dcgen=ON 指定時に、第 1 条件では測定できないと判断されたが、第 2 条
件で測定できると判断されたテスト項目、ピンの組み合わせを示します。
486 サインオフシステム R1.9.1 コマンドリファレンス
TVHDL (VITALSO/VOYSO のみ )
.....
....................................
33
この章では TVHDL について以下のことを説明します。
♦ TVHDL の機能
♦ 入出力ファイル
♦ TVHDL の実行方法
♦ 実行リスティングファイル
TVHDL の機能
..................................................
TVHDL は他の VITALSO/VOYSO デザインキットのプログラムを実行するま
えに、VHDL で記述されたネットリストが当社の制約に違反していないかどう
かチェックします。また、以下のファイルを生成します。
♦ TDGS データベースファイル ( 回路名 .tdgs)
当社独自のデザインデータベースファイル (TDGS: Toshiba Design
Database for Gate-level Structures) です。TDGS データベースファイルは
DVER、DCAL など多くのプログラムの入力ファイルとして使われます。
♦ TOP ファイル ( 回路名 .top)
TOP ファイルには最上位モジュール名とすべての入出力信号名が入りま
す。最上位モジュールのネットリストに記述されている entity 文を参
照して、TOP ファイルを作成します。
TOP ファイルは TSC の入力として使います。TSC は TSTL2 テストデー
タファイルと TOP ファイルを比較することにより、テストデータとネッ
トリストのあいだで入出力信号名の不一致がないかどうかをチェックし
ます。
♦ TSTHEAD ファイル ( 回路名 .tsthead)
TSTHEAD ファイルには TSTL2 テストデータファイルの I/O ピンおよび
タイミングの定義部分が入ります。TSTL2 形式でテストデータを記述す
るときに、テンプレートとして使用できます。
♦ WAVCONF ファイル ( 回路名 .wavconf)
WAVCONF ファイルは TSG の入力ファイルとして使います。テストパ
ターンを抽出するための入出力ピンのサンプリングポイントを指定する
ためのファイルです。
サインオフシステム R1.9.1 コマンドリファレンス 487
33
T V H D L ( V I TA L S O / V OY S O の み )
TVHDL の機能
TSTHEAD ファイル、TOP ファイル、WAVCONF ファイルがすでに存在して
いて上書きしたくないときは、overwrite_template オプションで OFF を指
定してください。
TVHDL は TSTHEAD ファイルを作成する際に、ネットリスト中の入出力ピ
ンの名前が TSTL2 のルールに従っているかどうかをチェックします。
以下に TVHDL の機能について詳しく説明します。
ネットリストの制約に関するチェック
VITALSO/VOYSO のすべてのプログラムは、当社のライブラリ中のセルだけ
で構成されている回路を想定しています。VITAL のプリミティブ、機能記述な
どは使用できません。TVHDL は以下の制約について VHDL で記述されたネッ
トリストをチェックします。これらはネットリストについての制約であり、波
形記述には適用されません。制約に関する詳細な説明については当社発行の
『VITALSO R1.9.1 ユーザーガイド』を参照してください。
♦ 使用できる VHDL 言語使用は、IEEE std 1076-1987 です。
♦ VITAL プリミティブは使用できません。
♦ モジュール名と信号名で使用できる文字列は 1,024 文字までです。
♦ 大文字、小文字は区別しません。
♦ 最上位モジュール名と外部入出力ピン名は英字で始まる 40 文字以下の文
字列でなければいけません。
♦ モジュール名と信号名で使える文字は英字、数字、下線 ( _ ) です。
♦ モジュール名と信号名の最初の文字は英字でなければいけません。
♦ 外部出力ピン名は、大文字、小文字の組み合わせを含めて、「VSS」、
「VDD」で始まってはいけません。
♦ 外部出力ピン名は、大文字、小文字の組み合わせを含めて、「NC_0」、
「NC_1」という名前は使えません。
♦ インスタンス名、ネット名およびピン名は、大文字、小文字の組み合わ
せを含めて、「_TSB」を含む名前は使えません。
♦ ネットリスト中で以下のような信号代入文を使用した場合、それぞれの
信号名は電源、グランドと認識されます。いずれも、アーキテクチャ宣
言のなかで記述してください。
POWER <= ’1’;
GROUND <= ’0’;
ただしベクタ信号を使って定義する場合、以下の記述は認められません。
ベクタ信号名 <= "0010";
488 サインオフシステム R1.9.1 コマンドリファレンス
入出力ファイル
ベクタ信号を使って電源、グランドを定義する場合、以下のように展開
するかビットを連結して記述してください。
ベクタ信号名 (0)
ベクタ信号名 (1)
ベクタ信号名 (2)
ベクタ信号名 (3)
<=
<=
<=
<=
’0’;
’0’;
’1’;
’0’;
または
ベクタ信号名 <= (’0’,’0’,’1’,’0’);
♦ 下線 ( _ ) 以外の特殊文字は使用できません。
♦ 遅延時間は設定できません。
♦ 時間変数を宣言する TIME 記述は使用できません。
♦ 整数変数を宣言する INTEGER 記述は使用できません。
♦ 実数変数を宣言する REAL 記述は使用できません。
♦ TVHDL は複数のドライバによって駆動されている信号など、いくつかの
種類の接続関係をチェックします ( ただし、最終的なデザインルール
チェックは DVER で行います )。
入出力ファイル
..................................................
図 33–1 に TVHDL の入出力ファイルを示します。
サインオフシステム R1.9.1 コマンドリファレンス 489
.....
T V H D L ( V I TA L S O / V OY S O の み )
33
T V H D L ( V I TA L S O / V OY S O の み )
入出力ファイル
図 33–1 TVHDL の入出力ファイル
VHDL
ネットリストファイル
ネットリスト
指定ファイル
files
TVHDL
バイナリ
形式
vhdllst
top
実行リスティング
ファイル
TSC で使用
tsthead
wavconf
tdgs
TSG で使用
TDGS データ
ベースファイル
DVER
IOPARAM
DCAL
SRA
TOG
TOGMRG
PWR
NETMOD
PNA
TST
TDGS2TDGS
で使用
入力ファイル
TVHDL の入力ファイルは以下のとおりです。
♦ VHDL ネットリストファイル
TVHDL の入力ファイルは VHDL 形式のネットリストファイルです。
ネットリストファイルは複数のファイルに分割されていてもかまいませ
ん。ネットリストファイルの名前はネットリスト指定ファイルで指定し
ます。
♦ ネットリスト指定ファイル
入力ファイルとなるネットリストファイルを列挙するテキストファイル
です。ファイルの記述方法については、491 ページの「ネットリスト指
定ファイル」で説明します。
出力ファイル
TVHDL の出力ファイルは以下のとおりです。
490 サインオフシステム R1.9.1 コマンドリファレンス
TVHDL の実行方法
♦ 回路名 .tdgs
当社独自のデザインデータベースファイル (TDGS データベースファイ
ル ) です。
♦ 回路名 .top
TOP ファイルです。外部ピン情報が出力されます。TSC の入力ファイル
として使います。
♦ 回路名 .tsthead
TSTL2 のヘッダ部分のテンプレートファイルです。TSTL2 テストデータ
ファイルの I/O ピンおよびタイミングの定義部分が入ります。
♦ 回路名 .wavconf
TSG を使って、テストパターンを抽出するときに入出力ピンのサンプリ
ングポイントを指定するためのファイルです。サンプリングポイントの
指定については「31章 TSG」を参照してください。
♦ 回路名 .vhdllst
実行リスティングファイルです。
TVHDL の実行方法
..................................................
この項では TVHDL のシンタックスとオプションについて説明します。
ネットリスト指定ファイル
TVHDL を実行するまえに、使用するネットリストファイルをネットリスト
指定ファイルに列挙します。$TOSH_ROOT/< シミュレータ名 >/sample ディレ
クトリに sample.files というファイルがあるので、
「回路名 .files」とい
う名前で実行ディレクトリにコピーしてからエディットしてください。
記述形式
♦ 「#」で始まる行はコメントです。
♦ 環境変数を使用できます。
♦ ライブラリ名を指定したあとにファイル名を指定します。ライブラリ名
とファイル名は「:」で区切ります。
♦ ファイル名は絶対パスか、実行ディレクトリからの相対パスで指定して
ください。ただし、ホームディレクトリをあらわすチルデ (~) は使用で
きません。
♦ 複数のネットリストファイルを指定する場合、サブモジュールのファイ
ルから順番に指定してください。
サインオフシステム R1.9.1 コマンドリファレンス 491
.....
T V H D L ( V I TA L S O / V OY S O の み )
33
T V H D L ( V I TA L S O / V OY S O の み )
TVHDL の実行方法
♦ ライブラリ名の「STD」と「IEEE」を指定する場合は先頭に記述します。
指定がない場合は、以下のように設定されます。
STD:$TOSH_ROOT/<simulator>/packages/STD/standard.vhdl
IEEE:$TOSH_ROOT/<simulator>/packages/IEEE/ieee.vhdl
以下にネットリスト指定ファイルの例を示します。ここで、使用する VHDL
シミュレータは VSS、使用するテクノロジーは TC200C です。ユーザー定義の
VHDL ネットリストファイルは TOP.vhdl と SUB.vhdl の 2 つとします。
図 33–2 VHDL ネットリスト指定ファイルの例
[1] TC200C:$TOSH_ROOT/vss/packages/TC200C/tc200c.vhdl
[2] Megacell:./megacell/megacellPackage.vhd
Megacell:./megacell/megacell.vhd
[3] WORK:SUB.vhdl
WORK:TOP.vhdl
以下の番号は図 33–2 中の番号と対応しています。
1. 使用するテクノロジーに合ったコンポーネントパッケージを指定します。
tc200c.vhdl ファイルは、ユーザー回路のネットリスト中に直接コンポー
ネントが宣言されている場合に有効です。直接宣言されていない場合は、
tc200c.vhdl の部分を tc200cPackage.vhd に変更してください。
TC240 シリーズ以降を使用する場合は、次のように指定してください。ネッ
トリスト中にソフトマクロが展開されている場合、2 行目のファイル名に
「(Package)」が入ります。
TC240E:$TOSH_ROOT/vss/packages/TC240E/tc240ePackage.vhd
TC240E:$TOSH_ROOT/vss/packages/TC240E/tc240eIO(Package).vhd
TC240E:$TOSH_ROOT/vss/packages/TC240E/Localtc240ePackage.vhd
2. 特殊セルのファイルを指定します。
特殊セルがない場合は、必要ありません。1 行目はメガセルのパッケージ宣
言ファイルを指定しています。2 行目は VITAL ライブラリファイルを指定し
ています。メガセル、特殊セルを使用する場合の環境設定については「当社
発行の『VITALSO R1.9.1 ユーザーガイド』を参照してください。
3. ユーザー定義の VHDL ネットリストファイルを指定します。
ユーザー回路を記述している VHDL ネットリストファイルを指定します。複
数指定できます。複数のネットリストファイルを指定する場合は、下位の階
層にあるファイルから順番に指定してください。
492 サインオフシステム R1.9.1 コマンドリファレンス
TVHDL の実行方法
シンタックス
TVHDL を実行するには、UNIX のシェルプロンプトで以下のコマンドを入力
します。
tvhdl オプション ...
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。また、点線より下のオ
プションは *TVHDL 部分にも記述できます。これらのオプションについては
「付録 A コンフィグレーションファイル」を参照してください。
simulator = [MODELSIM|LEAPFROG|VSS|VOYAGER]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
arraytype = 母体名
design = 回路名
libdir = パス名 : ...
masterfile = ファイル名
{tdgs|tdgsdir|tdgsext} = ファイル名
paragraph = [ON|OFF]
help = [ON|OFF]
----------------------------------------------------------{tsthead|tstheaddir|tstheadext} = ファイル名
msout = [ON|OFF]
*TVHDL に分類されるオプション
以下は TVHDL で使用するオプションであり、tsb.config ファイルの
*TVHDL 部分に記述できます。
configuration = コンフィグレーション名
entity = エンティティ名
input = ファイル名
inputext = 拡張子
topout = [ON|OFF]
{top|topdir|topext} = ファイル名
headout = [ON|OFF]
wavout = [ON|OFF]
{wavconf|wavconfdir|wavconfext} = ファイル名
overwrite_template = [ON|OFF]
サインオフシステム R1.9.1 コマンドリファレンス 493
.....
T V H D L ( V I TA L S O / V OY S O の み )
33
T V H D L ( V I TA L S O / V OY S O の み )
TVHDL の実行方法
オプション
以下に *TVHDL に分類されるオプションについて説明します。
configuration = コンフィグレーション名
VHDL 形式で記述された回路の最上位モジュールのエン
ティティとアーキテクチャの関係を示すコンフィグレー
ション名を指定します。
configuration オプションと entity オプションのど
ちらか一方を指定してください。
entity = エンティティ名
VHDL 形式で記述された回路の最上位モジュールのエン
ティティ名を指定します。
configuration オプションと entity オプションのど
ちらか一方を指定してください。
input = ファイル名
ネットリスト指定ファイルのファイル名を指定します。デ
フォルトのファイル名は「回路名 .files」です。input
オプションは inputext オプションよりも優先します。
inputext = 拡張子
ネットリスト指定ファイルのファイル拡張子を指定します。
topout = [ON|OFF]
TOP ファイルを出力するかどうかを指定します。
{top|topdir|topext} = ファイル名
TOP ファイルの名前を指定します。
headout = [ON|OFF]
TSTHEAD ファイルを出力するかどうかを指定します。
wavout = [ON|OFF]
WAVCONF ファイルを出力するかどうかを指定します。
{wavconf|wavconfdir|wavconfext} = ファイル名
WAVCONF ファイルの名前を指定します。
overwrite_template = [ON|OFF]
TOP ファイル、TSTHEAD ファイル、WAVCONF ファイル
がすでに存在する場合、これらのファイルを上書きするか
どうか指定します。
494 サインオフシステム R1.9.1 コマンドリファレンス
実行リスティングファイル
実行例
以下に TVHDL の実行例を示します。entity オプションで最上位モジュー
ルのエンティティ名を指定します。
tvhdl entity=DEMO1
実行リスティングファイル
..................................................
図 33–3 に実行リスティングファイルの例を示します。このファイルには実行
中に出力されたすべてのメッセージが入ります。メッセージにはワーニングや
エラーが検出されたファイルの名前および行番号が付加されます。
図 33–3 TVHDL の実行リスティングファイルの例 ( 回路名 .vhdllst)
*************************************************************************
*
Toshiba New Delay Model System
*
*
Toshiba S/O System for Solaris Release 1.9.1
*
*
Toshiba MODELSIM S/O System for Solaris Release 1.9.1
*
TC240ET/TC240EQ VITAL Library Package
*
Ver 2.04
TC240ET Library (TDOBJ) Release 1.9.1
*
Toshiba layout i/f System
Release 1.9.1
*
*
*
*
*
(c) Copyright Toshiba Corporation, since 1994.
*
*
All rights reserved.
*
************************************************************************
Array type(T8G20TW) is STANDARD MASTER.
..Design Warning VHD-00157 Line 70 of ’CAKE.vhdl’. Signal ’N007’ has more than one
source.
VHD-00019 An error or warning occurred during TDGS file generation.
***********************
TVHDL EXECUTION LOG
Program version:
TVHDL VERSION 2.07b
Userid
:
verisrc
Design
:
Module
:
CAKE
Technology
:
TC240ET
Array type
:
T8G20TW
Option
:
simulator = modelsim
:
edaversion = 5.2
:
configuration = TEST
Condition
:
module = CAKE
:
instance = CAKE
:
technology = TC240ET
:
arraytype = T8G20TW
:
tdgs = ./CAKE.tdgs
:
list = ./CAKE.vhdllst
:
overwrite_template = ON
**************************
>> VHDL FILES <<
:/XLpkg/R191/modelsim/packages/STD/standard.vhdl
:/XLpkg/R191/modelsim/packages/IEEE/ieee.vhdl
サインオフシステム R1.9.1 コマンドリファレンス 495
.....
T V H D L ( V I TA L S O / V OY S O の み )
33
T V H D L ( V I TA L S O / V OY S O の み )
実行リスティングファイル
:/XLpkg/R191/modelsim/packages/TC240E/tc240e.vhdl
:/XLpkg/R191/modelsim/packages/TC240E/tc240ePackage.vhd
:/XLpkg/R191/modelsim/packages/TC240E/tc240eIO.vhd
:CAKE.vhdl
>> LDDL2 MASTER FILE <<
:/XLpkg/R191/toshiba_common/lib_Solaris/TC240ET/lddl2/2.5/TC240ET.master
>> Execution time <<
Start time
:
Fri Dec 17 19:13:24 1999
End time
:
Fri Dec 17 19:13:42 1999
CPU time
:
00:00:11.61
>> Configuration file <<
File name : ./tsb.config
*******************
Error message count table
COMMON
*******************
TVHDL
: Message level
= (
1 )
(
1 )
: Warning level
= (
0 )
(
0 )
: Error
level
= (
0 )
(
0 )
: Fatal
level
= (
0 )
(
0 )
: System
level
= (
0 )
(
0 )
: Design Warning = (
0 )
(
1 )
: Design Error
0 )
(
0 )
***************************
= (
END OF LOG
496 サインオフシステム R1.9.1 コマンドリファレンス
**************************
VERUN (VSO/VCSSO のみ )
.....
....................................
34
この章では VERUN について以下のことを説明します。
♦ VERUN の機能
♦ VERUN の実行方法
♦ 自動的に設定されるオプション
VERUN の機能
..................................................
VERUN は Verilog シミュレータでシミュレーションのみを実行するための
ユーティリティです。
当社のマクロセルを使用している場合、使用するテクノロジーに合った
Verilog-HDL ライブラリや、サインオフに必要なすべてのシミュレータオプ
ションを自動的に設定します。
VERUN の実行方法
..................................................
この項では VERUN のシンタックスとオプションについて説明します。
シンタックス
VERUN を実行するには、UNIX のシェルプロンプトで以下のコマンドを入力
します。
verun HDL ファイル ... Verilog シミュレータオプション ...
HDL ファイル
ネットリストファイル、WAV ファイルを指定します。SDF
アノテーションを行いたい場合や、タスクを起動したい場
合は Verilog-HDL で記述したファイルが必要です。
Verilog シミュレータオプション
必須オプションは自動的に設定されます。サインオフシ
ミュレーションに対して禁止されていないオプションであ
れば、Verilog シミュレータのオプションを指定できます。
サインオフシステム R1.9.1 コマンドリファレンス 497
34
VERUN (VSO/VCSSO のみ )
自動的に設定されるオプション
*COMMON に分類されるオプション
以下はデザインキットの複数のプログラムで共通のオプションであり、
tsb.config ファイルの *COMMON 部分に記述できます。
これらのオプションに
ついては「付録 A コンフィグレーションファイル」を参照してください。
simulator = [VERILOG|VCS]
edaversion = EDA バージョン
verilogexe =
[Verilog-XL の実行モジュール名 |ncxlmode の実行モジュール名 |
VCS のシミュレータモジュール名 ]
technology = テクノロジー名
libdir = パス名 : パス名 : ...
自動的に設定されるオプション
..................................................
表 34–1 に VERUN が自動的に設定するサインオフシミュレーション時のオプ
ションを示します。
表 34–1 サインオフシミュレーション時のオプション
NC-Verilog
+pathpulse
+pulse_r/0
+pulse_e/100
+nolibcell
+ncvlogargs+"-NOWARN
DIRNOW -NOWARN LIBNOU"
+ncelabargs+"-access +rc
-NOWARN SDFNCS"
498 サインオフシステム R1.9.1 コマンドリファレンス
Verilog-XL
-x
+pathpulse
+pulse_r/0
+pulse_e/100
+nolibcell
+sdf_error_info
VCS
-notice
-Mupdate=makefile
-P PLI テーブルファイル名
-l vcs.log
-R
+pathpulse
+pulse_r/0
+pulse_e/100
-safe_debug
+nolibcell
VSIGN (VSO/VCSSO/VITALSO のみ )
.....
....................................
35
この章では VSIGN について以下のことを説明します。
♦ VSIGN の機能
♦ 入出力ファイル
♦ VSIGN の実行方法
♦ vsign.spec ファイル
♦ TOSHIBA.vsignlst ファイル
VSIGN の機能
..................................................
VSIGN は、設計回路のサインオフに必要な検証項目に実行漏れがないかどう
かを確認するのに使います。
VSIGN の入力ファイルとなる VSIGNDB ファイル ( 回路名 .vsigndb) は、
TNC、TFO または TVHDL により生成されます。このファイル中に検証の対象
となる項目の実行結果が格納されます。
VSIGN の検証対象となる項目は以下のプログラムで生成されます。
TNC、TFO、TVHDL、DVER、DCAL、TSC、PRESRA、TRACEGEN、
PRETSO、SRA、TOG、TOGMRG、PWR、NETMOD、TST、SIM、
MEMT2V、MEMVER2T
入出力ファイル
..................................................
図 35–1 に VSIGN の入出力ファイルを示します。
サインオフシステム R1.9.1 コマンドリファレンス 499
35
V S I G N ( V S O / V C S S O / V I TA L S O の み )
入出力ファイル
図 35–1
VSIGN の入出力ファイル
検証項目指定
デザインキットの
プログラム
vsigndb
vsign.spec
VSIGN
TOSHIBA.vsignlst
vsigndb
VSIGN 実行結果
サマリ
更新された VSIGNDB
ファイル
入力ファイル
VSIGN の入力ファイルは以下のとおりです。
♦ 回路名 .vsigndb
VSIGN のデータベースファイルです。TNC、TFO または TVHDL を最初
に実行したときに生成されます。VSIGNDB ファイル中には、サインオ
フシステムの各プログラムを実行するたびに、サインオフの検証対象と
なる項目の実行結果が蓄積されます。
♦ vsign.spec
サインオフに必要な検証項目の値を指定するファイルです。このファイ
ルが無いと VSIGN は実行できませんので、事前にこのファイルをテキス
トエディタで作成してください。ファイルのフォーマットについては
502 ページの「vsign.spec ファイル」を参照してください。
出力ファイル
VSIGN の出力ファイルは以下のとおりです。
♦ 回路名 .vsigndb
更新された VSIGNDB ファイルです。
♦ TOSHIBA.vsignlst
VSIGN の実行結果が格納されるファイルです。このファイル中に出力さ
れる内容を確認して、設計回路をサインオフできるかどうか判断します。
500 サインオフシステム R1.9.1 コマンドリファレンス
VSIGN の実行方法
VSIGN の実行方法
..................................................
この項では、VSIGN のシンタックスとオプションについて説明します。
シンタックス
VSIGN を実行するには、UNIX のシェルプロンプトで以下のコマンドを入力
します。
vsign VSIGNDB ファイル名 オプション ...
以下にオプションを示します。
VSIGN のオプションは tsb.config ファイルに記述
できません。コマンドラインで指定してください。
{vsigndb|vsigndbdir|vsigndbext} = ファイル名
designselect = [ デザイン ID|ALL]
designdelete = デザイン ID
detail = [ON|OFF]
listfilename = ファイル名
オプション
{vsigndb|vsigndbdir|vsingdbext} = ファイル名
VSIGNDB ファイルの名前を指定します。
designselect = [ デザイン ID|ALL]
VSIGN は、ファイルの作成日付やファイル名が異なる場合、
それぞれに ID( デザイン ID) を付けて管理します。デザイン
ID は、VSIGN をデフォルトで実行すると
TOSHIBA.vsignlst ファイルに出力されます。特定の回路
について TOSHIBA.vsignlst ファイルを生成する場合、
このオプションでデザイン ID を指定します。ALL を指定す
ると、VSIGNDB ファイルに登録されているすべての回路に
ついて検証を行います。
designdelete = デザイン ID
指定したデザイン ID の検証結果を VSIGNDB ファイルから
削除します。一度削除したデータは復旧できませんので注
意してください。
detail = [ON|OFF]
ONを指定するとTOSHIBA.vsignlstファイルに詳細な検証
結果を出力します。
サインオフシステム R1.9.1 コマンドリファレンス 501
.....
V S I G N ( V S O / V C S S O / V I TA L S O の み )
35
V S I G N ( V S O / V C S S O / V I TA L S O の み )
vsign.spec ファイル
listfilename = ファイル名
TOSHIBA.vsignlst ファイルの名前を変更する際に、ファ
イル名を指定します。デフォルトでは TOSHIBA.vsignlst
です。
このオプションは他のプログラムのファイルの名
前を指定するオプションとは異なりますので注意
してください。
vsign.spec ファイル
..................................................
VSIGN を実行するには、入力ファイルとして vsign.spec ファイルが必要
です。このファイルは ASCII のテキストファイルで、検証対象となる項目につ
いて指定します。図 35–2 に vsign.spec ファイルのフォーマットを示しま
す。
図 35–2 vsign.spec ファイルのフォーマット
/* コメント */
キーワード = {ON|OFF}
< キーワード >_spec = 検査値
表 35–1 に vsign.spec ファイルに指定する検証項目のデフォルトの検査値
を示します。
表 35–1 VSIGN の検査項目とデフォルト値
キーワード
検査内容
デフォルト値
COMPARE
期待値比較幅
0
MAXCHG
同時スイッチング数
10
CONFLICT
コンフリクト幅
10
FLOAT
フローティング幅
10
MARGIN
安定領域幅
10
FRQCHECK
エレクトロマイグレーションを考
慮したドライブリミット違反数
0
図 35–3 に vsign.spec ファイルの記述例を示します。
図 35–3 vsign.spec ファイルの記述例
/* SPECFILE sample */
COMPARE=ON;
COMPARE_spec=0;
MAXCHG=ON;
MAXCHG_spec=10;
CONFLICT=ON;
502 サインオフシステム R1.9.1 コマンドリファレンス
TOSHIBA.vsignlst ファイル
CONFLICT_spec=10;
FLOAT=ON;
FLOAT_spec=10;
MARGIN=ON;
MARGIN_spec=5;
FRQCHECK=ON;
FRQCHECK_spec=0;
TOSHIBA.vsignlst ファイル
..................................................
この項では TOSHIBA.vsignlst ファイルに出力されるリストについて説明
します。
ヘッダー情報
図 35–4 ヘッダー情報の例
DESIGN ID -----------------:
1
(1)
NET NAME ------------------:
SAMPLE.ver
(2)
NET CREATED DATE ----------:
10/01/1998
TDGS FILE NAME ------------:
SAMPLE.tdgs
TDGS FILE CREATED DATE ----:
10/01/1998
TC200G Library (TSBVLIBP)
Release 1.9.0
(6)
TC200G Library (TDOBJ)
Release 1.9.0
(7)
DESIGN ID -----------------:
2
NET NAME ------------------:
SAMPLE.ver
NET CREATED DATE ----------:
10/02/1998
TDGS FILE NAME ------------:
SAMPLE.tdgs
TDGS FILE CREATED DATE ----:
10/02/1998
TC200G Library (TSBVLIBP)
Release 1.9.0
TC200G Library (TDOBJ)
Release 1.9.0
SELECTED DESIGN -----------:
1
(8)
BEFORE/AFTER LAYOUT -------:
BEFORE
(9)
10:01:02
(3)
(4)
11:05:34
(5)
11:01:02
12:05:34
(BEFORE/AFTER)
NUMBER OF USING TSTL2 -----:
TEST NAME
NUMBER OF TSTL2
------------
---------------
DCTEST
2
FUNCTEST
5
7
(10)
(11)
1. デザイン ID
2. オリジナルのネット名
サインオフシステム R1.9.1 コマンドリファレンス 503
.....
V S I G N ( V S O / V C S S O / V I TA L S O の み )
35
V S I G N ( V S O / V C S S O / V I TA L S O の み )
TOSHIBA.vsignlst ファイル
3. オリジナルのネットの作成日時
4. TDGS データベースファイル名
5. TDGS データベースファイルの作成日時
6. 使用した標準ライブラリのバージョン
7. 使用した標準ライブラリのバージョン
8. 選択したデザイン ID
9. サインオフの区別
BEFORE
AFTER
ファーストサインオフ
セカンドサインオフ
10. TSTL2 テストデータのファイル数
11. テストの種類別の TSTL2 テストデータのファイル数
エラー数リスト
図 35–5 エラー数リストの例
COMMAND
Design
Error
----------------- --------TNC
0
DVER
0
DCAL
0
.......
Design
Warning
------32
100
0
TSTL2 テストデータ情報リスト
図 35–6 TSLT2 テストデータ情報リストの例
(1)
PATTERN NAME
-----------tstA
tstB
tstC
tstD
tstE
(2)
CREATED
---------09/18/1998
09/01/1998
09/05/1998
09/20/1998
09/21/1998
WAVE
------------wavA
wavB
wavC
wavE
wavF
(3)
(4)
CREATED
DRIVE
CREATED
PATTERN
CREATED
---------- ------------- ---------- -------------- ---------09/01/1998
09/01/1998
09/01/1998
09/01/1998
09/01/1998
1. テストパターン名と作成日時
2. WAV ファイル名と作成日時
3. DRIVE ファイル名と作成日時
4. PATTERN ファイル名と作成日時
504 サインオフシステム R1.9.1 コマンドリファレンス
TOSHIBA.vsignlst ファイル
図 35–7 テストパターンのステップ数リストの例
PATTERN NAME TOTAL STEP
------------ ----------tstA
100
tstB
10203
.......
図 35–8 TSTL2 タイミング情報リストの例
(2)
(1)
SIMULATION
(3) TEST PATTERN TIMING INFOMATION
(4)
(5)
TIMING
PATTERN NAME
END TIME
CHANGE
CYCLE
------------
----------
------
------
START
CYCLE
----------
------
START
----------
CYCLE
------
START
CYCLE
----------
------
START
----------
tstA
2100
1
100
0
200
1000
--
----
--
----
tstB
3100
0
110
0
--
----
--
----
--
----
tstC
4100
0
130
0
--
----
--
----
--
----
tstD
5100
1
150
0
100
800
--
----
--
----
tstE
6100
0
170
0
--
----
--
----
--
----
1. テストパターン名
2. テストパターンの最終時刻
3. タイミング切り替え数
4. 最初のタイミングのサイクルとタイミングの開始時刻
5. 次のタイミングのサイクルとタイミングの開始時刻
ドライブリミットチェック結果リスト
図 35–9 ドライブリミットチェック結果リストの例
Design name
Design ID Drive limit
-------------- ---------- ----------DEMO1
1
NG
期待値チェック結果リスト
図 35–10 期待値チェック結果リストの例
(1)
(2)
(3)
(4)
PATTERN
PATTERN
TYPICAL
MINIMUM
MAXIMUM
DELAY
SIM
NAME
CREATE
FIX
FIX
FIX
KFACTOR
OPT
-------------
--------
--------
--------
--------
------------
---
tst1
09/26/98
09/26/98
09/26/98
09/26/98
COM
OK
tst2
09/26/98
09/26/98
09/26/98
09/26/98
IND
OK
tst3
09/26/98
---
09/26/98
COM SPECIAL1
OK
---
1. TSTL2 テストパターン名と作成日付
サインオフシステム R1.9.1 コマンドリファレンス 505
.....
V S I G N ( V S O / V C S S O / V I TA L S O の み )
35
V S I G N ( V S O / V C S S O / V I TA L S O の み )
TOSHIBA.vsignlst ファイル
2. 各遅延条件で期待値比較が完了した ( 不一致がなくなった ) 日付
3. DCAL 実行時の遅延条件
SDF ファイル作成時に condition オプションで指定した値です。
condition オプション以外にコマンドオプションを使って K ファクタを指
定した場合、「SPECIAL」が付加されます。
4. サインオフシミュレーション実行時の禁止オプションの指定の有無
ON
NG
指定なし
指定あり
IOPARAM ファイル情報リスト
図 35–11 IOPARAM ファイル情報リストの例
IOPARAM
-------GAV3.ioparam
(1)
CREATED
SIZE
--------- ------12/12/1999
6243
(2)
(3)
1. IOPARAM ファイルのファイル名
2. IOPARAM ファイルの作成日付
3. IOPARAM ファイルのファイルサイズ
K ファクタサマリリスト
このリストには、以下の情報が出力されます。
♦ DCAL の gkmax、gktyp、gkmin、kmax、ktyp、kmin、kf_temp、
kf_volt オプションで指定した K ファクタの値
♦ DCAL の condition オプションで指定した値 ( COM、IND、MIL)
図 35–12 に gkmax、gktyp、gkmin、kmax、ktyp、kmin オプションを
使って K ファクタを指定した場合の K ファクタサマリリストの例を示します。
ここで、DCAL を実行したときの各オプションの指定と SPECIAL 名は以下の
とおりとします。
SPECIAL1
gkmin=STANDARD1:0.75,IO3:0.55
gktyp=STANDARD1:1.05
gkmax=STANDARD1:1.33,IO5:1.48
kmin =0.90
ktyp =1.00
kmax =1.10
SPECIAL2
kmax =0.95 (gkmin/gktyp/gkmax/kmin/ktyp の指定なし )
SPECIAL3
506 サインオフシステム R1.9.1 コマンドリファレンス
TOSHIBA.vsignlst ファイル
gkmin=STANDARD2:0.95 (gktyp/gkmax/kmin/ktyp/kmax の指定なし )
図 35–12 K ファクタサマリリストの例 (1)
(2)
Group name
(3)
(4)
(5)
Group Kfactor Group Kfactor Group Kfactor
(Min)
(Typ)
(Max)
--------- -------------- ------------- ------------- ------------SPECIAL1
(1)
STANDARD1
IO3
IO5
0.75
0.55
default
1.05
default
default
<<< Factor of all group kfactor : Min = 0.90
<<< Default Condition : COM >>>
1.33
default
1.48
Typ = 1.00 Max = 1.10 >>>
(6)
(7)
(8)
(9)
SPECIAL2
none
<<< Factor of all group kfactor : Min = default
Typ = default Max = 0.95 >>>
<<< Default Condition : IND >>>
SPECIAL3
STANDARD2
0.95
default
<<< Factor of all group kfactor : Min = default
Typ = default Max = default >>>
<<< Default Condition : MIL >>>
1. TOSHIBA.vsignlst ファイル中の SPECIAL 名です。
2. gkmax、gktyp、gkmin オプションで指定したグループ名です。gkmax、
gktyp、gkmin オプションを指定しないときは、none が出力されます。
3. gkmin オプションで指定した K ファクタ値です。gkmin オプションを指定
しないときは、default が出力されます。2. が none のときは、出力され
ません。
4. gktyp オプション で指定した K ファクタ値です。gktyp オプションを指定
しないときは、default が出力されます。2. が none のときは、出力され
ません。
5. gkmax オプションで指定した K ファクタ値です。gkmax オプションを 指定
しないときは、default が出力されます。2. が none のときは、出力され
ません。
6. kmin オプション で指定した K ファクタの係数です。kmin オプションを指
定しないときは、default が出力されます。
7. ktyp オプションで指定した K ファクタの係数です。ktyp オプションを 指
定しないときは、default が出力されます。
8. kmax オプションで指定した K ファクタの係数です。kmax オプションを指
定しないときは、default が 出力されます。
9. condition オプションで指定した値です。COM、IND、MIL のいずれかが
大文字で出力されます。
図 35–13 に gkmax、gktyp、gkmin、kmax、ktyp、kmin オプションが 1
つも 指定されていない場合の K ファクタサマリリストの例を示します。
サインオフシステム R1.9.1 コマンドリファレンス 507
.....
V S I G N ( V S O / V C S S O / V I TA L S O の み )
35
V S I G N ( V S O / V C S S O / V I TA L S O の み )
TOSHIBA.vsignlst ファイル
図 35–13 K ファクタサマリリストの例 (2)
Group name
Group Kfactor Group Kfactor Group Kfactor
(Min)
(Typ)
(Max)
--------- -------------- ------------- ------------- ------------none
^^^^
<<< Factor of all group kfactor :
Min = default
<<< Default Condition : COM >>>
Typ = default Max = default >>>
^^^^^^^
^^^^^^^
^^^^^^^
^^^
•
SPECIAL 名のかわりに none が出力されます。
•
Factor of all group kfactor の行は、すべて default が出力
されます。
•
Default Condition の行は、condition オプションで指定した値
が大文字で出力されます。
図 35–14 に kf_temp、kf_volt オプションを使って K ファクタを指定した
場合の K ファクタサマリリストの例を示します。ここで、DCAL を実行したと
きの各オプションの指定と SPECIAL 名は以下のとおりとします。
SPECIAL1
kf_temp= -25:25:100
kf_volt= 3.0:3.3:3.6, 4.7:5.0:5.3
図 35–14 K ファクタサマリリストの例 (3)
Condition
Best
Typical
Worst
-------------- ------------- ------------- ------------Temperature
-25.00
25.00
100.00
Voltage
3.60
3.30
3.00
Voltage Sub
5.30
5.00
4.70
-------------- ------------- ------------- ------------Group name
Group Kfactor Group Kfactor Group Kfactor
(Min)
(Typ)
(Max)
--------- -------------- ------------- ------------- ------------SPECIAL1
STANDARD1
0.75
1.00
1.33
IO3
0.55
1.00
1.47
IO5
0.60
1.00
1.50
テスタ制約チェック結果リスト
図 35–15 テスタ制約チェック結果リストの例
PATTERN NAME
-----------tst
(1)
TESTER NAME
----------T120
(2)
1. テストパターン名
508 サインオフシステム R1.9.1 コマンドリファレンス
CHECK
------OK
(3)
TOSHIBA.vsignlst ファイル
2. テスタ名
3. 検査結果
-OK
WARNING
FATAL
未検査
OK
警告
エラー
TST の検査結果リスト
図 35–16 TST の検査結果リストの例
DC address
pattern
K-factor search
input
compare
conflict
float
timing
---------- -------- ---------- ---------- ---------- ---------- ---------tst
TYP-COM
--
NG
OK
NG
OK
(1)
(2)
(3)
(4)
(5)
(6)
(7)
1. テストパターン名
2. K ファクタ
3~7. 検査結果
未検査
OK
エラー
-OK
FATAL
検査結果サマリリスト
図 35–17 検査結果サマリリストの例
pattern K-factor compare maxchg
conflict float
margin
maxfrq frqcheck
------- -------- ------- ------- -------- ------- ------------ ------ -------fc1
TYP-COM
0 10 - OK 10 - OK
10 - OK
5 - 5
fc2
MAX-COM
0
10 - OK
5 - OK - OK
(1)
(2)
(3)
5 - OK 10 - OK
(4)
(5)
(6)
(7)
- 5
120
OK
120
OK
(8)
(9)
1. テストパターン名
2. K ファクタ
MAX/TYP/MIN-COM/IND/MIL
3. 期待値比較による不一致数
NO_CHECK または違反数
4. 同時スイッチング違反数
検査条件 - OK/< 違反数 >/NO_CHECK
5. コンフリクト違反数
検査幅 - OK/< 違反数 >/NO_CHECK
6. フローティング違反数
検査幅 - OK/< 違反数 >/NO_CHECK
サインオフシステム R1.9.1 コマンドリファレンス 509
.....
V S I G N ( V S O / V C S S O / V I TA L S O の み )
35
V S I G N ( V S O / V C S S O / V I TA L S O の み )
TOSHIBA.vsignlst ファイル
7. ストローブ前後の安定領域
検査幅 - OK/NG/< 値 > - OK/NG/< 値 >
または NO_CHECK
8. 最大動作周波数
9. ドライブリミット違反数
OK/< 違反数 >
同時スイッチング検査結果リスト (det ail=ON)
図 35–18 同時スイッチング検査結果リストの例
SAME TIME SWITCHING SPECIFICATION =
5 (times)
DRIVING
PATTERN NAME
USES
CYCLE
SWITCHING
------------
---------
--------
----------
tstfc2
TYP-COM
tstfc3
(1)
NUMBER OF
BUFFER
----------
BUFFER
----------
100
2
B4
2
100
4
BD4CU
4
MAX-COM
100
7
BD4CU
7
MIN-COM
200
6
B4
6
(2)
(3)
(4)
(5)
(6)
1. TSTL2 テストパターン名
2. K ファクタ
MAX/TYP/MIN-COM/IND/MIL
3. テストサイクル (ns)
4. 同時スイッチング数
検査条件 - OK/< 違反数 >
すべての出力バッファと双方向バッファの
同時スイッチング数の合計が検査条件を超
えた時、違反として検出されます。
5. バッファセル名
同時スイッチングしている I/O バッファの
セル名が出力されます。
6. バッファ数
同時スイッチングしている I/O バッファの
数が出力されます。
コンフリクト検査結果リスト (det ail=ON)
図 35–19 コンフリクト検査結果リストの例
CONFLICT SPECIFICATION =
PATTERN NAME
-----------tstfc2
tstfc2
tstfc4
tstfc4
USES
--------TYP-COM
MIN-COM
TYP-COM
MIN-IND
510 サインオフシステム R1.9.1 コマンドリファレンス
5 (ns)
CYCLE
-------100
100
200
200
CONFLICT
---------2
3
2
5
MAX WIDTH
---------23.71
12.20
9.27
17.38
TOSHIBA.vsignlst ファイル
tstfc4
MAX-COM
(1)
(2)
200
3
(3)
(4)
8.89
(5)
1. TSTL2 テストパターン名
2. K ファクタ
MAX/TYP/MIN-COM/IND/MIL
3. テストサイクル (ns)
4. コンフリクト数
検査条件 - OK/< 違反数 >
5. 最大違反幅
フローティング検査結果リスト (detail =ON)
図 35–20 フローティング検査結果リストの例
FLOAT SPECIFICATION =
10 (ns)
PATTERN NAME
-----------tstfc3
tstfc4
tstfc5
tstfc6
(1)
CYCLE
-------200
200
100
250
(3)
USES
--------TYP-COM
MIN-COM
TYP-COM
MAX-COM
(2)
FLOAT
---------2
5
3
2
(4)
MAX WIDTH
---------10.12
12.35
14.76
13.33
(5)
1. TSTL2 テストパターン名
2. K ファクタ
MAX/TYP/MIN-COM/IND/MIL
3. テストサイクル (ns)
4. フローティング数
検査条件 - OK/< 違反数 >
5. 最大違反幅
安定領域検査結果リスト (det ai l=ON)
図 35–21 安定領域検査結果リストの例
*** SAFETY AREA CHECK LIST ***
STABLE REGION SPECIFICATION =
5 (ns)
TEST EXT =
K-factor =
tstfc1
TYP-COM
STROBE
STABLE REGION
SIGNAL
BACK FORWARD
BACK FORWARD
----------------------------------------------.OUT1
80
90
OK
3.10
80
90
OK
3.10
.OUT3
80
90
1.99
OK
80
90
1.99
OK
80
90
1.99
OK
-----------------------------------------------
サインオフシステム R1.9.1 コマンドリファレンス 511
.....
V S I G N ( V S O / V C S S O / V I TA L S O の み )
35
V S I G N ( V S O / V C S S O / V I TA L S O の み )
TOSHIBA.vsignlst ファイル
TEST EXT =
K-factor =
tstfc1
MAX-COM
STROBE
STABLE REGION
SIGNAL
BACK FORWARD
BACK FORWARD
------------------------------------------------------.OUT1
80
90
OK
3.80
80
90
OK
3.80
-------------------------------------------------------
このリストは出力ピンごとの安定領域を示します。指定した K ファクタごと
に生成されます。出力ピンの安定領域幅が、指定した検査幅よりも大きい場
合、OK が出力されます。指定した検査幅よりも小さい場合、安定領域を満た
すための値が出力されます。
エレクトロマイグレーション検査結果リスト (detail =ON)
図 35–22 エレクトロマイグレーション検査結果リストの例
*** FREQUENCY DRIVE LIMIT CHECK LIST ***
TEST EXT =
K-factor =
tstfc1
TYP-COM
(1)
(2)
SIGNAL
FREQ(MHz)
DRIVE
LOAD
------------------------------------------------------.SUBCLK1
134.24
288.12
291.01
.FUNC.CLK1
140.88
288.12
290.38
------------------------------------------------------(3)
(4)
(5)
(6)
1. TSTL2 テストパターン名
2. K ファクタ
3. 違反したネット名
4. 違反したネットの動作周波数
5. 駆動能力
6. 実際に駆動している値
オプションリスト(det ai l=ON)
図 35–23 オプションリストの例
TOG
Argument
FORCE=ON
*COMMON/*WCAP
TESTEXT=tstv2
*TOG
FREQUENCY=OFF
1. プログラム名
2. 引数で与えられたオプション
512 サインオフシステム R1.9.1 コマンドリファレンス
(1)
(2)
(3)
(4)
TOSHIBA.vsignlst ファイル
3. tsb.config ファイル中の *COMMON 部分または *WCAP 部分で指定された
オプション
4. tsb.config ファイル中の各プログラム固有のオプションを指定する部分
で指定されたオプション
サインオフシステム R1.9.1 コマンドリファレンス 513
.....
V S I G N ( V S O / V C S S O / V I TA L S O の み )
35
V S I G N ( V S O / V C S S O / V I TA L S O の み )
TOSHIBA.vsignlst ファイル
514 サインオフシステム R1.9.1 コマンドリファレンス
コンフィグレーションファイル
.....
....................................
A
この章では当社の VSO、VCSSO、GEMINISO、VITALSO、VOYSO で使うコ
ンフィグレーションファイルについて説明します。
♦ 用途
♦ ファイルの構成
♦ 記述形式
♦ ファイルの例
♦ オプションの優先順位
♦ *COMMON に分類される必須のオプション
♦ *COMMON に分類される必須でないオプション
♦ *WCAP に分類されるオプション
♦ 個別プログラムのオプション
用途
..................................................
コンフィグレーションファイルは当社デザインキットのプログラムの動作環
境を指定するための ASCII ファイルです。コンフィグレーションファイルは、
当社のデザインキットのプログラムを起動するたびに、自動的に参照されま
す。ユーザーの実行ディレクトリにコンフィグレーションファイルを置くこと
により、ユーザー自身の環境を設定できます。ファイル名は tsb.config と
してください。
$TOSH_ROOT/< シミュレータ名 >/sample ディレクトリに、tsb.config
ファイルのサンプルが入っています。そのファイルをワーキングディレクトリ
にコピーして、テキストエディタで必要な箇所を修正してください。
tsb.config ファイルには、デザインキットのプログラムで利用できるすべて
のオプションを記述することができます。
また、CONFIGURE を使うことにより、ユーザーの環境に合わせて
tsb.config ファイルを対話形式で生成できます。このコマンドにより生成さ
れる tsb.config ファイル中には必要最低限のオプションが設定されます。
オプションを追加したり、変更したりする場合、テキストエディタを使って修
正してください。あらかじめ tsb.config ファイルを作成してある場合、
CONFIGURE はそのファイル中に必要最低限のオプションを挿入します。
CONFIGURE の詳細については「3章 CONFIGURE」を参照してください。
サインオフシステム R1.9.1 コマンドリファレンス 515
A
コンフィグレーションファイル
ファイルの構成
ファイルの構成
..................................................
tsb.config ファイルは以下の部分で構成されます。
♦ *COMMON
*COMMON という行のあとには、複数のプログラムで共通
のオプションを指定できます。
♦ *WCAP
*WCAP という行のあとには、配線容量の計算に関するオ
プションを指定できます。*WCAP 部分のオプションは
DCAL で参照されます。
♦ 個別部分
例えば、*DCAL という行のあとには、DCAL 固有のオプ
ションを、*DVER という行のあとには DVER 固有のオプ
ションを指定できます。
記述形式
..................................................
♦ オプションの記述形式は「キーワード = パラメータ」です。パラメータは
省略できます。パラメータを省略すると、デフォルト値が適用されます。
♦ 「#」で始まる行はコメントとみなされて、無視されます。このとき「#」
はかならず行の先頭に記述してください。オプションの文字列の前に
「#」を挿入すると、そのオプションは無効になります。
「#」を削除する
とそのオプションは参照されます。
♦ 空白を挿入できるところには任意の数の空白、タブ、および改行を挿入
できます。
♦ 「#」と「=」は特別な意味をもっているので、パラメータ中で使用しない
でください。
ファイルの例
..................................................
次に tsb.config ファイルの例を示します。
図 A–1 VSO のコンフィグレーションファイルの例 (tsb.config)
#tsb.config
*COMMON
simulator
edaversion
design
module
instance
technology
arraytype
voltage
toplevel
libdir
masterfile
/usr/cougar/gate/rev1
=
=
=
=
=
=
=
=
=
=
=
verilog
2.7
COUGAR_SC
COUGAR_SC
wave.COUGAR_SC_wave
TC240CT
T8G00TW
2.5
CHIP
./lib:./lib/megalib:./lib/specialIO
./lib/TC240CT.master
516 サインオフシステム R1.9.1 コマンドリファレンス
オプションの優先順位
modlib
frequency
testext
#testext
#testext
=
=
=
=
=
./lib/specialIO/TC240CT.modlib
ON
ac01
ac02
ac03
オプションの優先順位
..................................................
オプションは実行時にコマンドラインもしくはタスク実行文 (VSO/VCSSO の
場合のみ ) で指定することもできますし、あるいは tsb.config ファイル中に
記述することもできます。オプションの優先順位は次のとおりです。
1) コマンドラインあるいはタスク実行文で指定したオプション
2) 実行ディレクトリ中の tsb.config ファイル
2-1) プログラムごとの設定
2-2) *COMMON の設定
3) デフォルトの設定
例えば、最上位モジュール名や母体名のようにどのプログラムにも共通のも
のは、tsb.config ファイルの *COMMON 部分に記述しておけば、実行時に毎
回同じオプションを指定する必要がなくなります。また、すべての実行リス
ティングファイルをあるディレクトリに出力することもできます。あるいは、
テストデータやネットリストのように間違って消してしまったら取り返しのつ
かないような大切なファイルを別のディレクトリに格納しておくこともできま
す。デフォルト の設定のままでよいオプションは記述しなくてもかまいませ
ん。
*COMMON に分類される必須のオプション
..................................................
以下に *COMMON に分類される必須のオプションを列挙します。これらのオ
プションはすべてのプログラムで共通です。オプションによっては、プログラ
ム実行時に指定することも、tsb.config ファイルに記述することもできま
す。
simulator =
[VERILOG|VCS|GEMINI|VSS|LEAPFROG|MODELSIM|VOYAGER]
edaversion = EDA バージョン
module = 最上位モジュール名
instance = 最上位インスタンス名
technology = テクノロジー名
voltage = 動作電圧
arraytype = 母体名
上記オプションについて以下に説明します。
サインオフシステム R1.9.1 コマンドリファレンス 517
.....
コンフィグレーションファイル
A
コンフィグレーションファイル
*COMMON に分類される必須のオプション
simulator =
[VERILOG|VCS|GEMINI|MODELSIM|LEAPFROG|VSS|VOYAGER]
使用するシミュレータを指定します。このオプションは
tsb.config ファイル中に記述しなければなりません。実
行時には指定できません。
edaversion = EDA バージョン
使用するシミュレータのバージョンを指定します。このオ
プションは tsb.config ファイル中に記述しなければなり
ません。実行時には指定できません。以下に EDA バージョ
ンの指定例を示します。
Verilog-XL 2.7.7 を使う場合
NC-Verilog V1.22S68 を使う場合
edaversion=2.7
edaversion=NC_1.22
NC-Verilog を使う場合、EDA バージョンの先頭にかならず
「NC_ 」を記述してください。
module = 最上位モジュール名
設計した論理回路における最上位のモジュール名を指定し
ます。最上位モジュール名は最上位モジュールのネットリ
ストに記述してある module 文 (Verilog-HDL の場合 ) また
は entity 文 (VHDL の場合 ) の名前と一致していなければ
なりません。
instance = 最上位インスタンス名
設計した論理回路における最上位モジュールのインスタン
ス名を指定します。Verilog-HDL、VHDL では、入力波形モ
ジュールにおいて、回路の最上位モジュールを参照します
が、そのインスタンシエーション文で指定したインスタン
ス名と一致していなければなりません。入力ファイルとし
て入力波形モジュールを必要としないプログラムではこの
オプションは使わず、module オプションを参照します。最
上位モジュールのインスタンシエーションの詳細について
は当社発行の『VSO/VCSSO R1.9.1 ユーザーガイド』、
『VITALSO R1.9.1 ユーザーガイド』を参照してください。
technology = テクノロジー名
回路が設計されている ASIC のテクノロジー名を大文字で指
定します。以下にテクノロジー名の例を示します。
⋅ TC220C (2 層 )
⋅ TC220CT (3 層 )
テクノロジーによっては、配線層数によりライブラリが
別々に用意されている場合があります。上記の例では 2 層
配線の場合は TC220C で、3 層配線の場合は TC220CT にな
ります。テクノロジー名として指定すべき文字列について
は、デザインキットに添付されているリリースノートを参
照してください。technology オプションは tsb.config
518 サインオフシステム R1.9.1 コマンドリファレンス
*COMMON に分類される必須でないオプション
ファイル中に記述しなければなりません。実行時には指定
できません。
voltage = 動作電圧
内部の動作電圧を指定します。voltage オプションは
tsb.config ファイル中に記述しなければなりません。実
行時には指定できません。以下に動作電圧の例を示します。
⋅ 2.0
⋅ 3.0
⋅ 3.3
⋅ 5.0
arraytype = 母体名
使用する母体名を T3S45 のように大文字で指定します。
*COMMON に分類される必須でないオプション
..................................................
以下に *COMMON に分類される必須でないオプションを列挙します。プログ
ラム実行時に指定することも、tsb.config ファイルに記述することもできま
す。点線より上 (verilogexe から help まで ) のオプションは *COMMON 部分
に記述しなければなりません。また、点線より下 (testext 以降 ) のオプショ
ンは各プログラムの部分でも指定することができます。
verilogexe =
[Verilog-XL の実行モジュール名 |ncxlmode の実行モジュール名 |
VCS のシミュレータモジュール名 ] (VSO/VCSSO のみ )
design = 回路名
toplevel = [CHIP|FUNCTION]
libdir = パス名 : パス名 : ...
masterfile = ファイル名
libtype = {GA|EA|SC|SI|FC} (DFT ツールで使用 )
tmpdir = パス名
gateeat = [ON|OFF]
noeat = ファイル名
noeatdir = パス名
noeatext = 拡張子
tdgs = ファイル名
tdgsdir = パス名
tdgsext = 拡張子
top = ファイル名
topdir = パス名
topext = 拡張子
hstfile = ファイル名
hstfiledir = パス名
hstfileext = 拡張子
modlib = ファイル名
サインオフシステム R1.9.1 コマンドリファレンス 519
.....
コンフィグレーションファイル
A
コンフィグレーションファイル
*COMMON に分類される必須でないオプション
modlibdir = パス名
modlibext = 拡張子
rm-sdfsw = [ON|OFF] (VSO/VCSSO のみ )
rm-sdf = ファイル名 (VSO/VCSSO のみ )
rm-sdfdir = パス名 (VSO/VCSSO のみ )
rm-sdfext = 拡張子 (VSO/VCSSO のみ )
plitbl = ファイル名 (VCSSO のみ )
plitbldir = パス名 (VCSSO のみ )
plitblext = 拡張子 (VCSSO のみ )
paragraph = [ON|OFF]
delaymode = {MIN|TYP|MAX}
realtime = [ON|OFF] (VSO/VCSSO のみ )
systemsim = [ON|OFF]
frequency = [ON|OFF]
vsigndb = ファイル名
vsigndbdir = パス名
vsigndbext = 拡張子
vsigntmp = ファイル名
vsigntmpdir = パス名
vsigntmpext = 拡張子
partsdir = パス名
partsinfo = ファイル名
partsinfodir = パス名
partsinfoext = 拡張子
*COMMON に記述しなければ
help = [ON|OFF]
なりません。
...........................................................
testext = テスト識別子
*COMMON と各プログラムの
両方に記述できます。
head = 接頭語 (VSO/VCSSO/GEMINISO のみ )
tail = 接尾語 (VSO/VCSSO/GEMINISO のみ )
comfrq = ファイル名
comfrqdir = パス名
comfrqext = 拡張子
namlst = ファイル名
namlstdir = パス名
namlstext = 拡張子
tsthead = ファイル名
tstheaddir = パス名
tstheadext = 拡張子
exp = ファイル名
expdir = パス名
expext = 拡張子
vcd = ファイル名
vcddir = パス名
vcdext = 拡張子
wif = ファイル名 (VSS のみ )
wifdir = パス名 (VSS のみ )
wifext = 拡張子 (VSS のみ )
520 サインオフシステム R1.9.1 コマンドリファレンス
*COMMON に分類される必須でないオプション
vcd2 = ファイル名 (GEMINISO のみ )
vcd2dir = パス名 (GEMINISO のみ )
vcd2ext = 拡張子 (GEMINISO のみ )
zepf = ファイル名
zepfdir = パス名
zepfext = 拡張子
idlength = 文字数
exptype = [OLD|NORMAL]
msout = [ON|OFF]
上記オプションについて以下に説明します。
verilogexe =
[Verilog-XL の実行モジュール名 |ncxlmode の実行モジュール名 |
VCS のシミュレータモジュール名 ] (VSO/VCSSO のみ )
Verilog-XL の場合は、VSO とリンクした実行モジュール
ファイル名を指定します。NC-Verilog の場合は、ncxlmode
の実行モジュール名を指定します。VCS の場合は、起動時
に生成されるシミュレータモジュール名を指定します。ど
ちらもデフォルトは toshveri です。このオプションは
tsb.config ファイル中に記述しなければなりません。実
行時には指定できません。
design = 回路名
回路名を指定します。回路名はすべてのプログラムで共通
で使用するデフォルトのファイル名になります。回路名は
ネットリストにおける実際の回路名と違っていてもかまい
ません。design オプションを指定しないと、デフォルトで
module オプションで指定した最上位モジュール名が使われ
ます。
toplevel = [CHIP|FUNCTION]
回路がすべての最上位モジュール、全 I/O バッファを含む
チップレベルのものなのか、開発途中の部分回路なのかを
指定します。
サインオフシミュレーションを実行するときは
CHIP を指定しなければなりません。デフォルトは
CHIP です。
libdir = パス名 : パス名 : ...
当社のモデルジェネレータ (MDLGEN) で生成したメガセル
モデルおよび個別対応の特殊セルモデルの TDOBJ ファイル
( セル名 .tdobj) を格納したディレクトリのパス名を指定
します。また VSO/VCSSO/GEMINISO では、メガセルモデ
ルおよび個別対応の特殊セルモデルの TSBVLIBP ファイル
( ファイル名 .tsbvlibp)、TSBVLIB ファイル ( ファイル名
.tsbvlib) を格納したディレクトリのパス名も指定します。
サインオフシステム R1.9.1 コマンドリファレンス 521
.....
コンフィグレーションファイル
A
コンフィグレーションファイル
*COMMON に分類される必須でないオプション
パス名を 2 つ以上指定するときはコロンで区切ります。詳
細については当社発行の『VSO/VCSSO R1.9.1 ユーザーガイ
ド』または『VITALSO R1.9.1 ユーザーガイド』を参照して
ください。
masterfile = ファイル名
回路中でメガセルまたは特殊セルを使っているとき、
LD2CL で作成した MASTER ファイルの名前を指定します。
このオプションを指定しないと、デザインキットのプログ
ラムはマスタテクノロジーライブラリしか参照できません。
絶対パスか tsb.config ファイルがあるディレクトリから
の相対パスでファイル名を指定してください。詳細につい
ては当社発行の『VSO/VCSSO R1.9.1 ユーザーガイド』また
は『VITALSO R1.9.1 ユーザーガイド』を参照してください。
libtype = {GA|EA|SC|SI|FC} (DFT ツールで使用 )
テクノロジーライブラリの種類を指定します。このオプ
ションは tsb.config ファイル中に記述しなければなりま
せん。実行時には指定できません。
GA
ゲートアレイ
EA
エンベデッドアレイ
SC
セルベース IC ( スタンダードセル )
SI
スーパーインテグレーション
FC
フルカスタム LSI
tmpdir = パス名
テンポラリファイルを作成する書き込み可能ディレクトリ
を指定します。デフォルトは実行ディレクトリです。
gateeat = [ON|OFF]
ゲートイーティングを実行するかどうかを指定します。
ゲートイーティングは DVER と DCAL の機能で、すべての
出力ピンがどこにも接続されていないセルを自動的に削除
します。デフォルトは ON でゲートイーティングが実行され
ます。
回路に機能記述が含まれているとき、または部分
的な階層レイアウト、タイミングドリブンレイア
ウトを行うときは OFF を指定してください。
noeat = ファイル名
DVER、DCAL の任意の入力ファイルとして使われる
NOEAT ファイルの名前を指定します。NOEAT ファイルに
はゲートイーティングで削除したくないセルを指定します。
このオプションは gateeat オプションを ON にしたときの
み有効です。
522 サインオフシステム R1.9.1 コマンドリファレンス
*COMMON に分類される必須でないオプション
noeatdir = パス名
NOEAT ファイルを探すディレクトリを指定します。
noeatext = 拡張子
NOEAT ファイルのファイル拡張子を指定します。
tdgs = ファイル名
TDGS データベースファイルの名前を指定します。
tdgsdir = パス名
TDGS データベースファイルのディレクトリを指定します。
tdgsext = 拡張子
TDGS データベースファイルの拡張子を指定します。
top = ファイル名
TOP ファイルの名前を指定します。
topdir = パス名
TOP ファイルのディレクトリを指定します。
topext = 拡張子
TOP ファイルの拡張子を指定します。
hstfile = ファイル名
HISTORY ファイルの名前を指定します。デフォルトは「回
路名 .history」です。
hstfiledir = パス名
HISTORY ファイルを出力するディレクトリを指定します。
デフォルトは実行ディレクトリです。
hstfileext = 拡張子
HISTORY ファイルの拡張子を指定します。デフォルトは
history です。
modlib = ファイル名
MODLIBGEN により生成される、MODLIB ファイル (I/O
チェック用のデータベースファイル ) の名前を指定します。
modlibdir = パス名
MODLIBGEN により生成される、MODLIB ファイル (I/O
チェック用のデータベースファイル ) のディレクトリを指定
します。
modlibext = 拡張子
MODLIBGEN により生成される、MODLIB ファイル (I/O
チェック用のデータベースファイル ) の拡張子を指定しま
す。
rm-sdfsw = [ON|OFF] (VSO/VCSSO のみ )
タスク間接コマンドを実行するときに、SDF ファイルを読
み込むかどうか指定します。指定しないと SDF ファイル内
サインオフシステム R1.9.1 コマンドリファレンス 523
.....
コンフィグレーションファイル
A
コンフィグレーションファイル
*COMMON に分類される必須でないオプション
の情報は設計階層の内部データ構造にバックアノテートさ
れず、セルライブラリに登録されているマクロセルの遅延
時間がシミュレーションに使われます。
rm-sdf = ファイル名 (VSO/VCSSO のみ )
SDF ファイルの名前を指定します。デフォルトは「回路名
.sdf」または「回路名 .esdf」です。SDF ファイルは実配
線長負荷に基づき遅延時間を計算します。ESDF ファイルは
仮想配線長負荷に基づき遅延時間を計算します。どちらか
一方のファイルがあればシミュレーションに利用されます。
両方ある場合は SDF ファイルが ESDF ファイルに優先しま
す。
rm-sdfdir = パス名 (VSO/VCSSO のみ )
SDF ファイルを探すディレクトリを指定します。
rm-sdfext = 拡張子 (VSO/VCSSO のみ )
SDF ファイルの拡張子を指定します。
plitbl = ファイル名 (VCSSO のみ )
PLI ファイルの拡張子を指定します。
plitbldir = パス名 (VCSSO のみ )
PLI ファイルを探すディレクトリを指定します。
plitblext = 拡張子 (VCSSO のみ )
PLI ファイルの拡張子を指定します。
paragraph = [ON|OFF]
メッセージを出力するときに改行コードを挿入するかどう
かを指定します。
delaymode = {MIN|TYP|MAX}
シミュレーションのときに、どの遅延条件を使って実行し
たか指定します。シミュレーション実行時に最小値を指定
するときは MIN、標準値 ( またはデフォルト ) を指定すると
きは TYP、最大値を指定するときは MAX を指定します。
SRA はこの値をもとにして解析を行うので、かならず遅延
条件を一致させてください。このオプションは DCAL によ
る遅延計算には影響しません。
realtime = [ON|OFF] (VSO/VCSSO のみ )
PRESRA、SRA をリアルタイムモードで実行するか、シ
ミュレーション結果ファイルを作成して、それを介して実
行するかを指定します。デフォルトは ON でリアルタイム
モードです。
systemsim = [ON|OFF]
システムシミュレーションの結果から ASIC 用のパターンを
抽出する場合に ON を指定します。デフォルトは OFF です。
524 サインオフシステム R1.9.1 コマンドリファレンス
*COMMON に分類される必須でないオプション
frequency = [ON|OFF]
DVER、TOG、TOGMRG でドライブチェックを行う際に、
エレクトロマイグレーションを考慮するかどうか指定しま
す。
vsigndb = ファイル名
VSIGN のデータベースファイル (VSIGNDB ファイル ) の名
前を指定します。
vsigndbdir = パス名
VSIGN のデータベースファイル (VSIGNDB ファイル ) の
ディレクトリを指定します。
vsigndbext = 拡張子
VSIGN のデータベースファイル (VSIGNDB ファイル ) の拡
張子を指定します。
vsigntmp = ファイル名
VSIGN のワークファイル (VSIGNTMP ファイル ) の名前を
指定します。
vsigntmpdir = パス名
VSIGN のワークファイル (VSIGNTMP ファイル ) のディレ
クトリを指定します。
vsigntmpext = 拡張子
VSIGN のワークファイル (VSIGNTMP ファイル ) の拡張子
を指定します。
partsdir = パス名
分割生成されたすべてのサブモジュールの TDGS データ
ベースファイルと TOP2 ファイルを格納するディレクトリを
指定します。デフォルトは parts です。
partsinfo = ファイル名
PARTISINFO ファイルの名前を指定します。
partsinfodir = パス名
PARTISINFO ファイルのディレクトリを指定します。
partsinfoext = 拡張子
PARTISINFO ファイルの拡張子を指定します。
help = [ON|OFF]
ON を指定すると、コマンドシンタックスが表示されます。
このオプションは単独で使用してください。他のオプショ
ンを指定しても無視されます。
...........................................................
testext = テスト識別子
テストデータファイルなどのテスト識別子を指定します。
デフォルトではテスト識別子は付きません。
サインオフシステム R1.9.1 コマンドリファレンス 525
.....
コンフィグレーションファイル
A
コンフィグレーションファイル
*COMMON に分類される必須でないオプション
head = 接頭語 (VSO/VCSSO/GEMINISO のみ )
双方向バッファの tri ネットの名前を形成するために、双
方向ピン ( すなわち論理値を回路内部に駆動するレジスタの
名前 ) の前につける文字列を指定します。デフォルトは B
です。詳細については当社発行の『VSO/VCSSO R1.9.1 ユー
ザーガイド』を参照してください。
tail = 接尾語 (VSO/VCSSO/GEMINISO のみ )
双方向バッファの TRI ネットの名前を形成するために、双
方向ピン ( すなわち論理値を回路内部に駆動するレジスタの
名前 ) の後につける文字列を指定します。デフォルトでは文
字列はつきません。詳細については当社発行の
『VSO/VCSSO R1.9.1 ユーザーガイド』を参照してください。
comfrq = ファイル名
COMFRQ ファイルの名前を指定します。
comfrqdir = パス名
COMFRQ ファイル のディレクトリを指定します。
comfrqext = 拡張子
COMFRQ ファイルの拡張子を指定します。
namlst = ファイル名
活性化検査で使用する TOGNAMLST ファイルの名前を指定
します。
namlstdir = パス名
活性化検査で使用する TOGNAMLST ファイルのディレクト
リを指定します。
namlstext = 拡張子
活性化検査で使用する TOGNAMLST ファイルの拡張子を指
定します。
tsthead = ファイル名
TSTHEAD ファイルの名前を指定します。
tstheaddir = パス名
TSTHEAD ファイルのディレクトリを指定します。
tstheadext = 拡張子
TSTHEAD ファイルの拡張子を指定します。
exp = ファイル名
EXP ファイル ( 期待値ファイル ) の名前を指定します。
expdir = パス名
EXP ファイル ( 期待値ファイル ) のディレクトリを指定しま
す。
expext = 拡張子
EXP ファイル ( 期待値ファイル ) の拡張子を指定します。
526 サインオフシステム R1.9.1 コマンドリファレンス
*COMMON に分類される必須でないオプション
vcd = ファイル名
VCD 形式のシミュレーション結果ファイルの名前を指定し
ます。
vcddir = パス名
VCD 形式のシミュレーション結果ファイルのディレクトリ
を指定します。
vcdext = 拡張子
VCD 形式のシミュレーション結果ファイルの拡張子を指定
します。
wif = ファイル名 (VSS のみ )
WIF 形式のシミュレーション結果ファイルの名前を指定し
ます。
wifdir = パス名 (VSS のみ )
WIF 形式のシミュレーション結果ファイルのディレクトリ
を指定します。
wifext = 拡張子 (VSS のみ )
WIF 形式のシミュレーション結果ファイルの拡張子を指定
します。
vcd2 = ファイル名 (GEMINISO のみ )
VCD 形式のシミュレーション結果ファイルの名前を指定し
ます。
GEMINISO では、VCD 形式のシミュレーション結
果ファイルが 2 つ出力されます。SRA、TOG、
PWR を実行する際、これら 2 つのファイルを読み
込まなければなりません。1 つは vcd、vcddir、
vcdext オプションで、もう 1 つは vcd2、vcd2dir、vcd2ext
オプションで指定してください。
vcd2dir = パス名 (GEMINISO のみ )
VCD 形式のシミュレーション結果ファイルのディレクトリ
を指定します。
vcd2ext = 拡張子 (GEMINISO のみ )
VCD 形式のシミュレーション結果ファイルの拡張子を指定
します。
zepf = ファイル名
TST で使用する ZEPF ファイルの名前を指定します。
zepfdir = パス名
TST で使用する ZEPF ファイルのディレクトリを指定しま
す。
zepfext = 拡張子
TST で使用する ZEPF ファイルの拡張子を指定します。
サインオフシステム R1.9.1 コマンドリファレンス 527
.....
コンフィグレーションファイル
A
コンフィグレーションファイル
*WCAP に分類されるオプション
idlength = 文字数
TSTHEAD ファイル (TSTL2 ヘッダファイルのテンプレート
ファイル ) 中に出力されるピン名の最大文字数を指定しま
す。TSTL2 の言語仕様により、デフォルトは 12 です。この
長さを超えるとワーニングメッセージが出力されます。13
文字以上の名前を使いたい場合、最大文字数を指定します。
exptype = [OLD|NORMAL]
入力ファイルとなる EXP ファイル ( 期待値ファイル ) の形
式を指定します。スキャンテストパターンに対してパラレ
ルロードシミュレーションを行わない場合に OLD を指定し
ます。デフォルトは NORMAL で、通常のシミュレーション
時とスキャンテストパターンに対してパラレルロードシ
ミュレーションを実行します。OLD を指定したときのファ
イルの大きさは、NORMAL を指定したときの 10~20 倍になり
ます。
msout = [ON|OFF]
情報メッセージを画面に表示するかどうかを指定します。
*WCAP に分類されるオプション
..................................................
以下に *WCAP に分類されるオプションを列挙します。内容については「4章
DCAL」を参照してください。
ioparam = ファイル名
ioparamdir = パス名
ioparamext = 拡張子
groups = ファイル名
groupsdir = パス名
groupsext = 拡張子
seglenselect = [DEFAULT|SEGLENM|SEGLEN|PSEGLEN|NO]
seglenm = ファイル名
seglenmdir = パス名
seglenmext = 拡張子
seglen = ファイル名
seglendir = パス名
seglenext = 拡張子
pseglen = ファイル名
pseglendir = パス名
pseglenext = 拡張子
fbxref = ファイル名
fbxrefdir = パス名
fbxrefext = 拡張子
ioparam_templatesw = [ON|OFF]
ioparam_template = ファイル名
ioparam_templatedir = パス名
528 サインオフシステム R1.9.1 コマンドリファレンス
個別プログラムのオプション
ioparam_templateext = 拡張子
groups_templatesw = [ON|OFF]
groups_template = ファイル名
groups_templatedir = パス名
groups_templateext = 拡張子
clkinfo = ファイル名
clkinfodir = パス名
clkinfoext = 拡張子
個別プログラムのオプション
..................................................
個別のプログラムのオプションについては各プログラムの章を参照してくだ
さい。
サインオフシステム R1.9.1 コマンドリファレンス 529
.....
コンフィグレーションファイル
A
コンフィグレーションファイル
個別プログラムのオプション
530 サインオフシステム R1.9.1 コマンドリファレンス
B
ファイル名一覧
.....
....................................
表 B–1 ファイル名一覧
ファイル名
∼で作成
∼で使用
内容
caution.log
すべてのプログラム
ユーザー参照用
エラーメッセージ
libname
MKLIBNAME1
Verilog シミュレータ
ライブラリ名
mdlgen.log
MDLGEN
ユーザー参照用
実行リスティング
return_status
すべてのプログラム
ユーザー参照用
リターンコード
TOSHIBA.vsignlst
VSIGN
ユーザー参照用
VSIGN の実行結果サマリ
tsb.config
ユーザー作成、
CONFIGURE
すべてのプログラム
コンフィグレーションファイル
tsb_vso.table
sample ディレクトリ
からコピーする
すべてのプログラム 7
VCSSO の PLI テーブルファイル
vetpr.log
$vetpr1
ユーザー参照用
実行リスティング
vsign.spec
ユーザー作成
VSIGN
検証する項目の指定
<I/O ソフトマクロセル
名 >.tsbvlib
当社ライブラリ
MODLIBGEN
プロテクトされていない I/O ソフトマク
ロセルの Verilog ライブラリ
<I/O ソフトマクロセル
名 >.tsbvlibp
当社ライブラリ
MODLIBGEN
プロテクトされている I/O ソフトマクロ
セルの Verilog ライブラリ
インスタンス名 .xrm
IKOS rm_xlate
T2IROM5
ROM データファイルの変換
< 入力ファイル名 >_tsb
TNC2
すべてのプログラム
当社の制約に従った Verilog-HDL ネット
リスト
セルタイプ名 .pd
当社ライブラリ
MDLGEN
メガセルのパフォーマンスデータ
セルタイプ名 .pdb
当社ライブラリ
MDLGEN
メガセルのパフォーマンスデータ
セルタイプ名
.pdtemplate
当社ライブラリ
MDLGEN
メガセルのパフォーマンスデータのテ
ンプレート
セル名 .tdobj
MDLGEN
LD2CL
LDDL2 形式のメガセルのテクノロジー
ライブラリ
セル名 .tsbvlib
MDLGEN
すべてのプログラム
プロテクトされていない Verilog メガセ
ルライブラリ
セル名 .vhd
MDLGEN
すべてのプログラム
VHDL のメガセルライブラリ
セル名 _pack.vhd
MDLGEN
すべてのプログラム
VHDL のメガセルのパッケージファイル
テクノロジー名 .master
LD2CL
LD2CL、すべてのプログ
ラム
セルライブラリの対応が記述されてい
る MASTER ファイル ( バイナリ形式 )
デザインキット
MODLIBGEN
MODLIBGEN
DVER
オリジナルの I/O チェック用データベー
ス (TC240 シリーズ以降 )
テクノロジー名 .modlib
サインオフシステム R1.9.1 コマンドリファレンス 531
B
ファイル名一覧
ファイル名
∼で作成
∼で使用
内容
テクノロジー名
.modliblst
MODLIBGEN
ユーザー参照用
実行リスティング
テクノロジー名 .tdobj
LD2CL
すべてのプログラム
LDDL2 形式の標準セルのテクノロジー
ライブラリ
ファイル名 .vhd
ユーザー作成
MODLIBGEN
追加する I/O セルの VHDL ネットリスト
(TC240 シリーズ以降 )
モジュール名 .tdgsh
TDGS2TDGS
レイアウトシステム
分割された TDGS データベースファイ
ル
回路名 .cell
レイアウトシステム
PWR、NETMOD
母体の各ロウに配置されたセルの一覧
回路名 .cellm
NETMOD
PWR
サブクロックバッファ挿入後の CELL
ファイル
回路名 .chgcir
レイアウトシステム
NETMOD
クロックネット以外の回路変更情報
回路名 .clkbuf
レイアウトシステム
NETMOD
サブクロックバッファの接続定義
回路名 .clkemulst
CLKEMU
ユーザー参照用
実行リスティング
回路名 .clkinfo
ユーザー作成
DCAL、DVER、SRA、TOG、
TOGMRG、PWR、
クロックツリー情報
ユーザー参照用
回路名 .clkpath
NETMOD
CLKEMU、DCAL
クロックツリー情報
回路名 .clocklist
DVER
ユーザー参照用
クロック情報
回路名 .cnferr [. テスト
識別子 ]
PRESRA1、SRA
TST
コンフリクト、フローティング情報
回路名 .comfrq
ユーザー作成、
CONFIGURE6
DVER、TRACEGEN4 TOG、
TOGMRG
エレクトロマイグレーションを考慮に
入れたドライブチェック用の信号動作
周波数指定
回路名 .comfrqout [. テス
ト識別子 ]
TOG
TOGMRG、
ユーザー参照用
周波数がシミュレーションから計算さ
れた値に置き換えられた COMFRQ ファ
イル
回路名 .ctslist
DVER
レイアウトシステム (CTS)
クロックツリー合成用テンプレート
回路名 .dcallst
DCAL
ユーザー参照用
実行リスティング
回路名 .dcl [ テスト識別
子]
TST
ユーザー参照用
DC 測定に関する外部入出力ピンとテス
トパターンの一覧
CLKEMU
Design Compiler
DCAL
CLKEMU、Design Compiler、
PTSO
CLKEMU
Design Compiler、PrimeTime
DCAL
CLKEMU、Design Compiler
CLKEMU
Design Compiler
CLKEMU
Design Compiler
回路名 .dcsdf
回路名 .dcsdf_< 番号 >
回路名 .dcwirecap
回路名 .dcwirecap_< 番
号>
Design Compiler、PrimeTime 用 SDF ファイ
ル
Design Compiler、PrimeTime 用 SDF ファイ
ル
Design Compiler 用配線容量ファイル
532 サインオフシステム R1.9.1 コマンドリファレンス
Design Compiler 用配線容量ファイル
ファイル名
∼で作成
∼で使用
内容
回路名 .delref
DCAL
ユーザー参照用
遅延クロスリファレンス ( 実配線長 )
回路名 .drive [. テスト識
別子 ]
TSC
シミュレータ
テストパターンの印加の制御
回路名 .drvlist
DVER
ユーザー参照用
ドライブリミットと配線容量
回路名 .dspcom
PNA
PRESRA1、TRACEGEN4
表示する信号の指定
回路名 .dspcom [. テス
ト識別子 ]
ユーザー作成
PRESRA1、TRACEGEN4
表示する信号の指定
回路名 .dverERlist
DVER
ユーザー参照用
エラーメッセージのリスティング
回路名 .dverlst
DVER
ユーザー参照用
実行リスティング
回路名 .dverWNlist
DVER
ユーザー参照用
ワーニングメッセージのリスティング
回路名 .edelref
DCAL
ユーザー参照用
遅延クロスリファレンス ( 仮想配線長 )
回路名 .emmerg
TOGMRG
ユーザー参照用
マージされた COMFRQ ファイル
回路名 .esdf
DCAL
$sdf_annotate、
VHDL シミュレータ
SDF ファイル ( 仮想配線長 )
回路名 .evlst
EDP
ユーザー参照用
シミュレーション結果リスティング
回路名 .evlst_No{n}
EDP
ユーザー参照用
シミュレーション結果リスティング
回路名 .exp [. テスト識
別子 ]
TSC
PRESRA1、SRA、
TRACEGEN4
期待値ファイル
回路名 .fbxref
レイアウトシステム
DVER、DCAL、SRA、TOG、 代替名の対応が記述されたクロスリ
TOGMRG、PWR、NETMOD
ファレンス
回路名 .files
ユーザー作成
TVHDL3
ネットリストファイルの指定
回路名 .frqlst
ユーザー作成
TOGMRG
マージする COMFRQOUT ファイルのリ
スト
回路名 .fsa
Sunrise、Mentor-DFT
または Test Compiler
TSC
スキャンパス情報
回路名 .fsf
VSO/DFT、
VITALSO/DFT
TSC
スキャンパス情報
回路名 .gk_template
DCAL
ユーザー参照用、DCAL (
後で tsb.config ファイルに
追加 )
K ファクタ
回路名 .groups
ユーザー作成
DCAL、SRA、TOG、
TOGMRG、PWR
ソフトセルグループの定義
回路名 .groups_template
DCAL
ユーザー参照用
ソフトセルグループリスティング
回路名 .hdlm
NETMOD
TNC2、TFO1、TVHDL3
NETMOD による変更後のネットリスト
ファイル
回路名 .hinfo
フロアプラナ、
ユーザー作成
TDGS2TDGS
ブロック分割指定
回路名 .history
すべてのプログラム
ユーザー参照用
コマンドの実行履歴
回路名 .iddsinf
ユーザー作成
TST
IDD(S) 測定アドレスサーチ
サインオフシステム R1.9.1 コマンドリファレンス 533
.....
ファイル名一覧
B
ファイル名一覧
ファイル名
∼で作成
∼で使用
内容
回路名 .iddslst [. テスト
識別子 ]
TST
ユーザー参照用
静的消費電流測定のための最適なパ
ターン情報
回路名 .input
ユーザー作成
TNC2
ネットリストファイルの指定
回路名 .ioparam
IOPARAM、
ユーザー作成
DCAL、PWR
IOPARAM ( テンプレート ) ファイル
回路名 .ioparam_template
IOPARAM、DCAL
ユーザー編集後 DCAL
すべての外部入出力ピンの入っている
IOPARAM ファイル
回路名 .ioparamlst
IOPARAM
ユーザー参照用
実行リスティング
回路名 .its [ テスト識別
子]
TST
TSC、テスト
期待値付き TSTL2 テストデータ
回路名 .memt2vlst
MEMT2V6
ユーザー参照用
実行リスティング
回路名 .memver2tlst
MEMVER2T1
ユーザー参照用
実行リスティング
回路名 .mgdata
ユーザー作成
MDLGEN
メガセルデータファイル
回路名 .middle [. テスト
識別子 ]
PWR
なし
バイナリ形式の総消費電流
回路名 .netmodcom
ユーザー作成
NETMOD
CLKBUF ファイル、CHGCIR ファイル、
SEGLEN ファイル、FBXREF ファイルを
指定するファイル
回路名 .netmodlst
NETMOD
ユーザー参照用
実行リスティング
回路名 .netmodxref
NETMOD
ユーザー参照用
代替名の対応が記述されたクロスリ
ファレンス
回路名 .noeat
ユーザー作成
DVER、DCAL
ゲートイーターで削除したくないセル
の一覧
回路名 .npin [ テスト識
別子 ]
TST
ユーザー参照用
DC 測定に関する外部入出力ピンの一覧
回路名 .npinmrglst
NPINMRG
ユーザー参照用
マージされた DC 未測定情報
回路名 .ow
VSS
EDP、SRA、TOG、PWR、
TST、TSG
WIF 形式のシミュレーション結果ファ
イル
回路名 .para [. テスト識
別子 ]
TSC
VHDL シミュレータ
パラレルロードシミュレーション情報
回路名 .pattern [. テスト
識別子 ]
TSC
VHDL シミュレータ、
$vetpr1
テストパターンファイル
回路名 .pininf [. テスト
識別子 ]
PRESRA1、PRETSO1、
EDP、TST、TSG
TRACEGEN4
外部ピン情報
回路名 .pnacom
ユーザー作成
PNA
バッチ処理用コマンドファイル
回路名 .pnalst
PNA
ユーザー参照用
実行リスティング
回路名 .power [. テスト
識別子 ]
PWR
ユーザー参照用
消費電流ヒストグラム
回路名 .presralst [. テス
ト識別子 ]
PRESRA1
ユーザー参照用
実行リスティング
534 サインオフシステム R1.9.1 コマンドリファレンス
ファイル名
∼で作成
∼で使用
内容
回路名 .pretsolst [. テス
ト識別子 ]
PRETSO1
ユーザー参照用
回路名 .pseglen
フロアプラナ
DVER、DCAL、SRA、TOG、
実配線長ファイル
TOGMRG、PWR
回路名 .pwrlst [. テスト
識別子 ]
PWR
ユーザー参照用
実行リスティング
回路名 .rdtlist
DVER
ユーザー参照用、PTSO
削除した冗長セルのリスト
回路名 .regs [. テスト識
別子 ]
TSC
Verilog-XL/NC-Verilog/VCS/
Gemini
$gr_regs タスクの実行
MEMVER2T1
レイアウトシステム
MEMT2V6、T2IROM5、
レイアウトシステム
当社形式の ROM データ
ユーザー作成
回路名 .row
ユーザー作成
PWR
消費電流を計算するロウ番号の指定
回路名 .rowlst [. テスト
識別子 ]
PWR
ユーザー参照用
ロウごとの消費電流リスティング
回路名 .rowmid [. テスト
識別子 ]
PWR
なし
バイナリ形式のロウごとの消費電流
回路名 .sdf
DCAL
$sdf_annotate、
VHDL シミュレータ
SDF ファイル ( 実配線遅延 )
回路名 .seglen
レイアウトシステム
DVER、DCAL、SRA、TOG、
実配線長ファイル
TOGMRG、PWR、NETMOD
回路名 .seglenm
NETMOD
DVER、DCAL、SRA、TOG、 サブクロックバッファ名変更後の
TOGMRG、PWR
SEGLEN ファイル
回路名 .signals
EDP
ユーザー参照用
外部 I/O ピン情報
回路名 .sracom
[. テスト識別子 ]
ユーザー作成、TSC
PRESRA1、SRA、
TRACEGEN4
解析コマンドファイル
回路名 .sradb [. テスト
識別子 ]
PRESRA1
SRA
シミュレーション結果のデータベース
回路名 .sralst [. テスト
識別子 ]
SRA
ユーザー参照用
シミュレーション結果解析レポート
回路名 .tbl
TSC
TST、ユーザー参照用
ピン番号のクロスリファレンス
回路名 .tdgs
TNC2、TFO1、
TVHDL3、NETMOD
DVER、IOPARAM、DCAL、
PNA、SRA、NETMOD、
TOG、TOGMRG、TDLGEN、
TST、TRACEGEN4、
TDGS2TDGS、PWR
バイナリ形式のデザインデータベース
回路名 .tdgshlst
TDGS2TDGS
ユーザー参照用
実行リスティング
回路名 .tdl
TDLGEN
他のシステム
TDL ネットリスト
回路名 .tdlgenlst
TDLGEN
ユーザー参照用
実行リスティング
回路名 .tfolst
TFO1
ユーザー参照用
実行リスティング
回路名 .rom
実行リスティング
サインオフシステム R1.9.1 コマンドリファレンス 535
.....
ファイル名一覧
B
ファイル名一覧
ファイル名
∼で作成
∼で使用
内容
回路名 .tg [. テスト識別
子]
TOG
TOGMRG
バイナリ形式の活性化検査結果
回路名 .throughnetlst
TDGS2TDGS
ユーザー参照用
スルーネットチェック結果リスト
回路名 .tif [ テスト識別
子]
TSC
TST、テスト
テスタ用のテストパターン生成のため
の中間ファイル
回路名 .tnclst
TNC2
ユーザー参照用
実行リスティング
回路名 .togcom [. テスト
識別子 ]
ユーザー作成
TOG
検査範囲の指定
回路名 .toglst [. テスト
識別子 ]
TOG
ユーザー参照用
活性化検査結果 (ASCII 形式 )
回路名 .togmrgcom
ユーザー作成
TOGMRG
マージすべき活性化検査結果
回路名 .togmrglst
TOGMRG
ユーザー参照用
実行リスティング
回路名 .tognamlst
TOG
TOGMRG
活性化検査の対象となるネットの一覧
回路名 .top
TNC2、TFO1、
TVHDL3、
ユーザー作成
TSC
最上位モジュールファイル
回路名 .tpi [. テスト識
別子 ]
TSC
SRA
バイナリ形式のタイミング情報
回路名 .trace [. テスト識
別子 ]
TRACEGEN4
VHDL シミュレータ、
Gemini
シミュレーション時の観測ポイントの
指定
回路名 .tracegenlst [. テ
スト識別子 ]
TRACEGEN4
ユーザー参照用
実行リスティング
回路名 .tree
DVER
ユーザー参照用
階層ツリーマップ
回路名 .tsclst [. テスト
識別子 ]
TSC
ユーザー参照用
実行リスティング
回路名 .tsglst
TSG
ユーザー参照用
実行リスティング
回路名 .tst [ テスト識別
子]
ユーザー作成
TSC、TST
TSTL2 テストデータ
タイミング定義ファイル
回路名 .tsthead
TNC2、TFO1、
TVHDL3
ユーザーの編集
TSTL2 テストデータファイルのヘッダ
部分のテンプレート
回路名 .tstl2_template
TSG
TSC
TSTL2 テストデータファイルのテンプ
レート
回路名 .tstlst [. テスト識
別子 ]
TST
ユーザー参照用
実行リスティング
回路名 .tstpat [. テスト
識別子 ]
TNC2、TFO1
ユーザーの編集
Verilog-HDL 入力波形モジュールファイ
ルのテンプレート
回路名 .vcd2 [. テスト識
別子 ]
Gemini
EDP、PWR、SRA、TOG、
TSG、TST
VCD 形式のシミュレーション結果ファ
イル
回路名 .vcd [. テスト識
別子 ]
PRESRA1、PRETSO1、 EDP、SRA、TOG、PWR、
Gemini/Modelsim/
TST、TSG、
Leapfrog/Voyager
ユーザー参照用
VCD 形式のシミュレーション結果ファ
イル
536 サインオフシステム R1.9.1 コマンドリファレンス
ファイル名
∼で作成
∼で使用
内容
回路名 .verref
DVER
ユーザー参照用
セルタイプ、パッド、ネットリファレ
ンス
回路名 .versum
DVER
ユーザー参照用
ネットワークサマリ
回路名 .vhd
ユーザー作成、
VHDL シミュレータ
TVHDL3
VHDL ネットリスト
回路名 .vhdllst
TVHDL3
ユーザー参照用
実行リスティング
回路名 .vppa
DVER
ADAS システム
TNC2
1
ピン配線
3
回路名 .vsigndb
TNC2、TFO1、
TVHDL3
、TFO 、TVHDL 、
DCAL、DVER、TSC、
PRESRA1、PRETSO1、
TRACEGEN4、SRA、TOG、
TOGMRG、PWR、
NETMOD、TST、VSIGN
回路名 .wav [. テスト識
別子 ]
TSC
Verilog-XL/NC-Verilog/VCS/
Gemini
Verilog-HDL 入力波形モジュール
回路名 .wavconf
TNC2、TFO1、
TVHDL3
TSG
サンプリングポイントの指定
回路名 .waves [. テスト
識別子 ]
TSC
Verilog-XL/NC-Verilog/VCS/
Gemini
$gr_waves タスクの実行
回路名 .xref
TDLGEN
ユーザー参照用
置換名の対応
回路名 .zepf
TST
TST
バイナリ形式の I/O ピンの情報
回路名 _< 番号 >
.romver
MEMT2V6
Verilog シミュレータ、
VHDL シミュレータ
$memreadh タスクで読むことができる
ROM データ
最上位モジュール名
.tdgsh
TDGS2TDGS
レイアウトインタフェー
スプログラム
最上位モジュールの TDGS データベー
ス
サインオフで検証する項目の実行結果
のデータベース
1: VSO 、VCSSO のみ
2: VSO、VCSSO、GEMINISO のみ
3: VITALSO、VOYSO のみ
4: GEMINISO 、VITALSO、VOYSO のみ
5: GEMINISO 、VOYSO のみ
6: VSO、VCSSO、VITALSO のみ
7: VCSSO のみ
サインオフシステム R1.9.1 コマンドリファレンス 537
.....
ファイル名一覧
B
ファイル名一覧
538 サインオフシステム R1.9.1 コマンドリファレンス
索引
記号
$gr_regs 395
$gr_waves 394
$vetpr 399
C
CELLM ファイル 172, 238
CELL ファイル 166, 171, 238
CHGCIR ファイル 167, 168, 171, 172, 180
CLKBUF ファイル 166, 171, 172, 178
CLKEMU
オプション 5
シンタックス 5
出力ファイル 3
入力ファイル 2
CLKEMULST ファイル 3
CLKINFO ファイル 24, 30, 56
CLKPATH ファイル 2, 168, 172
CLOCKLIST ファイル 87, 114
CNFERR ファイル 255, 441
COMFRQOUT ファイル 336, 338
COMFRQ ファイル 13, 86, 88, 338, 341, 356
COMP
オプション 10
シンタックス 9
COMPARE コマンド (PRESRA) 212
安定領域ダイヤグラム 275
不一致リスト 270
COMPARE コマンド (TRACEGEN) 363
安定領域ダイヤグラム 275
不一致リスト 270
condition オプション (DCAL) 32
CONFIGURE
シンタックス 13
実行手順 14
CONFLICT コマンド (PRESRA) 213
コンフリクトリスト 272
コンフリクト領域ダイヤグラム 277
CONFLICT コマンド (TRACEGEN) 364
コンフリクトリスト 272
コンフリクト領域ダイヤグラム 277
CTSLIST ファイル 87, 113
CYCLE コマンド (PRESRA) 212
CYCLE コマンド (TRACEGEN) 362
D
DCAL 69
*DCAL に分類されるオプション 36
*WCAP に分類されるオプション 36
シンタックス 34
出力ファイル 30
遅延条件の選択方法 32
入力ファイル 28
DCALLST ファイル 31, 58
K ファクタリスティング 60
配線容量計算情報 58
dcall オプション (TST) 459
dcgen オプション (TST) 459
DCL ファイル 442, 482
DCSDF ファイル 2, 3, 25, 30
変換例 6
DCWIRECAP ファイル 2, 3, 25, 31
DELREF ファイル 31
DELREF ファイル。遅延クロスリファレンスファイ
ルも参照
Design Compiler 1
Design Compiler インタフェース 24
DRIVE ファイル 383
VITALSO 用 399
VSO/VCSSO/GEMINISO 用 398
DRVLIST ファイル 87, 109
ドライブチェック結果ヒストグラム 110
ドライブチェック結果一覧 111
DSPCOM ファイル 197, 207, 230, 356
DSPCOM ファイル (PRESRA) 221
END コマンド 224
PREFIX コマンド 222
SELECT コマンド 223
記述形式 221
記述例 222
DSPCOM ファイル (TRACEGEN) 373
END コマンド 376
PREFIX コマンド 374
SELECT コマンド 374
記述形式 373
記述例 373
DV
オプション 70
シンタックス 70
DVER 69
*DVER に分類されるオプション 92
*WCAP に分類されるオプション 92
シンタックス 90
出力ファイル 87
入力ファイル 85
DVERERLIST ファイル 87
サインオフシステム R1.9.1 コマンドリファレンス
i
索引
DVERLST ファイル 87, 97
DVERWNLIST ファイル 87
E
HOLD コマンド (TRACEGEN) 369
セットアップ・ホールドマージンダイヤグラム
278
ホールド時間違反リスト 274
EDELREF ファイル 31
EDELREF ファイル。遅延クロスリファレンスファイ
ルも参照
EDP
オプション 118
シンタックス 117
出力ファイル 117
入力ファイル 116
EMMERG ファイル 336, 340
END コマンド (PRESRA) 224
END コマンド (TRACEGEN) 376
ESDF ファイル 30
evlst_No{n} ファイル 117, 119
EVLST ファイル 117, 119
EXP ファイル 206, 255, 356, 383
IDDSINF ファイル 441
IDDSLST ファイル 442, 483
init オプション (TSC) 391
IOPARAM 9
オプション 125
シンタックス 124
出力ファイル 124
入力ファイル 124
IOPARAMLST ファイル 124
IOPARAM_TEMPLATE ファイル 31, 124
IOPARAM ファイル 26, 29, 43, 124
IPO 1, 7
ITS ファイル 441
F
J
FBXREF ファイル 30, 86, 166, 171, 238
FLOAT コマンド (PRESRA) 214
フローティングリスト 272
フローティング領域ダイヤグラム 278
FLOAT コマンド (TRACEGEN) 364
フローティングリスト 272
フローティング領域ダイヤグラム 278
FROM コマンド (PRESRA) 212
FROM コマンド (TRACEGEN) 362
FRQLST ファイル 340, 347
FSA ファイル 382
FSF ファイル 382
JTAG バウンダリスキャン回路
ネットリストの変換 168
G
gkmax オプション (DCAL) 32
gkmin オプション (DCAL) 32
GK_TEMPLATE ファイル 31, 58
gktyp オプション (DCAL) 33
GROUPS_TEMPLATE ファイル 31
GROUPS ファイル 27, 29, 46
NETWEIGHT 文 54
REGION 文 47
SOFTGROUP 文 49
シンタックス 47
I
K
kf_temp オプション (DCAL) 32
kf_volt オプション (DCAL) 32
kmax オプション (DCAL) 32
kmin オプション (DCAL) 32
ktyp オプション (DCAL) 33
L
LD2CL
オプション 128
シンタックス 128
LDDL2。テクノロジーデータベースを参照
libname ファイル 157
M
MARGIN コマンド (PRESRA) 213
MARGIN コマンド (TRACEGEN) 363
MASTER ファイル 127
MAXCHG コマンド (PRESRA) 216
同時スイッチングリスト 273
MAXCHG コマンド (TRACEGEN) 367
同時スイッチングリスト 273
H
MAXDLY コマンド (PRESRA) 216
HDLM ファイル 171
最大遅延時間リスト 273
注意点 183
MAXDLY コマンド (TRACEGEN) 366
HINFO ファイル 288
最大遅延時間リスト 273
HOLD コマンド (PRESRA) 219
MDLGEN
セットアップ・ホールドマージンダイヤグラム
オプション 138
278
シンタックス 137
ホールド時間違反リスト 274
出力ファイル 137
ii
サインオフシステム R1.9.1 コマンドリファレンス
.....
入力ファイル 136
mdlgen.log ファイル 137
MEMT2V
オプション 143
シンタックス 143
出力ファイル 142
入力ファイル 142
MEMT2VLST ファイル 142, 147
MEMVER2T
オプション 151
シンタックス 150
出力ファイル 150
入力ファイル 150
MEMVER2TLST ファイル 150, 154
MGDATA ファイル 136
MIDDLE ファイル 238
MKLIBNAME
シンタックス 158
出力ファイル 157
MODLIBGEN
*MODLIBGEN に分類されるオプション 162
シンタックス 161
出力ファイル 160
入力ファイル 160
MODLIBLST ファイル 160, 163
MODLIB ファイル 86, 160
N
NETMOD 9
*NETMOD に分類されるオプション 176
シンタックス 174
出力ファイル 171
入力ファイル 170
NETMODCOM ファイル 171, 172
NETMODLST ファイル 172
NETMODXREF ファイル 166, 172, 186
NOEAT ファイル 26, 29, 45, 86, 89
norbreak オプション (TST) 456
nostrict オプション (TST) 458
NPINMRG
*NPINMRG に分類されるオプション 191
シンタックス 190
出力ファイル 190
入力ファイル 190
NPINMRGLST ファイル 190, 192
NPIN ファイル 190, 442, 485
O
OW ファイル 116, 237, 255, 337, 422
P
PARA ファイル 383
PARTISINFO ファイル 328, 329
PATTERN ファイル 383
VITALSO 用 397
VSO/VCSSO/GEMINISO 用 396
PDB ファイル 136
PDTEMPLATE ファイル 136
PD ファイル 136
PININF ファイル 116, 207, 230, 357, 423, 441
PNA
オプション 199
シンタックス 198
バッチ処理形式 201
出力ファイル 194
対話形式 200
探索コマンド 195
入力ファイル 194
PNACOM ファイル 194, 195
BACKWARD コマンド 195
DSP コマンド 197
END コマンド 197
FORWARD コマンド 195
HELP コマンド 197
QUIT コマンド 197
READ コマンド 196
TERMINAL コマンド 196
シンタックス 195
PNALST ファイル 194, 201
POWER ファイル 239, 244
PREFIX コマンド (PRESRA) 219, 222
PREFIX コマンド (TRACEGEN) 370, 374
PRESRA
*PRESRA に分類されるオプション 226
シンタックス 224
出力ファイル 207
入力ファイル 206
PRESRALST ファイル 207
PRETSO
*PRETSO に分類されるオプション 232
シンタックス 231
出力ファイル 230
PRETSOLST ファイル 231
PSEGLEN ファイル 24, 29, 33, 86
PULSE 文 444
PWR 249
オプション 242
シンタックス 239
出力ファイル 239
中間出力ファイル 238
入力ファイル 237
PWRLST ファイル 239
R
RC 遅延
K ファクタ 33
バックアノテーション 23
フォワードアノテーション 25
RDTLIST ファイル 87, 112
REGS ファイル 383, 395
サインオフシステム R1.9.1 コマンドリファレンス
iii
索引
MARGIN コマンド 213
MAXCHG コマンド 216
MAXDLY コマンド 216
PREFIX コマンド 219
SETUP コマンド 218
SHMASK コマンド 220
SPIKE コマンド 215
SWINDOW コマンド 215
TO コマンド 212
UINITX コマンド 221
記述形式 207
S
記述例 209
信号名・モジュール名の記述 211
scanin オプション (TSC) 415
信号名・モジュール名の置換 210
scanstbq オプション (TSC) 414
複数のタイミング 209
scanstbw オプション (TSC) 414
SRACOM ファイル (TRACEGEN) 357
SDF ファイル 30
COMPARE コマンド 363
SDPD。状態依存パス遅延を参照
CONFLICT コマンド 364
SEGLENM ファイル 29, 86, 172
CYCLE コマンド 362
SEGLEN ファイル 23, 29, 86, 166, 171
FLOAT コマンド 364
マージ 168, 172
FROM コマンド 362
SELECT コマンド (PRESRA) 223
HOLD コマンド 369
SELECT コマンド (TRACEGEN) 374
MARGIN コマンド 363
SETUP コマンド (PRESRA) 218
MAXCHG コマンド 367
セットアップ・ホールドマージンダイヤグラム
MAXDLY コマンド 366
278
PREFIX コマンド 370
セットアップ時間違反リスト 274
SETUP コマンド 368
SETUP コマンド (TRACEGEN) 368
SHMASK コマンド 371
セットアップ・ホールドマージンダイヤグラム
SPIKE コマンド 365
278
SWINDOW コマンド 366
セットアップ時間違反リスト 274
TO コマンド 362
setx オプション (TSC) 391
UINITX コマンド 372
setz オプション (TSC) 391
記述形式 357
SHMASK コマンド (PRESRA) 220
記述例 359
SHMASK コマンド (TRACEGEN) 371
信号名・モジュール名の記述 361
SIGNALS ファイル 117, 121
信号名・モジュール名の置換 360
SIM
複数のタイミング 358
オプション 250
SRADB ファイル 207, 255
シンタックス 249
SRALST ファイル 255, 269
SPIKE コマンド (PRESRA) 215
エラー数の総括 269
スパイクリスト 271
コンフリクトリスト (SRA) 272
SPIKE コマンド (TRACEGEN) 365
コンフリクト領域ダイヤグラム (SRA) 277
スパイクリスト 271
スパイクリスト (SRA) 271
SRA 249
セットアップ・ホールドマージンダイヤグラム
*SRA に分類されるオプション 267
(SRA) 278
シンタックス 265
セットアップ時間違反リスト (SRA) 274
出力ファイル 255
ピンごとのエラー数リスト 270
入力ファイル 254
フローティングリスト (SRA) 272
SRACOM ファイル 206, 255, 356, 384
フローティング領域ダイヤグラム (SRA) 278
SRACOM ファイル (PRESRA) 207
ホールド時間違反リスト (SRA) 274
COMPARE コマンド 212
安定領域ダイヤグラム (SRA) 275
CONFLICT コマンド 213
最大遅延時間リスト (SRA) 273
CYCLE コマンド 212
同時スイッチングリスト (SRA) 273
FLOAT コマンド 214
不一致リスト (SRA) 270
FROM コマンド 212
SWINDOW(PRESRA)
HOLD コマンド 219
REMARK 文 444
ROMVER ファイル 142, 145, 146
ROM データファイル
IKOS フォーマット 283
Verilog-HDL フォーマット 142, 145, 150, 152
VHDL フォーマット 142, 146
当社フォーマット 142, 144, 150, 153, 283
ROWLST ファイル 239, 247
ROWMID ファイル 239
ROW ファイル 238, 239
iv
サインオフシステム R1.9.1 コマンドリファレンス
.....
スパイクリスト 271
SWINDOW(TRACEGEN)
スパイクリスト 271
SWINDOW コマンド (PRESRA) 215
SWINDOW コマンド (TRACEGEN) 366
オプション 345
シンタックス 343
出力ファイル 338
入力ファイル 337
TOGCOM ファイル 337, 342
TOGLST ファイル 338, 350
T
ドライブチェック違反リスト 353
活性化検査リスト 351
T2IROM
TOGMRG
シンタックス 283
オプション 349
出力ファイル 282
シンタックス 348
入力ファイル 282
出力ファイル 340
TBL ファイル 382, 440
入力ファイル 339
TDGS2TDGS
TOGMRGCOM ファイル 339, 347
オープンピンのチェック 295
TOGMRGLST ファイル 340
オプション 289
TOGNAMLST ファイル 338
シンタックス 289
TOP2 ファイル 328
パススルーネットのチェック 295
TOP ファイル 311, 323, 382, 491
ピン名とモジュール名のチェック 295
TO コマンド (PRESRA) 212
出力ファイル 288
TO コマンド (TRACEGEN) 362
入力ファイル 287
TPI ファイル 207, 255, 384
TDGSHLST ファイル 288, 293
TRACEGEN
TDGSH ファイル 288
*TRACEGEN に分類されるオプション 377
TDGS データベースファイル 29, 85, 124, 170, 194, 238,
シンタックス 376
255, 288, 302, 310, 323, 337, 340, 356, 441, 491
出力ファイル 357
NETMOD 実行後の TDGS データベースファイル
入力ファイル 356
171
TRACEGENLST ファイル 357
TDGS2TDGS のチェックオプション 286
TRACE ファイル 357
階層レイアウトのための分割 285
TREE ファイル 87, 109
TDLGEN
tsb.config ファイル 13
オプション 304
*COMMON に分類される必須でないオプション
シンタックス 303
519
出力ファイル 302
*COMMON に分類される必須のオプション 517
入力ファイル 302
*WCAP に分類されるオプション 528
TDLGENLST ファイル 302, 306
オプションの優先順位 517
TDL ファイル 302
記述形式 516
TDL 変換 297
構成 516
注意点 297
用途 515
TDOBJ ファイル 127
例 516
TDOBJ ファイル ( モデルファイル ) 137
TSBVLIBP ファイル 160
TFO 9
TSBVLIB ファイル ( モデルファイル ) 137
オプション 312
TSC
シンタックス 311
*TSC に分類されるオプション 386
出力ファイル 310
シンタックス 384
入力ファイル 310
出力ファイル 382
TFOLST ファイル 311
中間出力ファイル 382
TG ファイル 338
入力ファイル 381
THROUGHNETLST ファイル 288, 290
TSCLST
ファイル 384, 415
TIF ファイル 382, 440, 442
TSTL2
ソースリスト 416
TNC 9
416
テスタピンリスト
オプション 325
416
パターンカウントリスト
シンタックス 324
419
出力タイミングリスト
出力ファイル 323
入力タイミングリスト 417
入力ファイル 322
TSG
TNCLST ファイル 323, 330
オプション 432
TOG 249
サインオフシステム R1.9.1 コマンドリファレンス
v
索引
シンタックス 431
出力ファイル 423
入力ファイル 422
TSGLST ファイル 423, 433
TST
*TST に分類されるオプション 449
シンタックス 447
出力ファイル 441
中間出力ファイル 441
入力ファイル 440
TSTHEAD ファイル 311, 323, 491
TSTL2_TEMPLATE ファイル 423, 434
TSTLST ファイル 442, 471
I/O タイミングリスト 476
エラーカウントリスト 482
オプションリスト 471
コンフリクト領域ダイヤグラム 479
タイミングエラーリスト 475
ピン名クロスリファレンス 482
フローティング領域ダイヤグラム 481
安定領域ダイヤグラム 478
抽出パターンリスト 475
TSTPAT ファイル 311, 323
TST ファイル 381, 440, 442
TVHDL 9
*TVHDL に分類されるオプション 494
シンタックス 493
出力ファイル 490
入力ファイル 490
U
UINITX コマンド (PRESRA) 221
UINITX コマンド (TRACEGEN) 372
V
VCD2 ファイル 116, 238, 255, 338, 423, 440
VCD ファイル 116, 207, 230, 237, 255, 337, 422, 440
vepresra。PRESRA を参照
vepretso。PRETSO を参照
vepwr。PWR を参照
Verilog-HDL ネットリスト 310, 322
NETMOD による回路変更のあとのネットリスト
171
制約に従って修正されたネットリスト 323
VERREF ファイル 87, 105
セルタイプクロスリファレンス 105
ネットクロスリファレンス 107
パッドクロスリファレンス 106
VERSUM ファイル 87, 101
ゲートカウントサマリ 104
ネットワークサマリ 101
VERUN
シンタックス 497
vetfo。TFO を参照
vetog。TOG を参照
vi
サインオフシステム R1.9.1 コマンドリファレンス
VHDLLST ファイル 491, 495
VHDL ネットリスト 490
NETMOD による回路変更のあとのネットリスト
171
VHD ファイル ( パッケージファイル ) 137
VHD ファイル ( モデルファイル ) 137
VPPA ファイル 84, 87
VSIGN
オプション 501
シンタックス 501
出力ファイル 500
入力ファイル 500
vsign.spec ファイル 500, 502
VSIGNDB ファイル 500
VSIGNLIST ファイル 503
IOPARAM ファイルの情報リスト 506
K ファクタサマリリスト 506
TSTL2 テストデータ情報リスト 504
エラー数リスト 504
エレクトロマイグレーション検査結果リスト 512
オプションリスト 512
コンフリクト検査結果リスト 510
テスタ制約チェック結果リスト 508
ドライブリミットチェック結果リスト 505
フローティング検査結果リスト 511
ヘッダー情報 503
安定領域検査結果リスト 511
期待値チェック結果リスト 505
検査結果サマリリスト 509
同時スイッチング検査結果リスト 510
VSIGNLST ファイル 500
W
WAVCONF ファイル 311, 323, 423, 423, 427, 491
サンプリングポイントの決め方 430
出力タイミング 426
双方向タイミング 426
入力タイミング 425
WAVES ファイル 383, 394
WAV ファイル 382, 393
X
XREF ファイル 302, 305
XRM ファイル 282
Z
ZEPF ファイル 441
あ
安定領域
COMPARE コマンド (PRESRA) 212
COMPARE コマンド (TRACEGEN) 363
MARGIN コマンド (PRESRA) 213
MARGIN コマンド (TRACEGEN) 363
.....
安定領域 (SRA) 256
diagram オプション 256
計算方法 257
小さい箇所の見付け方 258
安定領域 (TST) 469
安定領域ダイヤグラム (SRA) 275
SRA による検出方法 262
定義 259
発生タイミング 261
コンフリクト (TST) 467
コンフリクトリスト (SRA) 272
コンフリクト領域ダイヤグラム (SRA) 277
い
さ
インスタンス指定ファイル。TOGCOM を参照
最大遅延
MAXDLY コマンド (PRESRA) 216
MAXDLY コマンド (TRACEGEN) 366
最大遅延時間リスト (SRA) 273
サブクロックバッファ 1
挿入 165
え
エレクトロマイグレーション 340
か
解析コマンドファイル。SRACOM ファイルを参照
解析レポートファイル。SRALST ファイルを参照
階層ツリーマップファイル。TREE ファイルを参照
階層レイアウト 168
活性化検査 335
マージ 335
活性化検査結果リスト。TOGLST ファイルを参照
活性化率 351
き
期待値比較
COMPARE コマンド (PRESRA) 212
COMPARE コマンド (TRACEGEN) 363
し
シミュレーション結果リスティング。evlst_No{n} ファ
イルまたは EVLST ファイルを参照
状態依存パス遅延 20
冗長セルリストファイル。RDTLIST ファイルを参照
衝突。コンフリクトを参照
消費電流 235
消費電流計算結果ファイル。ROWLST ファイルを参照
消費電流ヒストグラム。POWER ファイルを参照
消費電力の最適化 167
信号名リストファイル 117, 121
す
く
スキャンチェーンリオーダリング 167
クロスリファレンスファイル。VERREF ファイルを参 ストローブマージン。安定領域を参照
スパイク
照
SPIKE コマンド (PRESRA) 215
クロックツリー
SPIKE
コマンド (TRACEGEN) 365
合成 23
SWINDOW
コマンド (PRESRA) 215
遅延 23
SWINDOW
コマンド (TRACEGEN) 366
遅延の割り付けなおし 6
(SRA) 271
スパイクリスト
ク ロ ッ ク ツ リ ー 合 成 用 テ ン プ レ ー ト フ ァ イ ル。
CTSLIST ファイルを参照
クロック情報ファイル。CLOCKLIST ファイルを参照
せ
セットアップマージン 264
セットアップ・ホールドマージンダイヤグラム
diagram オプション 263
経路
(SRA)
セットアップ・ホールドマージンダイヤグラム
ファンアウト経路の探索 193
263,
278
ファンイン経路の探索 193
セットアップ時間 263, 264
ゲートイーティング 26, 73, 89
SETUP コマンド (PRESRA) 218
ゲートカウントサマリ 104
SETUP コマンド (SRA) 263
こ
SETUP コマンド (TRACEGEN) 368
SHMASK コマンド (PRESRA) 220
コンテンション。コンフリクトを参照
SHMASK コマンド (TRACEGEN) 371
コンフィグレーションファイル。tsb.config ファイルを
セットアップ時間違反リスト (SRA) 274
参照
セルグループ 27, 46
コンフリクト
セルタイプクロスリファレンス 105
CONFLICT コマンド (PRESRA) 213
CONFLICT コマンド (TRACEGEN) 364
コンフリクト (SRA)
け
サインオフシステム R1.9.1 コマンドリファレンス
vii
索引
た
ひ
タイミングの最適化 167
タイミング定義ファイル。TST ファイルを参照
探索コマンドファイル。PNACOM ファイルを参照
非線形遅延モデル 21
表示信号指定ファイル。DSPCOM ファイルを参照
ち
遅延クロスリファレンス 27
遅延クロスリファレンスファイル 61
タイミング制約クロスリファレンス 65
ネットクロスリファレンス 62
パス遅延クロスリファレンス 63
て
テクノロジーデータベース 127
デザインルールチェック 74
テストパターンファイル。PATTERN ファイルを参照
と
ふ
ファンアウト経路の探索 193
ファンイン経路の探索 193
不一致リスト (SRA) 270
フロアプラナ 24
フローティング
FLOAT コマンド (PRESRA) 214
FLOAT コマンド (TRACEGEN) 364
フローティング (SRA)
SRA による検出方法 262
定義 260
発生タイミング 261
フローティング (TST) 467
フローティングリスト (SRA) 272
フローティング領域ダイヤグラム (SRA) 278
ブロック分割指定ファイル。HINFO ファイルを参照
同時スイッチング 263
MAXCHG コマンド (PRESRA) 216
MAXCHG コマンド (TRACEGEN) 367
ほ
同時スイッチングリスト (SRA) 273
ホールドマージン 264
トグルチェック。活性化検査を参照
ホールド時間 263, 264
ドライブチェック 77, 335, 340
HOLD コマンド (PRESRA) 219
ドライブチェック違反リスト。TOGLST ファイルを参
HOLD コマンド (SRA) 263
照
HOLD コマンド (TRACEGEN) 369
ドライブチェック結果ヒストグラム 110
SHMASK コマンド (PRESRA) 220
ドライブチェック結果リストファイル。DRVLIST ファ
SHMASK コマンド (TRACEGEN) 371
イルを参照
ホールド時間違反リスト (SRA) 274
ドライブチェック結果一覧 111
な
め
内部スキャン回路
ネットリストの変換 168
メガセルモデル 128, 135
生成フロー 139
設定 130
ね
ろ
ネットウエイト 27, 46
ロウ番号指定ファイル。ROW ファイルを参照
ネットクロスリファレンス 107
ネットリスト指定ファイル (TNC) 322, 323
ネットリスト指定ファイル (TVHDL) 490, 491
ネットワークサマリ 101
ネットワークサマリファイル。VERSUM ファイルを参
照
は
パターンアドレス 460
パターンコントロールファイル。DRIVE ファイルを参
照
パッドクロスリファレンス 106
パラレルロードシミュレーション 400
入力ファイル 403
パラレルロードファイル。PARA ファイルを参照
viii
サインオフシステム R1.9.1 コマンドリファレンス
デザインセンター
このマニュアルをより使いやすいものとするために、皆様からの貴重なご意見やご提案を
お待ちしてます。また、内容について分かりにくい部分がありましたら、下記のデザインセ
ンターまで、お気軽にお問い合わせください。
•
川崎 LSI デザインセンター
〒 210-8520 川崎市幸区堀川町 580-1
株式会社東芝
半導体システム技術センター
システム LSI 事業部 システム LSI 統括第一部
東芝マイクロエレクトロニクス株式会社
第一 ASIC 応用技術部
TEL 044-548-2314
•
大阪 LSI デザインセンター
〒 658-0015 神戸市東灘区本山南町 8-6-26
東芝マイクロエレクトロニクス株式会社
第一 ASIC 応用技術部
TEL 078-435-3082
•
名古屋 LSI デザインセンター
〒 451-0064 名古屋市西区名西 2-33-10 ( 東芝名古屋工場 )
東芝マイクロエレクトロニクス株式会社
第二 ASIC 応用技術部
TEL 052-528-1446
サインオフシステム R1.9.1 コマンドリファレンス
発行年月日
発 行
2000 年 2 月
株式会社 東芝 セミコンダクター社
発行協力
編 集
東芝ドキュメンツ株式会社
システム LSI 統括第二部
04450E4FB ( 旧版 04450E4FA)
定価 9,300 円
2000.2 (SO) 0.2k
Fly UP