...

8.フロントエンドプロセス

by user

on
Category: Documents
23

views

Report

Comments

Transcript

8.フロントエンドプロセス
8.フロントエンドプロセス
8-1 スコープ
FEP(フロントエンドプロセス)のロードマップは、メモリ及 びロジック製 品 のどちらにも適 した、高 性 能
トランジスタと DRAM キャパシタの構 造 に焦 点 を絞 って作 成 した。このロードマップで意 図 しているの
は、集 積 回 路 のウェーハ製 造 工 程 のうち、FEOL(front end of line)の鍵 となる技 術 領 域 の広 範 囲
な要 求 項 目 と 、その解 決 可 能 候 補 技 術 を定 義 する ことである。その ため、このロードマップは装 置 、
材 料 、プロセスに関 し、最 初 のシリコンウェーハからシリサイド化 工 程 までを含 んでいる。具 体 的 には
次 の技 術 領 域 を取 り扱 った。すなわち、「スターティングマテリアル」、「表 面 処 理 」、「 熱 処 理 /薄 膜 プ
ロセス」および「ドーピング」、それに「プラズマエッチング」である。
微 細 化 していく上 で必 要 な技 術 と解 決 可 能 候 補 技 術 については、それぞれの技 術 領 域 に提 示 し
てある。また、必 要 な技 術 の表 の目 標 及 び技 術 動 向 は、特 に記 されていない限 りモデルを基 にしたも
のである。なお、解 決 案 はあくまで知 られている可 能 性 のある解 決 策 の例 であって、必 ずしも唯 一 の
アプローチではない。革 新 的 で新 規 な解 決 策 が求 められる。
FEP に関 連 した話 題 は、国 際 半 導 体 技 術 ロードマップ(ITRS)の他 の部 分 にも取 り上 げられてい
る。プラズマエッチング装 置 関 連 とトレンチ分 離 用 化 学 機 械 的 研 磨 (CMP)装 置 関 連 の懸 案 事 項 は、
BEOL(back end of line)の装 置 のものと重 複 するため、FEP よりもむしろ配 線 の章 に記 述 がある。
FEP のロードマップは、FEOL のデバイス形 成 に関 連 したプラズマエッチングと CMP 工 程 の将 来 の
要 求 事 項 のみならず、製 造 工 程 の懸 案 事 項 も含 んでいる。FEP で他 の分 野 と関 連 する要 求 事 項 は、
「 欠 陥 の 低 減 ( Defect Reduction ) 」 、 「 測 定 技 術 ( Metrology ) 」 、 「 環 境 、 安 全 、 及 び 健 康
( Environment, Safety, & Health ) 」 、 そ れ に 「 モ デ ル 化 お よ び シ ミ ュ レ ー シ ョ ン ( Modeling &
Simulation)」の部 分 で言 及 されている。
A:ゲートスタ ック
B:ソース/ ドレイン―エクステンション
C:素 子 分 離
D:チャネル
E:ウェル
F:キャパシタ( 積 層 /トレンチ)
G:スターティングマテリアル
H:コンタクト
図 16 CMOS トランジスタ、コンタクト、及 び DRAM の構 造
国際半導体技術ロードマップ 1999 年版
8-2 大 チャレンジ
8-2-1 大 いなる挑 戦 ― シリコン酸 化 膜 の時 代 を越 えて
半 導 体 産 業 は、素 子 を微 細 化 することを主 要 な手 段 として、ムーア(Moore)の法 則 で数 値 が示 さ
れている通 りに、前 例 のないほど生 産 量 が増 大 し、デバイス特 性 が向 上 してきた。歴 史 を振 り返 ると、
これは新 しい光 リソグラフィ技 術 やマスク、フォトレジスト材 料 の開 発 により進 められてきたと言 える。と
ころが今 ここに、克 服 するためには極 めて多 くの人 材 や 財 源 の投 入 を要 する、「新 たな課 題 」が水 平
線 から顔 を覗 かせてきた。もし解 決 されなければ、これまでの歴 史 的 な進 展 が止 まってしまうかもしれ
ない。その課 題 とは、CMOS デバイスの生 産 の初 期 からずっと大 黒 柱 であった、従 来 のシリコン酸 化
膜 /デュアルドープポリシリコンのゲートスタックプロセスを別 のものに置 き換 えることである。新 しい
CMOS ゲートスタックプロセスには、コストが安 く、厚 さがナノメートルのオーダーの高 誘 電 率 ( highk)ゲート絶 縁 膜 とデュアルメタルゲート電 極 を低 温 プロセスで統 合 することが求 められるであろう。この
新 しいプロセスの生 産 展 開 が必 要 となるのは今 から 5 年 以 内 と予 想 される。もっとも、この規 模 のプロ
セス変 更 を生 産 に導 入 するには、これまでの例 から見 て 10 年 以 上 かかるというのが相 場 であるが。
現 時 点 では、この新 しいプロセス開 発 は何 とかなりそうだと考 え られており、それには少 なからず 根
拠 がある。研 究 者 側 もこの課 題 に対 し成 果 を出 している。すなわち、high-k 絶 縁 膜 とメタルゲート電
極 の有 望 な材 料 が多 く示 され、電 界 効 果 トランジスタの試 作 もいくつか見 られている。しかしながら、
生 産 に実 際 に応 用 するとなると話 は別 である。生 産 適 用 レベルにするまでには、多 くの大 チャレンジ
を良 く理 解 し、解 決 することが不 可 欠 で、それには極 めて多 くの人 的 ・経 済 的 資 源 の投 入 が必 要 で
ある。
それらの課 題 とは、
a) ゲート絶 縁 膜 材 料 の選 択 肢 を絞 り、最 終 候 補 を決 めること。
b) ゲート電 極 材 料 の選 択 肢 を絞 り、最 終 候 補 を決 めること。
c) プロセスの特 性 を明 確 にする測 定 技 術 を開 発 すること。
d) ゲート絶 縁 膜 自 体 と 、シリコン基 板 との界 面 及 びゲート 電 極 との界 面 の、電 気 的 特 性 及 び信 頼
性 特 性 を明 確 にするテスト装 置 と手 法 を開 発 すること。
e) ゲート絶 縁 膜 の積 層 構 造 と 、シリコン基 板 側 と 電 極 側 それぞれの良 好 な界 面 形 成 のためには1
原 子 単 位 の制 御 が必 須 と さているが、それを信 頼 性 と再 現 性 が高 く、かつ低 価 格 で実 現 するの
に必 要 な超 高 レベルの雰 囲 気 制 御 ができる製 造 装 置 を開 発 すること。
f) トランジスタの特 性 や信 頼 性 に及 ぼす、起 こり得 る問 題 をはっきりさせ、解 明 し、解 決 すること。
および、
g) 前 述 の異 質 な材 料 とプロセスをコストが安 く、信 頼 性 の高 い CMOS の製 造 プロセスにまとめ上 げ
ること。
等 である。
なお、ゲート構 造 を変 えるという大 いなる挑 戦 は、これらの大 チャレンジに対 する最 終 的 な解 ではな
国際半導体技術ロードマップ 1999 年版
く、ITRS のスケジュール達 成 に必 要 な産 業 界 と大 学 の適 切 な人 的 ・経 済 的 資 源 を結 集 する役 目 を
果 たすものである。
素 子 の微 細 化 を続 けて行 くことにより、もう一 つ重 要 な結 果 がもたらされる。それはドーパント濃 度 が
平 衡 状 態 で許 される限 界 を越 えており、かつ急 峻 にドープされた超 浅 接 合 を形 成 するのに関 連 した
大 チャレンジが生 ずることである。この課 題 を解 決 するには新 しい技 術 や装 置 の開 発 が必 要 である。
その新 技 術 と前 述 のゲートスタックを一 つにまとめ上 げるのは、とりわけ困 難 なことである。というのも、
high-k ゲートスタックプロセスの温 度 には制 限 があると予 想 されているためである。付 け加 えると、さら
に接 合 を浅 くして行 くと恐 らくコンタクト構 造 は何 らかのエレベーテッド構 造 に移 行 していくが、その場
合 も high-k デュアルメタルゲートスタックと統 合 される必 要 がある。
今 回 のロードマップで取 り上 げた期 間 の終 わりまでに、現 在 実 現 している MOSFET の構 造 では、
ITRS で述 べられているトランジスタ特 性 をもはや実 現 できないという事 態 に直 面 することになるかもし
れない。従 来 の CMOS のスケーリングが成 り立 たなくなった場 合 は、当 然 新 しい素 子 構 造 が必 要 と
なるであろう。だがその構 造 では、電 荷 が漏 れないようにし、かつ損 失 なく電 流 を流 すために、high-k
絶 縁 膜 と 導 電 材 料 に対 し、従 来 と 同 じか、あるいはもっと厳 しい 要 求 があると思 われる。これ らロード
マップ終 了 時 期 の要 求 に答 えるためには、創 意 工 夫 のある新 しい素 子 の構 造 と概 念 が求 められてい
る。
DRAM の使 用 量 が急 増 したのは、ビット単 価 の急 激 な低 下 によるもので、その一 因 はセルの大 きさ
を DRAM ハーフピッチの2乗 の縮 小 率 より、もっと大 きな割 合 で小 さく出 来 たことである。その結 果 、
これまで1チップに乗 っているビット数 は2年 毎 に倍 となってきた。このような積 極 的 なスケーリングが出
来 たのは、チップ面 積 を効 率 的 に使 ったセルのキャパシタ配 置 の工 夫 によるものである。この期 間 を
通 して、キャパ シタの絶 縁 膜 はずっとシリコン酸 化 膜 あるいは窒 化 膜 の派 生 膜 であった 。しかし、さら
にこの積 極 的 なスケーリングを進 めるとなると、今 までの絶 縁 膜 で得 られる比 誘 電 率 では限 界 があり、
もっと大 きな比 誘 電 率 の材 料 を替 わりに採 用 することが必 要 である。この変 更 を実 現 するには、合 わ
せてキャパシタ電 極 の変 更 も必 要 になる。この目 的 のための high-k 膜 と電 極 材 料 に、MOSFET の
ゲート用 と同 じものが選 ばれるとは考 えにくい。なぜなら、高 い比 誘 電 率 が必 要 という共 通 項 は別 とし
て、他 の要 求 事 項 は異 なっているからである。従 って、現 行 のスタックキャパシタかトレンチキャパシタ
構 造 を実 現 する新 しい製 造 プロセスが必 要 になると思 われる。その新 プロセスでは、将 来 の CMOS
ゲートスタックの検 討 で出 てくるのと同 様 な複 雑 さで、同 様 な範 囲 の問 題 点 が生 じ、また生 産 に応 用
するためのスケジュールも極 めて似 通 っている。それ故 、この変 更 に対 応 するためには、キャパシタと
ゲートでは同 じ分 野 の産 業 界 の人 的 ・経 済 的 資 源 が必 要 であろうと考 えられる。
以 上 述 べた課 題 の特 性 は表 31に詳 細 に示 してある。また、本 章 のこの後 の文 中 、図 表 にも同 様 に
示 してある。
国際半導体技術ロードマップ 1999 年版
表 31 フロントエンドプロセスの大 チャレンジ
国際半導体技術ロードマップ 1999 年版
表 31 フロントエンドプロセスの大 チャレンジ(続 き)
8-3 技 術 的 要 求 と解 決 策 候 補
Materials )
8-3-1 スターティングマテリアル(Starting
8-3-1 スターティングマテリアル(
現 在 スターティングマテリアルとしては一 般 には、チョコラルスキー(CZ)法 によるポリッシュウェーハ
かエピタキシャルウェーハのどちらかが選 択 され使 われている。130nm 以 下 の世 代 では silicon-oninsulator( SOI) ウェーハがニッチな技 術 以 上 に注 目 され始 めるかも知 れない。他 のスターティング
マテリアル候 補 としては表 面 近 傍 の特 性 を改 善 した水 素 処 理 ポリッシュウェーハや特 殊 な成 長 条 件
でグローイン欠 陥 を低 減 したウェーハが挙 げられる。メモリ製 品 は一 般 的 にコストの安 い CZ ポリッシュ
ウェーハで製 造 される。一 方 ロジックICは一 般 により値 段 の高 いエピタキシャルウェーハで製 造 される。
これはIC製 造 の工 程 での安 定 性 (例 えばゲート耐 圧 の安 定 性 )や、ラッチアップ低 減 効 果 が期 待 さ
れるためである。しかし後 者 の理 由 はシャロートレンチ分 離 (STI)の採 用 によりそれほど問 題 にならな
くなるかも知 れない。エピタキシャルウェーハとポリッシュウェーハの価 格 比 の減 少 は、ロジック対 応 の
エピタキシャルウェーハの使 用 が継 続 されるのには好 ましい方 向 である。SOI も含 め、可 能 性 のある
スターティングマテリアルが色 々ある状 態 が当 分 続 くように思 われる。そしてこれらについては図 17に、
解 決 策 の候 補 技 術 として示 してある。
表 32a と 32b はシッピングボックスから出 した直 後 のウェーハの、重 要 な特 性 についての目 標 値 をリ
ストアップしてある。これらの特 性 は、一 般 的 なウェーハの特 性 と、ポリッシュウェーハ 、エピタキシャル
ウェーハ、SOI ウェーハに対 応 した固 有 のパラメータからなっている。これらの値 は、各 々のパラメータ
が、チップの歩 留 低 下 に対 し、1%以 上 は寄 与 しないと考 えて選 ばれている。表 中 の値 は、モデルベ
国際半導体技術ロードマップ 1999 年版
ースの解 析 から求 められている。これらの値 は例 えばチップ当 たりのビット数 、あるいはトランジスタ 数
やウェーハサイズに対 し、クリティカルディメンジョン(CD)を考 慮 して求 めた。これらの値 は基 本 として
いるモデルが信 頼 できるとした値 であるが、モデルに関 してはまだまだ問 題 がある。
ここで決 められた要 求 値 は、ウェーハ製 造 でのバラツキによる分 布 は考 慮 していない。ウェーハ技 術
のバラツキは 2 種 類 の分 布 がよく見 られる。一 つは平 均 値 を中 心 にして対 称 に分 布 する正 規 分 布 で、
例 えばウェーハの厚 さ分 布 はこちらである。もう一 つは、値 がゼロを下 回 らない(zero-bounded)パラ
メータが従 う分 布 である。例 えば 、フラットネ ス、パーティクル密 度 、表 面 金 属 濃 度 がこちらに属 し、こ
れらの分 布 は一 般 に対 数 正 規 分 布 で表 される。対 数 正 規 分 布 は非 対 称 性 が高 く、値 の大 きい方 で
長 い裾 を引 く。
表 32a スターティングマテリアルの技 術 的 要 求 ―近 い将 来
国際半導体技術ロードマップ 1999 年版
表 32a スターティングマテリアルの技 術 的 要 求 ―近 い将 来 (続 き)
*パラメータは限 界 値 を定 義 している 。歩 留 については、独 立 であるとしての予 測 値 であり、数 学 的
にあるいは実 験 的 に 99%の限 界 値 としてモデル化 してある。一 つ以 上 のパラメータが同 時 に重 なるこ
とは希 であるとしている。ある与 えられた ウェーハは、一 般 的 には、同 時 に一 つ以 上 の限 界 値 を示 さ
ないであろう。他 のパラメータは大 抵 は中 央 値 の近 傍 の値 をとるだろう。それ故 にすべてのパラメータ
に対 する総 合 歩 留 は少 なくとも 99%と言 える。
**計 測 法 とウェーハ製 造 への要 求 の大 きなギャップは 200mm について完 了 しておくべきである。
特 に 180nm と 130nm 世 代 は 300mm が遅 れそうなので、200mm はなお 130nm 代 では主 流 であ
るであろうから。
***200mm が主 たるウェーハ径 であるが、数 値 は 300mm に対 するものである
国際半導体技術ロードマップ 1999 年版
表 32b スターティングマテリアルの技 術 的 要 求 ―遠 い将 来
国際半導体技術ロードマップ 1999 年版
表 32b スターティングマテリアルの技 術 的 要 求 ―遠 い将 来 (続 き)
*パラメータは限 界 値 を定 義 している 。歩 留 については、独 立 であるとしての予 測 値 であり、数 学 的
にあるいは実 験 的 に 99%の限 界 値 としてモデル化 してある。一 つ以 上 のパラメータが同 時 に重 なるこ
とは希 であるとしている。ある与 えられたウェーハは一 般 的 には同 時 に一 つ以 上 の限 界 値 を示 さない
であろう。他 のパラメータは大 抵 は中 央 値 の近 傍 の値 をとるだろう。それ故 にすべてのパラメータに対
する総 合 歩 留 は少 なくとも 99%と言 える。
表 32a、32b のスターティングマテリアルに対 する要 求 の注 釈
[A]
機 物 /ポリマーは 1 分 子 層 のおおよそ 0.1 と想 定 、< 1×10 1 4 C at/cm 2
[B]
表 面 ラフネスは全 ての CD 世 代 に対 して<0.12nm(RMS)である。;装 置 の選 択 と目 標 値 と空
間 周 波 数 領 域 (スキャンサイズ)は適 用 目 的 を基 に選 ばれる。パワースペクトル(密 度 )解 析 は
装 置 で可 能 な全 ての領 域 で行 うことを推 奨 する。
[C]
表 面 パーティクルあるいは結 晶 起 因 ピット(COP)のサイズは=K 1 (CD)で示 され K 1 =0.5 であ
る。
[D]
表 面 パーティクルは Y=exp[-DpRpTA(CD)2](6)の式 で歩 留 99%で示 されており、Rp=0.2、
T はテクノロジー世 代 での1チップ当 たりのトランジスタ数 あるいはビット数 、A は DRAM のセル
エリアファクターであり技 術 世 代 の関 数 である。表 面 処 理 ではパーティクルは 50%のゲート 前
洗 浄 効 率 を仮 定 しており、パーティクル数 はこれに従 って 2 倍 の値 に増 やしてある。この結 果 と
して、表 33a において、表 面 処 理 で示 された値 の 2 倍 の数 値 となっている。MPU に対 しては
類 似 したような A の値 は利 用 できないので MPU に対 するパーティクルは DRAM に対 しての
値 と等 しいとした。
[E]
金 属 は、実 験 をもとにして Y=exp[-DMRMTA(CD)2](6)の式 で歩 留 99%になるように示 さ
れており、RM=0.2、T はテクノロジー世 代 での1チップ当 たりのトランジスタ数 あるいはビット数 、
A は DRAM の セ ル エ リ ア フ ァ ク タ ー で あ り 技 術 世 代 の 関 数 で あ る 。 DM=K2(M)3exp [ 国際半導体技術ロードマップ 1999 年版
To/0.7]であり K2=1.854×10-29cm4、To は量 子 効 果 とポリ電 極 の空 乏 効 果 で補 正 した等
価 酸 化 膜 厚 であり、MIS DRAM 構 造 を基 本 にした技 術 世 代 毎 にnm単 位 で示 されている。こ
のモデルに組 込 まれている実 験 データは、以 前 に出 版 されたものをベースにし拡 張 した。(7)
表 面 処 理 において、金 属 についてはゲート前 洗 浄 での 50%の洗 浄 効 率 を仮 定 しているので、
従 って金 属 値 は 2 倍 の値 に増 やされている。この結 果 として、表 33 において、面 処 理 での値 と
示 された値 の 2 倍 の数 値 となっている。表 に示 してある値 は次 の金 属 の各 々に対 する限 界 値
である。(Ca, Co, Cu, Cr, Fe, K, Mo, Mn, Na, Ni)
[F]
サイトフラットネスの測 定 は、最 先 端 を適 用 する時 に使 用 される露 光 装 置 の方 式 に合 致 してい
るべきである。180nm の技 術 に対 しては、正 方 形 のフィールド(通 常 は 22×22mm)のフルフィ
ールドのステッパーが使 われるだろう。そして SFQR が適 切 な計 測 基 準 である。130nm 技 術 世
代 に対 しては、光 学 的 な露 光 技 術 の終 焉 まで、長 方 形 のフィールド(通 常 は 25mm×32mm)
を持 ったスキャンタイプが用 いられるだろう。そして SFSR が適 切 な計 測 基 準 である。いずれの
ケースにおいても、計 測 基 準 値 は緻 密 なライン(DRAM の 1/2 ピッチ)に対 して、CD の値 にほ
ぼ等 しいだろう。パー シャルサ イトは含 まれているべきである。フラットネスの測 定 法 は、各 々の
技 術 世 代 に対 して関 連 の ある幾 何 学 的 な形 状 を把 握 する ために 十 分 な空 間 分 解 能 を要 求
するということを明 記 しておく 。ワープは全 ての技 術 世 代 に対 して 50μm以 下 であるべきであ
る。
[G]
IC に対 する要 求 を基 にした中 央 値 のレンジすなわち±許 容 誤 差 は、中 央 値 の周 りの最 大 値
と最 小 値 の範 囲 である。バルク微 小 欠 陥 (BMD)は IC 工 程 を経 た後 、内 部 ゲッタリングがある
ポリ ッシュ ウェ ー ハで > 1× 108/cm3 で あ りゲ ッ タリ ン グの ない も ので < 1× 107/cm3 であ る 。
IOC’88 酸 素 濃 度 値 は ASTM 値 に 0.65 を乗 じることによって得 られた値 である。
[H]
COP は Y=exp[-DCRCTA(CD)2] ( 6 ) の 式 で 99% の 歩 留 に な る よ う に 決 め た 。 こ の 式 で
RC=0.1、T はテクノ ロジー世 代 で の 1 チップ当 た りのトランジスタ数 あるいはビット数 、 A は
DRAM のセルエリアファクターであり技 術 世 代 の関 数 である。MPU に対 しては類 似 したような
A の値 は利 用 できないので MPU に対 する COP の値 は DRAM に対 しての値 と等 しいとした。
[I]
サイズ D の裏 面 パーティクルによる表 面 の高 さの上 昇 は、厚 さ T の裏 面 の膜 がついておりウェ
ーハの厚 さが W の時 、[(xD+xT+W)-(T+W)]で表 される。この式 は[(xD)-(1-x)T ]となる。こ
こで x=0.6 はウェーハのチャッキング圧 力 によりパーティクルと裏 面 膜 の圧 縮 (率 )である。表 面
の上 昇 が 2(CD)になると 100%リソグラフとして焼 き付 け不 良 になると仮 定 して、裏 面 パーティク
ルサイズは次 のように表 される。D=[(2/0.6)(CD)+(0.4/0.6)(T)]、CD と T は nm で表 示 されて
いる。この表 では、T は 100nm に固 定 してある。
[J]
裏 面 パ ー テ ィ ク ル は Y=exp[-DpRpAEFE] ( 1 ) の 式 で 99% の 歩 留 に な る よ う に 決 め た 。
Rp=1.0、AEFE=ACHIP×0.03×0.8 であり、チャックに接 触 しているチップ面 積 はたったの
3%であることに対 応 した 0.03 と有 効 チップ面 積 の 80%に対 応 した 0.8 が裏 面 パーティクルに
よる表 面 のデフォーカス効 果 によって劣 化 する。表 面 処 理 ではパーティクルは 50%のゲート前
洗 浄 効 率 を仮 定 しており、パーティクル数 はこれに従 って 2 倍 の値 に増 やされている。
[K]
Fe はτ r と関 係 が深 い( [M]参 照 )。他 のバルク中 の金 属 もまた重 要 である。バルク Fe 濃 度
(at/cm 3 )はウェーハの厚 さで換 算 して表 面 濃 度 (at/cm 2 )に変 換 することは出 来 ない。
国際半導体技術ロードマップ 1999 年版
[L]
OSF 密 度 は実 験 に基 づいて K3(CD)1.42 で表 される。CD は nm 単 位 で示 され、K3=2.75
×10-3 (8) である。1100℃ 1時 間 スチーム酸 化 し酸 化 膜 を除 去 しエッチングして調 べた。n
型 のシリコンは OSF を制 御 するのがより困 難 である。
[M]
再 結 合 ライフタイムはτr>2(L2)/Dn で示 される。ここで L はマイノリティキャリアの拡 散 長 であ
り Dn は 27℃でのマイノリティキャリアの拡 散 係 数 である(9)。拡 散 長 はウェーハ厚 に等 しいとし、
安 全 係 数 2 が使 われている。SPV、PCD のような技 術 では、表 面 効 果 を制 御 、安 定 化 、そし
て保 護 するための適 当 な技 術 が要 求 される。サンプルでは酸 素 析 出 は無 く、抵 抗 率 は 5-20Ω
-cm を推 奨 する。
[N]
発 生 ライフタイムはτg=ni/G、(10) で表 され、ni は真 性 キャリア濃 度 (11,12)、G は単 位
体 積 当 た り 、 単 位 時 間 当 た り の 発 生 速 度 で あ る 。 τ g=(niqW)(Ilimit/ACRI)-1>50 μ s は
DRAM の ACRI=2.5 μ m 2 ( 1 3 ) に 対 し 27 ℃ で 、 Ilimit<10-16A/bit ( 100 ℃ で <1013A/bit)の接 合 リーク電 流 を保 証 している。W=0.5μm、Ilimit は CD の世 代 と共 に小 さくな
る。サブスレショールドデバイスリーク電 流 、ゲート絶 縁 膜 リーク電 流 、拡 散 電 流 は 100℃で接
合 リーク電 流 よりも小 さいと仮 定 している。
[O]
ヒロック、マウンドといった他 のエピタキシャル欠 陥 は同 様 に考 慮 されるべきである。しか し適 当
な歩 留 モデルは利 用 できない。
[P]
目 標 値 の 範 囲 は中 央 測 定 値 対 して ウェ ー ハ 内 で 中 央 値 か らの 最 大 の プ ラス ま た はマ イナ ス
の%偏 差 で示 した許 容 値 を付 けて表 示 されている。データは p/p-、p/p+、p/p++について
適 用 できる。フラットゾーンは 0.8 エピ厚 としている。
[Q]
大 面 積 エ ピ タ キ シ ャ ル 欠 陥 は 99% 歩 留 で モ デ ル 化 さ れ て お り 、 式 は Y=exp[DLADRLADACHIP](1)である。RLAD=1、ACHP は DRAM、MPU に対 して適 切 な値 が
適 用 される。
[R]
エピタキシャル積 層 欠 陥 は 99%歩 留 でモデル化 されており、式 は Y=exp[=DSFRSFACHP]
(1)である。RSF=0.5、ACHP は DRAM、MPU に対 して適 切 な値 が適 用 される。
[S]
目 標 値 の範 囲 は、中 央 測 定 値 に対 して、ウェーハ内 で中 央 値 からの最 大 のプラスまたはマイ
ナスの%偏 差 で示 した許 容 値 を付 けて表 示 されている。最 終 的 なシリコンの厚 さはデバ イス製
造 工 程 で消 費 されるために投 入 した材 料 よりも薄 い。現 在 示 されている値 は部 分 空 乏 型 と 完
全 空 乏 型 の両 方 に使 われるもので、現 時 点 で最 も良 いと 考 えられるデータである。完 全 空 乏
型 の基 板 に対 しては、シリコン層 と埋 込 酸 化 膜 (BOX)の厚 さは、ほぼ同 じ値 に分 割 されるだろ
う。
[T]
目 標 値 の範 囲 は中 央 測 定 値 に対 してウェーハ内 で中 央 値 からの最 大 のプラスまたはマイナス
の%偏 差 で示 した許 容 値 を付 けて表 示 されている。現 在 示 されている値 は部 分 空 乏 型 と完 全
空 乏 型 の両 方 に使 われるもので、現 時 点 で最 も良 いと考 え られるデータである。トップシリコン
層 と BOX の界 面 電 荷 は<1011/cm2 である。完 全 空 乏 型 の基 板 に対 しては、シリコン層 と埋
込 酸 化 膜 (BOX)の厚 さはほぼ同 じ値 に分 割 されるだろう。
[U]
99%歩 留 の BOX 欠 陥 は Y=exp[-DBOXRBOXTβ(CD)2δ] (1)で表 され、DBOX は BOX
欠 陥 密 度 (主 としてピンホール)、RBOX=0.2(現 在 の最 良 の見 積 もり値 )、βは DRAM では1,
国際半導体技術ロードマップ 1999 年版
MPU では 10、δ=6 単 位 (ゲート、ソース、ドレイン(LDD 領 域 を含 む))である。
[V]
99%歩 留 の Inclusion 欠 陥 は、Y=exp[-DINCRINCTβ(CD)2δ](1)で表 され、DINC は
Inclusion 欠 陥 密 度 、RINC=1(現 在 の最 良 の見 積 もり値 )、βは DRAM では 1,MPU では
10, δ=1 単 位 (ゲート)である。評 価 は欠 陥 をデコレートするために,HFエッチング液 (14,15,
16)を用 いてエッチングし光 学 的 に計 数 を行 った。Inclusion の原 因 は,COP、金 属 シリサイド、
トップシリコン層 の局 在 する酸 化 膜 島 などを含 んでいる。これらの含 有 物 はまた局 在 化 した光
散 乱 体 (LLS)計 測 に拠 っても検 出 されるかも知 れない。(15,16,17)
[W]
バルクデバイスとほぼ等 しい歩 留 が貫 通 転 位 (DTD) 2×106/cm2 に於 いて達 成 された。キラ
ー率 は、信 頼 できる計 算 では十 分 に求 められていない。このためには実 験 的 な歩 留 と DTD の
関 係 が得 られなければならない。これからの技 術 によって DTD は少 なくなる方 向 へ推 移 する
事 が期 待 できる。
国際半導体技術ロードマップ 1999 年版
図 17 スターティングマテリアルの解 決 策 候 補
理 想 的 な方 法 としては、スターティングマテリアル起 因 の歩 留 低 下 が、全 体 で1%を越 えないように、
欠 陥 の種 類 別 に歩 留 低 下 を割 り当 てるべきである。特 定 の欠 陥 による歩 留 損 失 は、(1)与 えられた
パラメータ値 (適 切 な歩 留 モデルで確 証 )で決 まる不 良 率 に (2)そのパラメータを持 つウェーハの割
合 (対 数 正 規 分 布 関 数 で確 証 )を掛 けたものを積 分 すると得 られる 。この近 似 法 を用 いて決 めた受
け入 れ可 能 な製 品 の分 布 は、材 料 の受 け入 れ基 準 としても使 うことが出 来 る。この方 法 は許 容 できる
パラメータの表 を与 えるのではなく、個 々のウェーハメーカの製 品 が持 つパラメータ値 の分 布 に収 まる
ように仕 様 を合 わせ込 むものである。
そのようなアプローチをするためには、次 の三 つの進 展 が必 要 である。第 1は現 在 の歩 留 モデルが
大 部 分 のパラメータについて確 認 されなければならない。第 2 はパラメータに対 する生 産 者 側 の分 布
を明 確 にしなければならない。第 3 は材 料 の受 け入 れは、IC メーカによる少 量 のサンプルテストよりは
むしろこれら生 産 者 の製 品 分 布 を基 にした受 け入 れ基 準 に修 正 しなければならない。これ らの課 題
国際半導体技術ロードマップ 1999 年版
はまた、図 17の解 決 策 の候 補 技 術 に示 されている。それまでの間 は、最 も役 に立 つ情 報 を基 にした
歩 留 モデルが使 われている。そして要 求 値 は、そのパラメータに対 して 99%歩 留 が確 保 されるという
事 を基 本 に、限 界 値 が決 められている。どの各 々のウェーハも、考 慮 しなければならない特 定 のパラ
メータを除 いて、他 のどのパラメータも歩 留 低 下 には大 きく寄 与 しないという事 を、更 に仮 定 している。
この実 験 的 な近 似 は、モデル化 されたパラメータの分 布 を持 っているとしての理 想 的 な方 法 論 から得
られた限 界 値 と、結 果 的 にはほぼ等 しい値 であることが示 されている。
将 来 、多 くのパラメータに対 して受 け入 れ可 能 な値 が、検 出 限 界 あるいは分 解 能 の限 界 に近 づく
ので、ウェーハ製 造 メーカとIC製 造 メーカのますますの共 同 作 業 が、受 け入 れ可 能 な製 品 分 布 を確
立 し、維 持 するために重 要 となるであろう。材 料 特 性 と関 係 において、IC歩 留 モデルの更 なる開 発 が
要 求 されている。そこで上 に示 された理 想 的 な方 法 論 は、受 け入 れ可 能 な製 品 の分 布 を確 立 するた
めに道 具 となることが出 来 る。作 りうる最 高 品 質 のウェーハと、COO の観 点 から、検 出 限 界 までシリコ
ンに対 する要 求 を厳 しくしてゆくのではなく、高 IC 歩 留 達 成 に問 題 のない範 囲 で、いくらかゆるめの
値 とするというバランスが基 本 的 に重 要 である。 それ故 にスターティングウェーハの表 面 金 属 とパー
ティクルの推 移 (トレンド)は表 面 処 理 で 挙 げてあるゲート前 洗 浄 の値 よ りも、厳 しくないものになって
いる(表 33a、33b 参 照 )。これは初 期 のIC製 造 工 程 で、最 低 洗 浄 効 率 50%で除 去 できると仮 定 し
ているからである。ウェーハ製 造 メーカで作 られた表 面 の化 学 的 性 質 (親 水 性 vs. 疎 水 性 )と出 荷 に
使 うウェーハキャリアの相 互 作 用 は、伴 に、ウェーハ表 面 の不 純 物 やパーティクルのその後 の吸 着 の
制 御 において重 要 である。
ウェーハ表 面 の物 理 的 構 造 は重 要 な関 心 事 として浮 かび上 がってきた。ポリッシュウェーハとエピタ
キシャルウェーハは、高 IC歩 留 を達 成 するために制 御 しなければならない欠 陥 を、有 している。ポリッ
シュウェーハの重 要 な欠 陥 としては、有 機 物 のような表 面 の化 学 的 な残 留 物 、パーティクルと結 晶 起
因 ピット(COPs)のようなグローイン 微 小 欠 陥 の両 方 を含 んでいる。エピタキシャル積 層 欠 陥 や 他 の
大 面 積 欠 陥 のような構 造 欠 陥 はエピタキシャルウェーハにおいては制 御 されなければならない。材 料
に対 する要 求 は、表 面 欠 陥 の特 別 なタイプ毎 に示 されている。表 面 欠 陥 の除 去 と抑 制 は、シリコンウ
ェーハ技 術 での、現 在 最 大 の挑 戦 課 題 である。これらの欠 陥 を計 数 したりサイズを測 定 したり成 分 や
形 状 を測 定 するレーザスキャニング技 術 や他 の装 置 の開 発 は重 要 な計 測 上 の挑 戦 事 項 である。全
欠 陥 数 は色 々な要 素 欠 陥 の合 計 である事 を明 記 しておくことは重 要 である。例 えば、パーティクルと
COP の両 方 がポリシュウェーハの全 表 面 欠 陥 密 度 を考 える時 には考 慮 されなければならない。 この
章 は、これらの欠 陥 をモニターするあるいは区 別 する方 法 の有 用 性 あるいは有 効 性 については考 慮
していない。
付 け加 えて、ウェーハの裏 面 については、パーティクル汚 染 の低 減 、ウェーハの平 坦 度 の改 善 、あ
るいはウェーハ強 度 の増 進 のためより光 沢 度 が高 くなる 。ポリッシュされた裏 面 はミクロな汚 染 や取 り
扱 いによるスクラッチが、より見 え易 く なる。皮 肉 なことに、よりクリーンな表 面 が、実 際 にはより汚 れ て
いるように見 えるかも知 れない。もっと厳 密 なロ ボットハンドラー基 準 が 暗 黙 のうちに、裏 面 のクリーン
ネスの要 求 を満 たすために必 要 とされているのかも知 れない。これまでは、裏 面 のラフネスが大 きくて
見 えていなかったが、裏 面 のパーティクルによるフラットネスの劣 化 も、考 慮 されなければならない。多
くの外 部 ゲッタリング技 術 は、またポリッシュした裏 面 の品 質 を劣 化 させるかも知 れない 。そして積 層
膜 を利 用 した外 部 ゲッタリッングでの厚 さの不 均 一 性 は、ウェーハのフラットネスを劣 化 させるかも知
国際半導体技術ロードマップ 1999 年版
れない。そのような外 部 ゲッタリング技 術 は適 切 ではないのかも知 れない。
ポリッシュウェーハ ― デバイス 形 状 の縮 小 化 が継 続 するにつれ、非 常 に低 密 度 の欠 陥 と微 小 な
表 面 特 性 が重 要 になってくる。制 御 されない SiOx 析 出 物 の形 成 は、デバイスのリーク電 流 の増 大 を
引 き起 こすことがあるので、ポリッシュウェーハでの内 部 ゲッタリングには、より大 きな注 意 が必 要 である。
析 出 量 と 均 一 性 に対 する要 求 に対 処 するためには、均 質 な内 部 ゲッタリングを行 う事 が必 要 で、厳
密 なバルク欠 陥 制 御 を必 要 とする。
結 晶 成 長 パラメータとそれに関 係 した点 欠 陥 及 びその集 合 体 と、ゲート絶 縁 膜 の完 全 性 (結 果 とし
ての D0)への関 連 性 については、非 常 に多 くの出 版 物 が出 された。このパラメータ(D0)は、いくつか
のデバイス世 代 に対 する材 料 品 質 の物 差 しとして、非 常 に有 効 であった。しかしながら 表 34a、と
34b に示 されている等 価 酸 化 膜 厚 を持 ったデバイス構 造 に対 しては、デバイスの歩 留 や性 能 と関 係
しているようには見 えない。 それ故 に D 0 は目 標 パラメータとして表 32a と 32b から削 除 した。しかし
ながら、SiO 2 より十 分 大 きな誘 電 率 をもったゲ-ト絶 縁 材 料 の使 用 開 始 に際 しては、これらの材 料 は
異 なったゲート前 およびゲート後 の表 面 清 浄 化 を必 要 とするかも知 れない事 に注 意 しておくべきであ
る。
エピタキシャルウェーハ ― エピタキシャル材 料 において、ゲート絶 縁 膜 の完 全 性 が改 善 されるの
は、残 留 研 磨 マ イクロダメー ジとグローイン微 小 欠 陥 (COP)がなくなるためである。この両 者 はポリッ
シュウェーハに見 られる。しかしながら大 面 積 欠 陥 とエピタキシャル積 層 欠 陥 の存 在 はまた、ゲート酸
化 膜 の完 全 性 を劣 化 させるので、欠 陥 は注 意 深 く制 御 しなければならない。現 在 の応 用 に対 して、
p/p + と p/p + + は引 き続 きエピタキシャル構 造 の主 流 である。2-3Ω-cm より高 いエピタキシャル層 の抵
抗 率 に対 して、裏 面 シールが p/p + + 構 造 に対 しては必 要 とされるかも知 れない。ポリッシュウェーハで
は COP 制 御 という問 題 がある。それにも関 わらず、p/p + エピタキシャルウェーハの代 替 えとしてポリッ
シュウェーハに、高 ドーズ組 込 層 を形 成 してラッチアップ対 策 とゲッタリングの機 能 を持 たせる可 能 性
が注 目 されている。P/p - の利 用 はまた先 端 的 なIC応 用 において、この材 料 の形 態 は、高 濃 度 にボロ
ンをドープした基 板 の、固 溶 度 増 大 による鉄 ゲッタリング能 力 を示 さないにも関 わらず、注 目 を引 いて
いる。これは、このゲッタリング不 足 な点 は高 濃 度 にドープした基 板 と比 較 して、システム容 量 が低 減
されるというの効 果 で相 殺 されるかも知 れないからである。
固 溶 度 増 強 ゲッタリングの欠 如 については、酸 素 の役 割 が、再 評 価 されるかもしれない。酸 素 は p +
や p + + に比 較 して p-ではよりゆっくりと析 出 することは良 く知 られている。適 切 な酸 素 濃 度 は、使 用
されるIC熱 プロセスに大 きく依 存 しているので、多 くの要 素 が最 適 な酸 素 濃 度 の選 択 において、特 に
シャロートレンチ分 離 (STI)がエピ層 厚 より大 きい場 合 においては重 要 である。
SOI ウェーハ ― SOI は、高 速 、低 消 費 電 力 の応 用 や、ソフトエラー耐 性 の向 上 、より少 ないプロ
セス工 程 数 、より小 さなチップサイズ、そしてウェーハ当 た りに要 求 されるチップ数 を達 成 するために
一 つ前 の世 代 の工 場 設 備 の利 用 といった可 能 性 を提 示 してお り、更 に、ラッチアップに対 する心 配
を不 要 にする。異 なった IC 応 用 に使 用 するためには、異 なった SOI のアプローチが必 要 であるかも
知 れない。材 料 (SOI 基 板 )評 価 による色 々な SOI ウェーハ製 造 技 術 の評 価 と、ゲッタリングによる欠
陥 制 御 とそれを用 いたデバイス特 性 (電 導 度 、組 込 酸 化 膜 ブレークダウン電 圧 、リーク電 流 )への欠
陥 の影 響 といった欠 陥 と SOI 特 性 の関 係 を明 確 にすることが基 本 的 に重 要 である。あるバルク IC の
国際半導体技術ロードマップ 1999 年版
デザインはそのまま SOI 基 板 に移 行 することができる。しかし、プロセスとマスクの再 設 計 により、更 な
る性 能 改 善 とチップサイズを縮 小 化 が出 来 る可 能 性 がある。そのためユニークなデバイスの形 態 が多
くの場 合 必 要 とされるかも知 れない。どのケースにおいてもたとえ SOI が通 常 のシリコン材 料 と比 較 し
て、IC工 業 のデバイススケーリングトレンドを越 えなくても、高 速 、低 消 費 電 力 、ソフトエラーの低 減 と
いった恩 恵 (メリット)があるので、どの技 術 世 代 においても、特 定 のある応 用 分 野 で SOI が使 用 され
る動 機 づけとなるかも知 れない。
大 口 径 ウェーハ ― 直 径 300mmmmウェーハへの転 換 は、IC 量 産 に対 する要 求 コストを達 成 す
るために必 要 となり、1999 年 にゆっくりと転 換 が始 まり、2002 から 2003 年 にピークを迎 えると予 測 さ
れる。ウェーハ、キャリア及 びファクトリープロトコルの国 際 協 力 及 び標 準 化 が、この転 換 を、費 用 効 果
があり、タイムリーに達 成 するためには依 然 として重 要 である。
費 用 効 果 のある結 晶 成 長 技 術 とウェーハの重 力 スト レスに関 連 した技 術 的 な課 題 と同 様 に、ビジ
ネス上 の課 題 が最 も大 きい 移 行 への関 心 事 である。 300mm を越 える ウェーハ直 径 の 予 想 と して 、
450mm が次 世 代 のサイズとしては適 当 であろうと考 えられている。しかしながら、技 術 世 代 交 代 の加
速 化 とそれに関 連 した経 済 的 な要 素 は、450mm ウェーハの導 入 を現 在 予 測 されているよりも遅 くす
るといった事 態 を引 き起 こすかもしれない。現 在 のウェーハ直 径 トレンドの連 続 性 からは、ほぼ 2020
年 675mm ウェーハの導 入 となり、このためには、2011 年 には研 究 の実 施 を完 了 させることが必 要 と
なる。これらのウェーハは、ほぼ 1mm の厚 さになると予 測 される。しかし、重 要 な重 力 ストレスと関 連 す
る装 置 のプラットフォームの課 題 がこれらのウェーハについて問 題 になると思 われる。
過 去 のウェーハ直 径 のトレンドの延 長 が図 17 の解 決 策 の候 補 技 術 に表 示 されている。それにもか
かわらず、450mm あるいは 675mm の直 径 のウェーハが経 済 的 に実 現 可 能 なのかは、明 確 とは言
い難 い。これらの直 径 に関 連 する技 術 的 な課 題 はまた膨 大 に有 ると思 われる。従 来 のシリコン基 板
材 料 における、エスカレートするコストを軽 減 し、費 用 効 果 のあるシリコン基 板 の製 造 を行 うためには
パラダイムシフトが必 要 とされるかもしれない。ひとつの可 能 なアプローチは費 用 効 果 のある SOI ウェ
ーハの導 入 である。他 のアプローチは、IC パッケージ寸 法 に適 合 させるために、ウェーハ体 積 の 50%
あるいはそれ以 上 を切 削 する必 要 のない、適 当 な基 板 上 にシリコン材 料 を製 造 することである。
8-3-2 表 面 処 理
他 の半 導 体 プロセス技 術 と比 べ表 面 処 理 技 術 は長 い間 同 様 な技 術 で使 い続 けられてきている。こ
の分 野 に於 ける研 究 と開 発 の主 眼 はゲート絶 縁 膜 の高 品 質 化 に置 かれてきた。例 えばパーティクル
除 去 能 の改 善 、表 面 ラフネスの改 善 、乾 燥 時 に発 生 するウォーターマークの防 止 などへの挑 戦 が行
われてきた。絶 縁 膜 の材 料 がシリコン酸 化 膜 であり続 ける以 上 、front end of line(FEOL)のプロセ
スで用 いる洗 浄 用 化 学 薬 品 の変 更 は不 必 要 であ った。配 線 にア ルミを層 間 絶 縁 膜 にシリコン酸 化
膜 を長 年 に渡 り用 いている為 に、back end of line(BEOL)のプロセスにおいても FEOL と同 様 、
基 本 的 な変 更 は必 要 無 かった。しかしながら近 い将 来 、FEOL、BEOL 双 方 ともに、材 料 の変 更 が
行 われるであろう。そのため表 面 処 理 プロセスは、抜 本 的 な変 更 が必 要 となるであろう。
FEOL では、新 しいゲート絶 縁 膜 が採 用 され始 めている。当 初 採 用 されるシリコンナイトライド膜 や
窒 化 酸 化 膜 などの材 料 では、現 在 用 いている表 面 処 理 プロセスがそのまま適 用 できるであろう。続 い
国際半導体技術ロードマップ 1999 年版
て、もっと高 誘 電 率 の材 料 が採 用 されるであろうが、いまだに何 になるか確 定 していない。しかしいず
れの場 合 においても界 面 制 御 の必 要 性 がますます重 要 になるであろう。いくつかの材 料 では新 しい
洗 浄 薬 品 が 必 要 に なってき てい る 。新 しい 絶 縁 膜 に 伴 い 新 しい ゲート 電 極 が 採 用 される で あ ろ う。
FEOL で 主 に 用 い ら れ て い る 水 溶 性 薬 品 は 、 メ タ ル ゲ ー ト 電 極 に は 使 用 で き な い か も し れ な い 。
BEOL で一 般 的 な溶 剤 を主 と した 化 学 薬 品 が用 いられ るかもしれ ないが、Environment Safety
and Health (ESH)の観 点 からは代 替 薬 品 の開 発 が強 く要 望 されるであろう。
BEOL の表 面 処 理 プロセスは、Cu 配 線 と低 誘 電 率 絶 縁 膜 (Low-k絶 縁 膜 )に対 応 したものでなけ
ればならない。
例 えば 効 果 的 な CMP 後 の洗 浄 やレジスト除 去 プロセスなどが、Cu 配 線 や Low-k絶 縁 膜 材 料 に
対 しても必 要 となる。将 来 裏 面 洗 浄 の追 加 などで裏 面 の Cu 汚 染 を最 小 限 に抑 える必 要 も出 てくる
であろう。配 線 層 数 の増 大 に伴 いこれらのプロセスはますます重 要 になる。BEOL における洗 浄 工 程
数 は、しばしば FEOL を上 回 る事 になるであろう。表 33a と 33b に表 面 処 理 技 術 に求 められる技 術
的 要 求 をまとめた。
表 面 処 理 に関 する大 チャレンジと解 決 策 候 補 技 を、図 18 に示 す。薬 液 の持 つ高 い金 属 溶 解 能 に
よる金 属 汚 染 の除 去 、ゼータポテンシャルの制 御 やメガソニックを用 いた力 学 的 なエネルギーの効 率
的 な伝 達 など、水 溶 液 が本 来 持 っている多 くの特 質 を利 用 できると言 う理 由 で、ウエットケミカル洗 浄
技 術 は多 くの支 持 を得 ており、将 来 に渡 って広 く応 用 され使 い続 けられるであろう。気 相 洗 浄 技 術 は
現 在 のところ技 術 的 な必 要 性 が無 いゆえ COO(コストオブオーナーシップ)の観 点 からの部 分 的 な利
用 に止 まっている。しかしながら先 端 のゲート絶 縁 膜 とその配 線 層 に良 好 な界 面 を形 成 する為 に、気
相 洗 浄 技 術 の必 要 性 が急 激 に増 すであろう。ビアやトレンチキャパシタの様 な高 アスペクト比 の構 造
に対 しても気 相 化 学 反 応 は適 用 が容 易 である。しかし効 果 的 でダ メージの無 いパーティクル除 去 プ
ロセスは依 然 必 要 とされるであろう。
表 面 処 理 技 術 は今 後 急 速 に確 立 すべき他 の要 素 技 術 の課 題 との関 連 が深 い。化 学 薬 品 の使 用
量 削 減 、水 資 源 の再 利 用 、代 替 プロセス技 術 などは ESH と COO の観 点 からその実 用 化 が強 く望
まれている。近 い将 来 、希 釈 薬 品 はより一 般 的 になり、その傾 向 はますます広 まるであろう。オゾン水
プロセスは硫 酸 を基 本 としたレジスト除 去 及 び洗 浄 に取 って代 わるであろう。 純 水 の使 用 量 削 減 とリ
サイクル化 の努 力 は今 後 も継 続 せねばならない。加 えて装 置 の占 有 面 積 の縮 小 、シミュレーションと
モデリングによる薬 液 流 量 の最 適 化 と削 減 、センサー技 術 を用 いたプロセスチ ャンバ内 の最 適 制 御
技 術 などの実 現 により COO は更 に改 善 される様 になるであろう。300mm ウェーハ世 代 ではモニタウ
ェーハコストの削 減 と製 造 品 質 の維 持 向 上 の為 に in-situ(インシチュ:その場 )のプロセスモニタの積
極 的 な活 用 が求 められるであろう。
表 面 処 理 と欠 陥 低 減 は互 いに密 接 に関 わり合 う技 術 である。表 面 処 理 後 に要 求 されるウェーハ表
面 の高 度 な清 浄 度 は、洗 浄 薬 品 や純 水 の純 度 に大 きく依 存 している。COO の低 減 を果 たす必 要 は
無 論 であるが、それゆえ技 術 的 な妥 当 性 を背 景 とした 革 新 的 な純 度 目 標 値 を設 定 する事 も 必 要 と
なる。表 面 処 理 のすべての分 野 において、プロセスと欠 陥 低 減 、コスト、環 境 問 題 の両 立 ができる様
配 慮 して行 かねばならない。
国際半導体技術ロードマップ 1999 年版
国際半導体技術ロードマップ 1999 年版
以 下 処 理 への技 術 的 要 求 の脚 注 訳
[A] ウェーハプロセスの最 初 から配 線 金 属 膜 成 膜 前 の絶 縁 膜 成 膜 まで。
[B] Table33a 33b Bit/Chip(チップ当 たりのビット数 )に最 小 寸 法 の2乗 を乗 じた。
[C] Transistor/Chip(チップ当 たりのトランジスタ数 )に最 小 寸 法 の2乗 の 10 倍 を乗 じた。
[D] 99%歩 留 を想 定 し A(クリティカルエリア)は DRAM と Logic のノードから算 出 。Y=1/(1+AD)
の歩 留 モデルを用 い、D 0 の電 気 計 測 は ASTM F1771-97 を、サンプル数 とキャパシタ面 積 は
改 版 版 EIAJ/JEDEC Standard 35-1,に準 じた。
[E] パーティクルのモデルとして、99%歩 留 を想 定 し Y=exp(-DpRpTb(CD)^2d),Rp=0.2,T=#
Transistor or bits/ chip per technology generation,(各 世 代 毎 のチップ当 たりのトラ
ンジスタ数 或 いはビット数 ) a= bd,ここで”a”は DRAM の cell area factor(セルエリアファクタ
国際半導体技術ロードマップ 1999 年版
ー)を指 し、各 世 代 で数 値 が異 なる。アナログ MPU のモデルは入 手 できなかったので、MPU に
ついては DRAM の値 を用 いた。
[F] Kill Ratio(キルレシオ)が 0.2 である事 を除 き Table32 のモデルに基 づく。したがって値 は st
arting materials(スターティングマテリアルズ)の場 合 の数 値 の半 分 となっている。
[G] 金 属 の算 出 モデル式 は 99%歩 留 を仮 定 し Y=exp(-DMRMTA(CD)^2),RM=0.2,T=# (t
ransistor bits/chip per technology generation) A は DRAM の cell area factor で技
術 世 代 の関 数 である。DM=K2(M)^3exp(-T0/0.7),K2=1.854X10-29cm-4.T 0 の単 位 は n
m(ナノメートル)で MIS DRAM デバイス構 造 での酸 化 膜 換 算 の膜 厚 である。(量 子 力 学 上 の
補 正 とポリシリコン空 乏 化 の補 正 を行 っている)。このモデルでは実 験 データから得 られた結 論
に基 づき更 にそれを拡 張 している。ゲート前 洗 浄 の効 果 は金 属 に対 し 50%と仮 定 し、金 属 汚 染
量 はファクター 2 により増 大 している。従 ってこの結 果 は Table33 に記 載 されている表 面 処 理 の数
値 の 2 倍 となる。この数 値 は以 下 に示 す各 々の金 属 の許 容 限 界 値 である。:Ca,Co,Cu,Cr,
Fe,K,Mo,Mn,Na,Ni,
[H] Nm=1/q×Cgate×DV、ここで Cgate は実 効 的 な最 大 ゲート絶 縁 膜 厚 から算 出 。DV はこの世
代 における許 容 閾 値 電 圧 バラツキ。10%のシフトを許 容 すると仮 定 している。
[I]
ゲート絶 縁 膜 成 膜 前 、ポリシリコン成 膜 前 、メタル成 膜 前 、シリサイド形 成 前 、コンタクト前 、トレン
チ組 込 膜 形 成 前 を含 むクリティカルな洗 浄 工 程 後 の測 定 値 。
[J] タングステンシリサイド層 を介 しポリシリコン層 の深 さプロファイルを SIMS にて測 定 。
[L] 表 面 粗 さは AFM(原 子 間 力 顕 微 鏡 )によりタッピングモードにて測 定 。スキャンモードは 2μm
×2μm。エピ基 板 上 を酸 化 する前 の状 態 で測 定 した。目 標 値 はゲート酸 化 形 成 前 を想 定 した
値 である。
[M] ウォーターマークの影 響 度 は次 の歩 留 モデル式 にて算 出 した。Y=1/(1+AD) ここで Y は歩 留 。
A はチップ面 積 。そして D は欠 陥 密 度 。1 個 のウォーターマークはチップ 1 個 を不 良 にすると仮
定 している。歩 留 は 99%と仮 定 している。
[N] ポリシリコン金 属 シリサイド絶 縁 膜 成 膜 からパッシベーション膜 形 成 まで。
[O] BEOL の目 標 値 は FEOL と同 等 の欠 陥 密 度 とする。しかしながらパーティクルの許 容 限 界 大 き
さは最 小 寸 法 に等 しいとする。(FEOL の場 合 最 小 寸 法 の 1/2。)
[P] 加 速 試 験 は相 対 湿 度 100%の環 境 下 で実 施 。
国際半導体技術ロードマップ 1999 年版
国際半導体技術ロードマップ 1999 年版
8-3-3 熱 処 理 / 薄 膜 プロセスとドーピング技 術
FEP(Front End Process)では高 品 質 で均 一 性 の高 い無 欠 陥 な膜 の成 長 や堆 積 が必 要 となる。
これらは、絶 縁 体 、シリコン、もしくは導 電 体 の膜 である。下 地 基 板 や堆 積 膜 への精 密 ドーピングも必
須 事 項 である。フロントエンドプロセスの分 野 には、デバイスのスケーリングにともなうサーマルバジェッ
トの低 減 に加 えて、いくつかの大 チャレンジがある。それらには、信 頼 性 の高 い極 薄 ゲート 絶 縁 膜 の
成 長 ・堆 積 などに関 わる代 替 高 誘 電 率 材 料 の見 極 めと膜 開 発 、そしてこれら代 替 膜 とゲートや
DRAM キャパシタに対 する界 面 層 の選 択 ;極 浅 接 合 デバイスへのコンタクト形 成 ;空 乏 層 が無 い低
抵 抗 な代 替 ゲート電 極 材 料 の開 発 がある。その他 の重 要 な挑 戦 事 項 として、急 峻 なチャネルドーピ
ングプロファイルの形 成 、サーマルバジェットが小 さな環 境 下 でイオン注 入 後 のリークを最 小 にするた
めの欠 陥 制 御 やサイドウォールスペーサ形 成 がある。これら諸 事 項 全 てに CMOS プロセスに置 き換
え(適 用 )可 能 な革 新 的 な解 が求 められている。これら諸 事 項 の要 求 の底 流 にあるのは、スレッシュホ
ールド電 圧 のスケーリングやゲート絶 縁 膜 膜 中 のトンネル効 果 、接 合 リークに関 連 した 、回 避 でき な
いゲートリーク電 流 の増 大 である。
熱 処 理 / 薄 膜 プロセス
将 来 のデバイススケーリングに対 する最 も大 チャレンジの一 つとしてゲート絶 縁 膜 は、緊 急 の課 題 で
ある。表 34a と 34b に要 約 された要 求 事 項 は、事 実 上 ゲート絶 縁 膜 厚 が酸 化 膜 換 算 膜 厚 にして
1nm 以 下 に突 入 しようとしている事 を示 している。トンネルリークの見 地 から 1A/cm2 以 上 のトンネル
電 流 が流 れると考 えられる約 1.5nm 以 下 の SiO2 は使 えなくなる。トンネル電 流 は酸 化 膜 厚 の減 少
に対 して指 数 関 数 的 にスケーリングするので、酸 化 膜 は 100nm ノードからは使 われなくなる。ゲート
絶 縁 膜 として機 能 する界 面 特 性 や安 定 性 を満 たす代 替 高 誘 電 率 材 料 や界 面 層 はまだ見 出 されて
いない。最 適 な代 替 材 料 を見 出 し、特 定 するために数 年 の研 究 開 発 が必 要 である。DRAM の蓄 積
キャパシタ用 絶 縁 膜 開 発 の初 期 段 階 にもこれと良 く似 た問 題 が発 生 すると予 想 される。ゲート 絶 縁
膜 の短 期 的 技 術 解 と しては、極 薄 酸 化 膜 、酸 窒 化 膜 あるいはシリコン窒 化 膜 の 製 造 と適 用 が必 要
である。中 でも、シリコン窒 化 膜 は高 いボロン拡 散 抑 止 能 と7と言 う比 較 的 高 い誘 電 率 が魅 力 的 であ
る。ゲート絶 縁 膜 の短 期 的 技 術 解 は、表 面 制 御 や形 成 前 後 の雰 囲 気 の制 御 、シリコンと互 換 性 の
ある材 料 の開 発 (ゲート電 極 とコンタクトなど)そして、形 成 後 のプロセスによるサーマルバジェットにつ
いて厳 しい拘 束 条 件 が課 せられる。
ゲート絶 縁 膜 厚 の制 御 性 や均 一 性 の向 上 は、大 口 径 ウェーハに対 して Vt 制 御 を達 成 するために
必 須 となる。イオン注 入 やプラズマエッチングに関 連 したポストゲートプロセス誘 起 ダメージによる影 響
は、それがゲート周 辺 長 に依 存 するため特 に重 要 になると予 想 される。中 期 もしくは長 期 的 技 術 解 で
は、他 の電 気 特 性 (安 定 性 や界 面 準 位 密 度 など)と高 品 質 ゲート SiO2 に匹 敵 する信 頼 性 に加 えて、
より高 い誘 電 率 (中 期 用 として>10、長 期 用 として>20 が望 ましい)を有 する材 料 を必 要 とする。SiO2
以 外 の材 料 で最 大 の問 題 点 は、良 好 な界 面 準 位 特 性 やチャネル移 動 度 特 性 を維 持 するためにチ
ャネル部 やゲート電 極 界 面 部 に極 薄 の酸 化 膜 がまだ採 用 し続 けられる可 能 性 があるということである。
この事 によって高 誘 電 率 材 料 の採 用 によってもたらされるメリットが大 幅 にかき消 されてしまう。シリコ
ン基 板 と高 誘 電 率 材 料 とをつなぐ一 層 の Si-O 結 合 は、SiO2 換 算 実 効 膜 厚 が 0.3nm 以 下 のゲー
国際半導体技術ロードマップ 1999 年版
ト絶 縁 膜 のスケーリングに物 理 的 限 界 を与 える。
他 の課 題 は、許 容 されうる電 流 リークを達 成 することである。この要 求 を満 たすために高 誘 電 率 材
料 は、熱 電 子 流 放 出 と Fowler-Nordheim トンネルを押 さえるために障 壁 高 さが 1eV 以 上 、バンド
幅 が 4-5eV であることが必 須 となる。加 えて、候 補 となる絶 縁 膜 材 料 は、Frenkel-Pool トンネルを抑
制 すべく、無 視 できるほど小 さなトラップ準 位 密 度 でなくてはならない。最 後 に、その材 料 は、ゲー ト
電 極 材 料 やゲ ート電 極 ドーパントの 侵 入 を抑 制 できる優 れた 拡 散 防 止 機 能 を持 ち合 わせていなけ
ればならない。
国際半導体技術ロードマップ 1999 年版
国際半導体技術ロードマップ 1999 年版
[A] この値 は、基 板 や電 極 形 成 にともなう影 響 がなく 、最 大 動 作 周 波 数 における誘 電 体 単 体 の実
効 膜 厚 を示 すもので、基 板 や電 極 の影 響 を鑑 みた電 気 的 な容 量 測 定 によって決 められる。
[B] 100℃におけるゲートリーク電 流 は、その温 度 でトランジスタのオフリーク電 流 の 1%以 下 になるよ
うに設 定 。このリーク量 は、プロセスインテグレーションの章 (表 28)にある室 温 でのトランジスタの
国際半導体技術ロードマップ 1999 年版
オフリーク電 流 (ゲートリーク成 分 以 外 )と同 じである。この理 由 は、デバイス温 度 が室 温 から
100℃になるとデバイスのサブスレッシュホールドリーク成 分 と接 合 リーク成 分 が 100 倍 に増 加 す
ると予 想 されるためである。このゲートリークは、通 常 の最 小 デバイスで測 定 されるもので、そのス
ペックは全 てのトランジスタのバイアス条 件 、すなわち Vs=Vd~0 で Vg =Vdd の時 や Vg = Vs =
0 で Vd=Vdd の時 に適 用 される。
[C] 参 考 文 献 18 参 照 。
[D] エッチングに関 する技 術 的 挑 戦 は、それがデバイス構 造 に依 存 するということである。ここでは、
通 常 的 な MOS 構 造 を仮 定 している。もし、replacement gate のように他 の集 積 化 案 が有 望 に
なってきた場 合 、本 表 で記 述 する詳 細 は更 新 されねばならない。
[E] CD の 10%という値 は、リソグラフィ、エッチング、及 び計 測 からの影 響 を統 合 したものである。エ
ッチングだけの寄 与 を区 別 することは行 っていない。CD 制 御 の目 標 値 を達 成 するために、リソ
グラフィとエッチングの両 面 から改 善 することが求 められている。
[F] ゲートエッチクリーンの後 にいくらかのゲート絶 縁 膜 が残 っている事 が必 要 である。絶 縁 体 材 料
がテクノロジーノードを経 るごとに実 効 的 に薄 くなって行 くので、その絶 縁 体 材 料 上 で停 止 させ
るエッチングシステムの能 力 を達 成 するのがますます困 難 になる。加 えて、極 めて薄 いゲート 絶
縁 膜 材 料 の残 り膜 厚 を計 測 もしくは検 出 できる手 段 が必 要 となる。
[G] 0.94x(MPU の物 理 的 なゲート長 )であるコンタクト接 合 部 に対 して、サイドウォールスペーサ厚
=0.94x(MPU の物 理 的 なゲート長 )である。(±33%程 度 の範 囲 ) 妥 当 性 は、A.Srivastava
and C.M.Osburn の「極 薄 ゲート絶 縁 膜 を有 する 0.1umPMOSFET の最 適 化 に基 づく応 答
曲 面 」19 にある応 答 曲 面 法 によって確 認 された。
[H] サイドウォールスペーサ厚 =サイドウォールスペーサ厚 =0.94x(MPU の物 理 的 なゲート長 ) 。
(±33%程 度 の範 囲 )
[I]
サイドウォールスペーサ厚 =平 均 エクステンション接 合 深 さの 1/2。(±33%程 度 の範 囲 );スペ
ーサの下 のエクステンション接 合 の横 方 向 拡 散 が許 せるように選 ばれる。
[J] 歴 史 的 動 向 にもとづく。
[K] アスペクト比 を考 慮 して MPU の物 理 的 なゲート長 とした。
[L] シート抵 抗 値 と膜 厚 要 求 値 (平 均 値 )から;ここで、100nm ノード以 降 ではメタルゲートが使 われ
ると仮 定 した。
[M] 電 源 電 圧 とゲート絶 縁 膜 の要 求 に基 づくひろがり抵 抗 モデルによるポリシリコンゲート活 性 化 の
計算値。
[N] シリコン消 費 によるコンタクト抵 抗 率 の上 昇 を避 けるために、シリサイド膜 厚 はコンタクト Xj の 1/2
以 下 にする。
[O] シリサイドのシート抵 抗 :抵 抗 率 を 15 μΩ-cm とした。TiSi2 または CoSi2 に相 当 。
[P] シリコン/シリサイド界 面 の最 大 コンタクト 抵 抗 率 。トータル寄 生 抵 抗 <デバイス抵 抗 (Vdd/Isat)
を条 件 とした。寄 生 抵 抗 要 素 を計 算 するためにスプレッドシートを用 いている。
国際半導体技術ロードマップ 1999 年版
[Q] シリコン消 費 量 は、CoSi2 生 成 の場 合 の、コバルトシリサイド膜 厚 /シリコン消 費 量 比 0.97 を用 い
た。
[R] コンタクト Xj = 0.8×孤 立 ライン幅 (±33%)とした。 歴 史 的 トレンドに基 づく。すべての接 合 深
さはゲート絶 縁 膜 /シリコン界 面 を x=0 として定 義 する。
[S] チャネル側 の Xj = 0.4×孤 立 ライン幅 (±25%)。
[T] スプレッドシートに示 した、総 直 列 抵 抗 へのスプレディング抵 抗 の影 響 から導 いたソース/ドレイン
接 合 の急 峻 さ要 求 。
[U] チ ャネ ル側 不 純 物 分 布 の 急 峻 さ。 nm/decade 単 位 で 、 ドー ピン グ 濃 度 =0.1* 孤 立 ラ イ ン 幅
(nm)の条 件 。短 チャネル効 果 からの要 求 に基 づく。
[V] 空 乏 層 幅 <1/4×ロジックハーフピッチ(式 5-57)を与 えるドレインエクステンション濃 度 。
[W] Vt=0.4 を与 える 均 一 基 板 濃 度 。量 子 力 学 的 効 果 及 び 短 チャネル効 果 によるポテンシャル増
大 は計 算 には考 慮 していないが、これらの効 果 は互 いに相 殺 する傾 向 にある。Vt=0.4 の仮 定
はリーク電 流 の境 界 条 件 と両 立 しない可 能 性 がある。リーク電 流 の境 界 条 件 が、低 下 した電 源
電 圧 に対 して許 容 できない高 いしきい値 を要 求 する場 合 は、大 きな性 能 劣 化 を引 き 起 こす。さ
らに、高 濃 度 チャネル不 純 物 による散 乱 によるドレイン電 流 への影 響 も大 きい。
[X] 短 チャネル効 果 の改 善 のために、レトログレードウェルプロファイルは 0.5×(ドレインエクステンシ
ョン深 さ)以 下 でなければならない。
ゲート電 極 もまた将 来 のスケーリングに対 して主 要 な挑 戦 である。ボロンの外 方 拡 散 によるチャネル
のオートドーピングやポリシリコンの空 乏 化 によってついにデュアルドープポリシリコンゲート電 極 材 料
は使 われなくなる。低 抵 抗 ゲート電 極 材 料 に見 られるように長 期 的 技 術 解 というものは、現 在 のところ
見 出 されておらず、代 替 材 料 を見 出 し見 極 めるためにはまだ数 年 の研 究 期 間 を要 する。
仕 事 関 数 、抵 抗 率 、そして CMOS プロセスとの互 換 性 が、新 しい材 料 の候 補 に対 するキーパラメ
ータとなる。CMOS プロセ スとの 互 換 性 で要 求 されるの は、許 容 可 能 なしき い値 電 圧 を得 るため に
PMOS と NMOS それぞれのトランジスタのゲート電 極 に使 用 される、異 なったゲート電 極 材 料 である。
すなわち、PMOS トランジスタはシリコンの価 電 子 帯 付 近 にフェルミレベルがあり、NMOS トランジスタ
はシリコ ンの伝 導 帯 付 近 に フェルミ レベルが ある材 料 である。シート抵 抗 を検 討 すると、究 極 的 に は
cladded gate 電 極 が必 要 となる。つまり、ゲート電 極 の所 望 の仕 事 関 数 を得 るために誘 電 体 の界 面
層 が用 いられ、その上 の層 はゲート電 極 全 体 のシート抵 抗 を下 げるために用 いられる構 造 である。代
替 案 としては SOI または基 板 バイアスやウェルバイアスと共 に用 いられるであろうミッドギャップフェルミ
レベルが用 いられるようなデュ アルジャンクションアイソレートト ランジスタが挙 げられる。ゲート 電 極 の
問 題 に対 する短 期 的 解 決 可 能 候 補 技 術 は、ドープポリサイドゲートスタックまたは Si-Ge ゲートが挙
げられる。(シリコン窒 化 膜 などで見 られる)ゲート絶 縁 膜 のボロン染 み出 し抑 制 は、仕 事 関 数 制 御 を
より強 固 にするためには、ドープポリシリコンの活 性 化 を高 める必 要 があるので、ゲート絶 縁 膜 のボロ
ン染 み出 し抑 制 はきわめて重 要 である。
CMOS 構 造 に対 する代 替 界 面 層 と高 誘 電 率 材 料 そして新 しいゲート電 極 材 料 の一 体 化 は、集 積
国際半導体技術ロードマップ 1999 年版
化 の挑 戦 において極 めて重 要 な意 味 を持 つ。候 補 となる 大 半 の材 料 系 の熱 安 定 性 は、ゲート形 成
後 に用 いられる典 型 的 な接 合 形 成 用 熱 処 理 に対 して十 分 ではない。これらの新 しい材 料 を導 入 す
ることにより接 合 形 成 用 熱 処 理 温 度 を劇 的 に下 げるか replacement gate のようにゲートスタック工
程 と接 合 形 成 工 程 の順 序 を入 れ替 える事 を余 儀 なくされる。これらのことは、製 造 工 程 と複 雑 さを増
し、接 合 部 とゲート 部 との重 なりが変 わることによるデバイス性 能 や 信 頼 性 に強 い影 響 を及 ぼすであ
ろう。2つのゲート電 極 金 属 の集 積 化 に対 する見 込 みある解 決 可 能 候 補 技 術 は、W と WN のように
金 属 とそれと同 じ金 属 の化 合 物 とを用 い ることである。そうする ことによって、単 純 な反 応 によ り局 所
的 に金 属 がその化 合 物 となる。これを用 いなければ、NMOS や PMOS デバイスの両 方 を作 製 するた
めに複 雑 で何 度 ものプロセスを使 わねばならなくなる。
サイドウォールスペーサは、セルフアライン、ドレイン部 ドーパント構 造 形 成 を容 易 にするためだけで
なく、今 ではゲートとソース/ドレイン領 域 を分 離 するためにも用 いられている。サイドウォールスペーサ
の耐 久 性 がゲートとソース/ドレイン部 のコンタクト構 造 とそのプロセスを限 定 する。サ イドウォールスペ
ーサは、伝 統 的 に堆 積 した酸 化 膜 、ポリシリコンの熱 酸 化 、堆 積 シリコン窒 化 膜 そして、これらの組 み
合 わせとして用 いられてきた。これらのプロセスは、少 なくとも今 後 、エレベートソース/ドレイン 構 造 が
使 われる限 り引 き続 き使 われるであろう。シングルドレインエレベート構 造 では、寄 生 直 列 抵 抗 を制 御
するためにサイドウォール膜 厚 が 5-10nm であることのほかに、ゲート絶 縁 膜 並 みの信 頼 性 や安 定 性
が必 要 となる。約 20nm 以 下 であると最 良 の熱 酸 化 膜 でさえもシリコンの選 択 エピタキシャル成 長 や
シリサイドプロセスに晒 された時 に欠 陥 を誘 発 しやすくなる。シリコン窒 化 膜 や酸 窒 化 膜 は、酸 化 膜 よ
りは良 い代 替 材 料 である。しかしながら、より良 いサイドウォールスペーサを見 出 すために更 なる検 討
が必 要 である。
熱 処 理 /ドーピング技 術 の鍵 は、浅 接 合 プロファ イルを維 持 すること 、ドーパントの高 活 性 化 、材 料
間 の熱 プロセスに対 する互 換 性 、そしてこれ らが如 何 にデバイス性 能 に影 響 するかをコントロールす
ることである。FEOL の熱 処 理 /薄 膜 プロセスに対 する解 決 可 能 候 補 技 術 を図 19 に示 す。
国際半導体技術ロードマップ 1999 年版
国際半導体技術ロードマップ 1999 年版
ドーピング技 術
ドーピング技 術 において、近 い世 代 で直 面 する 大 チャレンジは、極 浅 接 合 形 成 の従 来 手 法 をディ
ープサブミクロン領 域 にまで拡 大 させることである。チャレンジの本 質 は、エクステンシヨン接 合 深 さの
縮 小 と必 要 な横 方 向 の急 峻 さを同 時 に達 成 することにある。急 峻 さの要 求 は、チャネル抵 抗 の 10%
の低 寄 生 抵 抗 を得 るための要 求 でもある。高 濃 度 でありながら、より浅 いソース/ドレイン及 びエクステ
ンション接 合 を形 成 し、低 抵 抗 のコンタクト材 を形 成 するという要 求 は、サリサイドプロセスに対 するチ
ャレンジにもなる。極 浅 の接 合 にコンタクトを形 成 するための大 チャレンジが他 にも幾 つか指 摘 されて
いる。30nm 以 下 の接 合 で 300Ω/□のシート抵 抗 を得 るための活 性 化 の技 術 、ゲート酸 化 膜 を通 し
たボロン突 き抜 けの抑 止 、低 抵 抗 シリサイドコンタクトの形 成 、極 浅 接 合 の 2 次 元 不 純 物 分 布 計 測 、
接 合 の大 きさに対 し有 意 な大 きさのパーティクルの削 減 、縮 小 したデバイスに必 要 な精 度 でドーピン
グ層 (特 にハロー)を配 置 すること、などである。
70nm 以 下 のノードでは、チャレンジはより明 解 に「トランジスタ構 造 」となる。その中 には、現 在 はま
だわかっていない、固 溶 限 以 上 の活 性 化 を熱 処 理 の制 約 の中 で実 現 する方 法 が含 まれる。新 しいト
ランジスタ構 造 が性 能 目 標 の達 成 のために必 要 になる可 能 性 もあり、量 産 の時 期 までに開 発 され検
証 される必 要 がある。新 構 造 には、高 誘 電 率 ゲートスタックとの、温 度 などのプロセス互 換 性 が要 求 さ
れる。70nm 以 下 の技 術 にも同 様 な課 題 がある。すなわち、高 誘 電 率 絶 縁 膜 と互 換 性 のある活 性 化
の熱 プロセス、低 抵 抗 のコンタクト形 成 、高 電 導 度 のエクステンション(20nm 以 下 で 200Ω/□)、チャ
ネル端 でのエクステンションの急 峻 さ、メタス テイブルな不 純 物 の活 性 化 の解 明 、などである。これ ら
課 題 の基 底 に、しきい値 スケーリング、ゲート絶 縁 膜 及 び接 合 でのトンネリングに伴 って不 可 避 となる
リーク電 流 の増 大 の懸 念 がある。
エクステンション
スケーリングは、ドレインエクステンション、チ ャネルおよびチャネル端 部 形 成 のプロセスに大 きな影
響 を与 える。接 合 深 さと寄 生 抵 抗 をともに低 減 する要 求 からエクステンションのドーピングレベルは増
大 が予 測 される。前 の世 代 ではホットキャリア劣 化 を抑 制 するため、緩 やかなプロファイルが要 求 され
たのに対 して、低 電 圧 での動 作 のためにむしろ急 峻 な横 方 向 のプロファイルが求 められるようになる。
エクステンション部 の、縦 方 向 、横 方 向 不 純 物 プロファイルの位 置 及 び形 状 をモニターするためには、
サブナノメータの空 間 分 解 能 の2次 元 計 測 手 法 が必 要 になる。
これらの極 浅 接 合 形 成 の課 題 に対 する技 術 候 補 には、plasma immersion ion implantation
(PIII), projection gas immersion laser doping (PGILD)がある。長 期 的 には、ドーピング層 の
直 接 堆 積 が候 補 である(例 えば ALE)。
コンタクト
コンタクト領 域 をシリサイドによって接 続 する技 術 では、ソース/ドレイン領 域 でのシリコン消 費 を最 小
にし、同 時 にゲート領 域 では低 い抵 抗 率 を実 現 する必 要 がある。加 えて、コンタクト面 積 の縮 小 に応
じたシリコン/シリサイド界 面 抵 抗 の低 減 が無 いと、許 容 しがたい寄 生 抵 抗 の増 大 を引 き起 こす。求 め
国際半導体技術ロードマップ 1999 年版
られる抵 抗 率 を得 るには、シリコン中 の活 性 不 純 物 濃 度 をシリサイドとの界 面 において最 大 とすること
と、金 属 /シリコンのバリアハイト低 減 が必 要 とされる。近 い 世 代 において、ドーピングとコンタクト裏 打
ちのプロセスは、ますます相 互 依 存 が強 まり、材 料 科 学 を組 み合 わせた、CMOS 互 換 の革 新 的 な解
が必 要 となるだろう。当 面 は、既 存 のサリサイド技 術 を用 いてドープしたコンタクト領 域 を形 成 するプロ
セスへの、適 用 範 囲 拡 大 と実 用 性 改 善 が課 題 である。
コンタクト裏 打 ちの技 術 候 補 には、既 存 のサリサイド技 術 の継 続 的 スケーリング、チタンシリサイド膜
の選 択 的 堆 積 、犠 牲 シリコン膜 を用 いたシリサイド化 、他 の低 抵 抗 金 属 膜 の選 択 堆 積 がある。究 極
には、革 新 的 な新 しいデバイス構 造 の導 入 が必 要 となり、安 定 なコンタクトのためには、チャネル面 よ
りも 、ソ ース / ド レイ ン コ ン タ ク ト 面 を 高 く 持 ち 上 げ る 新 構 造 が 必 要 に なろ う。 しか し な が ら 現 在 の 所 、
100nm 以 下 のノードに対 応 する検 討 は、未 だ研 究 段 階 にあって製 造 に使 える検 証 には至 っていな
い。
ポリドーピング
ポリシリコンゲート電 極 にも近 い世 代 のスケーリングに大 きな課 題 がある。空 乏 化 によるゲート絶 縁
膜 厚 の実 効 厚 さの増 大 やP+ポリシリコンゲート電 極 からチャネル部 へのボロンのオートドーピングの
問 題 から、現 在 用 いられているデュアルドープポリシリコンゲートは 70nm ノード以 降 、次 第 に使 われ
なくなるだろう。技 術 候 補 は図 20に示 した。近 い世 代 の候 補 には、ドープしたポリサイドスタックの改
良 も含 まれる。ゲート絶 縁 膜 のボロン突 き抜 け拡 散 耐 性 は極 めて重 要 であり、この面 から絶 縁 膜 の候
補 にシリコン窒 化 膜 があがる。仕 事 関 数 の精 密 な制 御 のために、ゲートポリシリコン電 極 の活 性 化 率
の向 上 も重 要 であり、ゲルマニウム 添 加 によるボロンの活 性 化 率 向 上 のような材 料 技 術 も解 になりう
る。
チャネル
継 続 的 なゲート長 の縮 小 において、オフ状 態 のリークを許 容 値 以 下 に保 つ必 要 か ら、極 小 デバイ
スのチャネルドーピングレベル増 大 が必 要 になる。横 方 向 、縦 方 向 双 方 のプロファイル制 御 がこれら
の劣 化 防 止 に使 用 しうるが、ゲート絶 縁 膜 薄 膜 化 を行 ってもなお、しきい値 のスケーリングに伴 うオフ
電 流 の大 幅 な増 大 は避 けられない。しかしながら、しきい値 のスケーリングがなされないと、低 電 圧 動
作 でのデバイス性 能 は、ゲートドライブ の減 少 によって著 しく損 なわれる 。この 問 題 には消 費 電 力 の
制 約 も関 係 し、単 純 な解 答 は存 在 しない。
レトログレードチャネルドー ピングは縦 方 向 のプロファイル制 御 技 術 である。短 チャネル効 果 を改 善
しつつ、チャネル表 面 の不 純 物 濃 度 を低 減 して表 面 移 動 度 の向 上 をはかる。やや深 い領 域 の高 不
純 物 濃 度 層 がパ ンチスルーに対 するバリ アとして作 用 す る一 方 、表 面 で は不 純 物 散 乱 を 最 小 化 し
移 動 度 向 上 をもたらす。効 果 的 に作 用 させるためには、レトログレード深 さはソース/ドレインエクステン
ション(SDE)深 さよりも小 さい必 要 があり、低 濃 度 から高 濃 度 への急 峻 な変 化 が必 要 である。ソース/
ドレインのスケーリングに伴 って、レトログレードウェルの深 さ、急 峻 さもスケーリングされなければならな
い。
国際半導体技術ロードマップ 1999 年版
デバイス特 性 の改 善 をはかるために、横 方 向 のプロ ファイル制 御 も広 く 行 われる。典 型 的 には、ゲ
ート電 極 形 成 後 のチャネル領 域 への斜 めイオン注 入 によって行 われる。横 方 向 注 入 は SDE 端 部 で
の不 純 物 濃 度 を高 める。結 果 的 に、得 られるチャネルプロファイルはデバイスのサイズに依 存 するよう
になる。小 さなデバイスではよ り高 い平 均 のドーピングレベルとなり、これは小 サ イズデバイスのしきい
値 低 下 を補 正 して、デバイス性 能 向 上 につながる。横 方 向 のプロファイル制 御 を効 果 的 に作 用 させ
るには、チャネル全 域 に渡 ってプロファイルを変 化 させる必 要 があり、50nm 以 下 への継 続 的 なスケ
ーリングにおいて、極 めて大 チャレンジとなる。
拡 散 によりプロファイルの急 峻 さが損 なわれるために、横 方 向 、縦 方 向 双 方 のチャネルプロファイル
のスケーリングは極 めて難 しくなって来 ている。デバイス性 能 向 上 を最 大 化 させるには、これらのプロ
フ ァ イ ル を 極 め て 局 在 化 さ せ る 必 要 が あ る 。 transient enhanced diffusion (TED) や 、 boron
enhanced diffusion (BED)のような高 濃 度 拡 散 効 果 、その他 熱 拡 散 は、すべて所 望 のチャネルプ
ロファイル形 成 に対 応 するよう管 理 される必 要 がある。急 峻 かつ良 く制 御 されたチャネルプロファイル
形 成 には、極 低 温 プロセスに対 応 したエピタキシャル成 膜 を必 要 とする可 能 性 がある。これらの付 加
技 術 を用 いたとしても、回 路 設 計 者 は大 きなオフ電 流 と大 幅 なドライブ電 流 劣 化 のトレードオフを勘
案 する必 要 に迫 られるかもしれない。
素 子 分 離 / ウェル
トランジスタ密 度 増 大 は n+/p+間 隔 の縮 小 を伴 い、ウェル間 の分 離 、寄 生 素 子 の制 御 の改 善 を必
要 と す る 。 加 え て 、 p-,n- チ ャ ネ ル デ バ イ ス の チ ャ ネ ル ポ テ ン シ ャ ル の 動 的 な 制 御 や 、 system-onchip(SoC)設 計 のためにメモリアレイ組 み込 みの重 要 性 が増 すことにより、新 たな素 子 分 離 構 造 を採
用 す る 必 要 性 が 高 ま る と 考 え ら れ る 。 点 欠 陥 の 動 力 学 の 理 解 と TCAD(technology computer
aided design)モデリングの進 展 が伴 えば、高 エネルギーイオン注 入 技 術 がこれらの素 子 分 離 技 術
的 要 求 に対 する技 術 候 補 になる。
国際半導体技術ロードマップ 1999 年版
国際半導体技術ロードマップ 1999 年版
8-3-4 エッチングプロセス
エッチングプロセスの将 来 的 な課 題 は、CD(クリティカルディメンジョン)の縮 小 、及 びメタルゲートや
高 誘 電 率 の絶 縁 膜 などの新 材 料 によって、大 部 分 が決 定 される 。フロントエンドのエッチングにおい
て、最 も挑 戦 的 な技 術 的 要 求 は、継 続 的 に大 口 径 化 するウェーハに対 して均 一 性 の高 いエッチング
を維 持 すること 、及 び 新 材 料 に対 して要 求 されるエッチング選 択 性 とエッチング形 状 を確 保 すること
である。メタルゲートが使 われる技 術 レベルの時 点 では、エッチング耐 性 のない新 規 の薄 いフォトレジ
スト材 料 が導 入 されることになる。新 しい積 層 ゲート材 料 、ウェーハ大 口 径 化 、及 び新 規 フォトレジスト
が同 時 期 に導 入 されることによって、微 細 化 そのものに関 係 している困 難 さが一 層 度 合 いを増 したも
のとなっている。リソグラフィとエッチングの相 互 で整 合 性 をとることが、CD 制 御 の目 標 値 を達 成 する
ための鍵 となる。ゲートCD制 御 に関 する要 求 値 を表 34a と 34b に示 す。
エッチングマスクの CD を確 保 するために、マスク材 料 と一 つまたは複 数 の被 エッチング下 層 材 料 と
の間 で、高 いエッチング選 択 性 が要 求 される。もし、フォトレジストに対 して充 分 な選 択 性 が得 られな
いのであれば、ハードマスク(これは反 射 防 止 膜 として使 用 することが可 能 )を使 う必 要 性 が生 じる。こ
こでは、CD をハードマスクに一 旦 転 写 した後 、ハードマスクを使 って、下 層 材 料 へパターンを転 写 す
る。このようにプロセスの複 雑 さが増 加 するが、この方 法 は、焦 点 深 度 の低 下 に対 応 してフォトレジスト
膜 厚 が減 少 している先 端 リソグラフィの状 況 において、必 要 なものとなっていく。マスクから下 層 材 料
に高 精 度 に転 写 を行 うとともに、特 にゲートやサイドウォールスペーサエッチングにおいては、垂 直 に
近 い側 壁 構 造 を形 成 するために、極 めて異 方 性 の高 いエッチングプロセスが求 められる。さらに、孤
立 部 分 と 高 アスペクト比 の 密 集 した部 分 の両 方 に対 して、エッチレートと形 状 が揃 うようにマイクロ ロ
ーディングを極 力 低 減 しなければならない。
線 幅 の縮 小 にともなって、ライン端 の荒 さ(LER : line edge roughness)が、CD 制 御 や CD 自 体
の定 義 に対 して、ますます重 要 な影 響 を持 つようになっている。LER の原 因 は、リソグラフィとエッチ
ングの両 方 にある。ゲート材 料 、フォトレジストの構 成 物 、及 びエッチングケミストリのすべてが、線 幅 の
不 確 定 性 に関 係 する。この量 について制 御 すべき目 標 値 を設 定 するために、デバイス性 能 への
LER の影 響 を理 解 する必 要 がある。これに関 係 する測 定 方 法 や装 置 をも開 発 していかなければなら
ない。
新 規 のゲート絶 縁 膜 材 料 を用 いた上 で、微 細 化 が進 行 することは、欠 陥 密 度 とプラズマダメージの
点 で、エッチングプロセスに対 して厳 しい要 求 を課 すことになる。将 来 の欠 陥 密 度 に対 する要 求 を満
たすためには、プラズマプロセスやエッチング装 置 から発 生 するパーティクルを極 力 少 なく、かつ小 さ
くしなければならない 。エッチングケミストリ、エッチングチャンバ内 の堆 積 物 の制 御 、及 びエッチング
チャンバのクリーニング手 法 などについて改 善 が求 められている。プラズマエッチング装 置 の設 計 、及
びプラズマプロセス条 件 は、チャージングダメージを引 き起 こさないように開 発 されなければならない。
フロントエンドのエッチングプロセスでは、連 続 した複 数 の被 エッチング膜 間 に、極 めて高 い選 択 性
が要 求 されている。このため、新 材 料 の導 入 により、新 たな課 題 が発 生 することになる 。例 えば 、ポリ
シリコンゲートエッチングでは、シリコン基 板 までエッチングすることなく、ポリシリコンの適 切 なオーバー
エッチが可 能 となるように、ポリシリコンゲート電 極 とゲート絶 縁 膜 との間 に高 い選 択 比 が求 められる。
ゲートエッチングと洗 浄 プロセスを経 た後 、薄 く残 る酸 化 膜 の膜 厚 測 定 は、これからの開 発 が求 めら
国際半導体技術ロードマップ 1999 年版
れる分 野 の一 つである。将 来 的 には、新 しい低 抵 抗 率 のメタ ルゲート電 極 と高 誘 電 率 のゲート絶 縁
膜 との間 に、高 いエッチング選 択 比 が求 められる。要 求 されるエッチング選 択 性 とエッチング形 状 を
満 足 した上 で、これらの新 規 材 料 をエッチングするために、新 たなエッチングケミストリやプロセス条 件
の開 発 が必 要 となるだろう。
ゲート絶 縁 膜 の変 更 により、メインエッチステップでバルク材 料 の大 部 分 を除 去 し、その後 のオーバ
ーエッチステップで完 了 するマルチステップエッチングプロセスの開 発 が必 要 とされるであろう。事 前
に終 点 が決 定 できるように、インターフェロメトリィや同 様 の検 知 手 法 を用 いることによって、メインエッ
チが終 了 す る前 に被 エッチング 材 料 の残 りの量 を測 定 することが強 く 望 まれる。このエッ チングを完
成 させるためには、高 選 択 比 、かつダメージのないプロセスが必 要 とされる。
サイドウォールスペーサ幅 の制 御 は、プラズマエッチにおいてもう一 つの課 題 となる。スペーサ幅 や
そのオーバーエッチ依 存 性 は、スペーサエッチの異 方 性 度 だけでなく、ゲート電 極 の形 状 、スペーサ
絶 縁 膜 堆 積 時 の膜 厚 制 御 や 堆 積 状 態 に支 配 される。限 られたプロセス制 御 性 データを用 いて、サ
イドウォールスペーサの正 確 な評 価 をすることは難 しい。非 破 壊 のスペーサ幅 測 定 手 法 の開 発 が必
要 である。スペーサ絶 縁 膜 堆 積 やエッチングの終 点 検 出 のような、スペーサ幅 に影 響 を及 ぼすすべ
ての項 目 の制 御 性 を改 善 することが、プロセスの再 現 性 を保 証 するために求 められている。
ゲート絶 縁 膜 の薄 膜 化 や大 口 径 ウェーハ処 理 の要 求 に応 じるため、高 密 度 プロセス用 の反 応 室 が
設 計 されている状 況 において、プラズマダメージは引 き続 き大 きな関 心 事 である。さらに、70 nm 技
術 ノードに対 応 するゲート絶 縁 膜 がまだ決 定 していないため、そのノードでプラズマチ ャージングダメ
ージを回 避 できるかどうか未 知 である。もし、プラズマエッチング装 置 において、プラズマチャージング
ダメージが回 避 できないのであれば、ケミカルダウンストリームエッチング(CDE)やニュートラルストリー
ムエッチングを選 択 肢 として、追 求 しなければならない。しかしながら、将 来 的 な異 方 性 エッチングの
要 求 を満 たすために、充 分 に方 向 性 が整 い、かつ中 性 の運 動 エネルギーを有 する大 面 積 ケミカルダ
ウンストリームエッチング装 置 を作 製 する必 要 があり、このために、多 大 な研 究 、開 発 を要 する。ゲート
エッチングに解 決 可 能 候 補 技 術 を図 21 にまとめた。CDE がダメージを極 小 にするために選 択 された
方 法 となれば、このプラズマ源 技 術 がクラスタプラットホームに統 合 され、分 離 したエッチングチャンバ、
もしくは in-situ の形 で使 用 されるだろう。
エッチングにおける DRAM 特 有 の課 題 は、電 荷 蓄 積 キャパシタ、特 に、先 端 の大 容 量 積 層 キャパ
シタ構 造 の形 成 に関 するものである。これらの構 造 では、新 規 の高 誘 電 率 膜 (Ta2O5、BST など)と
新 規 金 属 電 極 (Pt、Ru、RuO2 など)が使 用 されている。先 端 のゲートスタックの場 合 には、新 しいエ
ッチングケミストリを発 展 させることが望 まれる。形 状 制 御 は、トランジスタゲート構 造 の場 合 ほど厳 しく
ないが、3 次 元 キャパシタ構 造 や非 常 に厚 い電 極 膜 厚 により、高 密 度 充 填 に対 する欠 陥 制 御 が課
題 となってくる。積 層 キャパシタに関 して、別 の重 要 な技 術 項 目 は、高 アスペクト比 コンタクトの形 成 で
ある。高 集 積 密 度 を達 成 するために、期 待 されるコンタクトのサイズは 0.1 um で、アスペクト比 は 10
以 上 となる 。セルフアラインの高 アスペクト比 コンタクトエッチングプロセスとエッチング後 の充 分 なクリ
ーニング手 法 の開 発 が重 要 なものとなる。エッチストップと浅 い接 合 へのダメージを抑 制 して、CD と
選 択 性 を維 持 することが重 要 な技 術 的 挑 戦 となるだろう。
国際半導体技術ロードマップ 1999 年版
DRAM スタックキャパシタ
DRAM キャパシタ技 術 は、ギガビット世 代 に入 りキャパシタ膜 並 びにキャパシタ電 極 への新 材 料 導
入 の局 面 を迎 えている。表 35 に DRAM のスタックキャパシタに対 する技 術 的 要 求 を示 す。DRAM
のセルサイズは設 計 ルール以 上 の速 度 で微 細 化 が進 められており、180nm 世 代 では折 り返 しビット
ラインセル構 造 の最 小 面 積 である 8F^2 (F : 最 小 加 工 寸 法 〈minimum feature size〉)に達 すると
考 えられる。これに対 して DRAM の安 定 動 作 の確 保 とソフトエラー耐 性 を一 定 に保 つために、キャパ
シタの容 量 値 は 25fF/cell(セル) と一 定 に保 ち続 けられると仮 定 して各 数 値 は計 算 されている。
国際半導体技術ロードマップ 1999 年版
表 35 DRAM スタックキャパシタ技 術 に対 する技 術 的 要 求
国際半導体技術ロードマップ 1999 年版
表 35 DRAM スタックキャパシタ技 術 に対 する技 術 的 要 求 (続 き)
表 35 DRAM のスタックトキャパシタに対 する要 求 の注 釈
[A] DRAM chip size model (PIDS)
[B] a = (Cell size)/F^2
[C] Cell size = a*F^2
(F : 最 小 形 状 寸 法 )
(セル短 辺 =2F)
[D] SN size =(a/2 -1)*F^2
(蓄 積 電 極 短 辺 = F)
[E] シリンダ構 造 を取 った場 合 、キャパシタ面 積 を 1.5 倍 にする.
[F] SC = (total Capa area) / (Cell size) SC:構 造 係 数
[G] teq=3.9*E0*(total Capa area)/25fF
[H] t phy. = teq*Er/3.9 t phy. =(teq-1)*Er/3.9
[I]
蓄 積 電 極 にポリシリコンを用 いた場 合 .
A/R of SN (OUT) = (SN height) / (F - 2* t phy.) 蓄 積 電 極 の外 側 のアスペクト比
[J] HAC diameter =1.2*F
(HAC : High Aspect Contact)
[K] 層 間 膜 の膜 厚 を 180nm 世 代 で 1.05μm とおき各 世 代 で 10%薄 膜 化 する.
[L] HAC 深 さ = SN 高 さ + 層 間 膜 と配 線 膜 厚
[M] PIDS Table 14
Min. Logic Vdd (V) (desktop)
[N] DRAM Retention time (PIDS)
[O] (Sense Limit*C*Vdd/2) / (Retention Time * MARGIN)
(センスアンプの限 界 を電 荷 が 30%リークしたときとしマージンを 100 倍 とる.)
[P] FEP Table 22A
Equivalent oxide thickness Tox(nm) DRAM
[Q] Gate Area =F^2
[R] ワード線 の Rs を 180nm 世 代 で 10ohm/sq. と仮 定 し、 (Word Line R)*(Cgate)が各 世 代
同 じワード線 長 さで一 定 とする.
[S] ビット線 容 量 は F^2/3 に比 例 する. ; A.Nitayama et al., IEDM Technical Digest, pp.
国際半導体技術ロードマップ 1999 年版
355-358, 1998.
[T] ビット線 Rs を 180nm 世 代 で 10ohm/sq. と仮 定 し、 (Bit Line R)*(Cbitline)がビット線 につ
くセルが各 世 代 1.4 倍 になっても一 定 とする
[U] Koichi Kishiro et al. Jpn. J. Appl. Phys. Vol. 37 (1998) pp. 1336-1339
これまでメガビット世 代 ではキャパシタの容 量 絶 縁 膜 材 料 は SiO2/Si3N4 複 合 膜 を用 い、蓄 積 容 量
電 極 構 造 を 3 次 元 化 することでこの容 量 を維 持 してきた。しかしながら、180nm 世 代 ではこれらの方
法 では上 記 容 量 値 を確 保 することが困 難 となる 。このために容 量 絶 縁 膜 として、新 たな高 誘 電 体 材
料 が導 入 され始 める。まず比 誘 電 率 が 50 程 度 の Ta2O5 が採 用 される。蓄 積 電 極 として従 来 通 りの
ポリシリコンが用 いられた場 合 、電 極 構 造 を三 次 元 化 する自 由 度 は大 きいものの Ta2O5 の後 酸 化 処
理 時 に界 面 にシリコン酸 化 膜 が成 長 することにより実 効 的 な比 誘 電 率 は 22 程 度 に減 少 する。一 方 、
蓄 積 電 極 に Ru や Pt などの金 属 が用 いられた場 合 、この界 面 酸 化 膜 の介 在 がなくなることと Ta2O5
の結 晶 配 向 性 が向 上 することにより 50 以 上 の比 誘 電 率 を得 ることができる。このため、130nm 世 代 で
は MIM(金 属 ・絶 縁 膜 ・金 属 )構 造 が採 用 され始 める。図 22 参 照 。
130nm 世 代 では、耐 酸 化 性 の問 題 と誘 電 体 膜 構 造 を良 くするために膜 蓄 積 電 に Pt、Ru、RuO2、
IrO2 な ど の 金 属 又 は 金 属 酸 化 物 が 採 用 さ れ 始 め る 。 プ ロ セ ス 中 の サ ー マ ル バ ジ ェ ッ ト (thermal
budget)の観 点 からは、キャパシタ膜 を含 めこれらの膜 は CVD 法 を基 本 とする 500℃程 度 の低 温 で
堆 積 される 。しか しながら後 酸 化 処 理 温 度 はこれ より高 温 であるため、ビット線 材 料 に金 属 が用 いら
れる場 合 はこの温 度 を 650℃程 度 まで下 げる必 要 がある。
世 代 ごとに厳 しくなるキャパシタ膜 のリーク電 流 の要 求 を満 たすために、このような低 い温 度 で高 品
質 な膜 を形 成 するプロセスを開 発 することが大 チャレンジとなる。また、バックエンドプロセスのプラズマ
ダメージや還 元 雰 囲 気 プロセスが高 誘 電 体 膜 を劣 化 させないプロセス構 築 が要 求 される。
100nm 世 代 では、蓄 積 電 極 や HAC (high aspect contact hole)のアスペクト比 増 大 を抑 えるた
めに、誘 電 率 が 250 程 度 と高 い BST 等 の高 誘 電 体 材 料 が必 要 となる。
また、100nm 以 降 の世 代 では、適 切 な DRAM チップサイズを得 ようとすると、セルサイズは 5F^2
以 下 にする必 要 がある。このためオープンビットラインセル、クロスポイントセルレイアウトをはじめ多 値
回 路 までもが必 要 となるであろう。
70nm の世 代 では、さらに誘 電 率 の高 い BST エピタキシャル膜 等 の新 しい材 料 の研 究 開 発 が必
国際半導体技術ロードマップ 1999 年版
要 と考 えられる。しかしながら、上 記 のような高 誘 電 体 膜 材 料 の開 発 に成 功 しても誘 電 体 膜 形 成 後
の蓄 積 電 極 のアスペクト比 が上 部 電 極 形 成 プロセスの限 界 を超 え、キャパシタを形 成 できなくなる可
能 性 が指 摘 されている。そこで、材 料 、プロセス的 なアプローチ以 外 に、蓄 積 される電 荷 量 によらない
ゲインセルのような新 しいデバイス構 造 が試 される必 要 がある
50nm 世 代 でキャパシタ膜 に必 要 な比 誘 電 率 は 1500 程 度 と予 想 される。現 在 、DRAM に適 応 で
きる薄 膜 の高 誘 電 率 の材 料 は開 発 されていない。“super high k”を持 つ革 新 的 な高 誘 電 体 材 料
の探 索 または、強 誘 電 体 を用 いた DRAM が試 みられる必 要 がある。
70nm 世 代 以 降 、セルのリーク電 流 がほぼ変 わらないのにリテンション時 間 が“赤 ”となっている。こ
の世 代 では接 合 リーク電 流 がリテンション時 間 を支 配 するようになると考 えられ、SOI 基 板 を用 いた
DRAM を考 えなければならないかもしれない。
一 方 、DRAM を混 載 した SoC に要 求 されるプロセス仕 様 は、メモリ/ロジックの構 成 比 により異 なっ
てくる。キャパシタ容 量 への要 求 は汎 用 DRAM ほどには厳 しくないと考 えられる。SoC における大 チ
ャレンジの一 つは、コンタクトホール形 成 である。一 般 に、DRAM のコンタクトホール深 さは、同 世 代
のロジックと比 べて著 しく深 く、極 端 なアスペクト比 増 大 を避 けるためホール径 を拡 大 せざるを得 ない。
これにより、同 世 代 ロジックと同 じ配 線 ピッチを実 現 することが困 難 となる。したがって、ロジックを優 先
させた SoC では、コンタクトのアスペクト比 を低 減 させるため、セル面 積 の拡 大 によりキャパシタ高 さを
抑 えるなどの工 夫 が必 要 になってくる。一 方 、DRAM を優 先 させた SoC では、DRAM のホール径 に
応 じたロジックの配 線 ピッチ を設 定 し なければ ならない 。このト レードオ フを脱 却 するため には、汎 用
DRAM 以 上 に材 料 やデバイス構 造 からのブレークスルー(breakthrough)が求 められる。
図 22 DRAM ス タ ッ ク キ ャ パ シ タ 膜 の 解 決 策 候 補
国際半導体技術ロードマップ 1999 年版
DRAM トレンチキャパシタ
表 36 に DRAM のトレンチキャパシタ技 術 に対 する要 求 を示 す。各 数 値 はキャパシタの容 量 値 が
35fF/cell(セル) と一 定 に保 ち続 けられると仮 定 して計 算 されている。100nm を含 む世 代 までは、ト
レンチキャパシタ技 術 は従 来 通 りの NO 誘 電 体 膜 のスケーリングとボトル形 状 等 の表 面 積 の拡 大 によ
って達 成 できる。グランドルールの縮 小 に伴 い 100nm 世 代 ではトレンチ幅 に対 するトレンチ深 さのア
スペクト比 は~60 程 度 に増 大 すると考 えられる。新 たな high-k 材 料 は 70nm 世 代 までは必 要 無 い
と予 想 されている。
SoC に対 してはキャパシタが基 板 中 に埋 め込 まれるトレンチキャパシタ技 術 では、DRAM セルアレ
イとロジック回 路 の遷 移 領 域 の段 差 が生 じない。このため多 層 配 線 のメタル線 幅 の細 りやリソグラフィ
の解 像 度 低 下 の問 題 を防 ぐことができる。また、深 いハイアスペクトコンタクトホールへの要 求 も避 ける
ことができる。加 えてキャパシタ形 成 プロセスがトランジスタ形 成 に先 立 って行 われるため、キャパシタ
形 成 の熱 サイクルによるデバイス性 能 の劣 化 が起 こることはない。
新 しいチップサイズモデルによるセルサイズファクタのスケーリングは、レイアウトと各 DRAM セルエ
レメント面 積 のより一 層 の最 適 化 を要 求 する 。これは、従 来 からのプレーナ構 造 のトランジスタから縦
方 向 トラン ジスタへの置 き換 えや 、キャパ シタ構 造 の更 なる高 アスペクト比 化 による蓄 積 電 極 の縮 小
等 の検 討 を意 味 する。最 終 的 にリソグラフィによるビットラインとワードラインピッチを持 つ全 ての
DRAM 技 術 は、それぞれのメタルピッチの2F で規 定 される理 論 的 な限 界 セルサイズ 4F^2 に達 する。
より小 さなセルサイズファクタは多 値 回 路 や多 層 DRAM などの新 しいコンセプトが必 要 である。このよ
うな根 本 的 な挑 戦 に対 して現 在 解 は知 られていない。
表 36 DRAM トレンチキャパシタ 技 術 的 要 求
国際半導体技術ロードマップ 1999 年版
8-3-5 環 境 ・安 全 ・健 康 ( Environment, Safety, and Health: ESH)
ESH )
FEOL(Front End of Line)プロセスにおける ESH 関 連 の重 点 項 目 は、ゲート絶 縁 膜 /電 極 のた
めの新 材 料 開 発 、天 然 資 源 の消 費 (特 に水 )の抑 制 、作 業 者 への物 理 的 ・化 学 的 危 険 性 の管 理 と
安 全 確 保 、そしてプロセスを最 適 化 して化 学 薬 品 の消 費 量 や削 減 が必 要 な排 出 物 発 生 を抑 えるこ
とである。100nm 技 術 そしてそれ以 降 の技 術 にむけた新 材 料 (そのための CVD 原 料 、洗 浄 方 法 、
エッチングガスも含 む)は ESH の観 点 から見 直 す必 要 がある。
世 界 的 な ESH 問 題 は FEOL プロセスのすべての分 野 に影 響 するものである。化 学 物 質 管 理 方 針
の第 一 は、化 学 物 質 の使 用 効 率 を最 大 限 にするようにプロセスを最 適 化 することである。化 学 物 質
使 用 効 率 の改 善 にあたっては、化 学 物 質 の使 用 量 、廃 棄 物 の生 成 量 、有 害 物 質 の除 害 、そして化
学 物 質 を使 用 する装 置 の使 用 効 率 も含 めて考 えなくてはならない。必 要 なときにその場 で化 学 物 質
を生 産 する方 法 は使 用 効 率 向 上 につながる。新 しい技 術 の導 入 に際 しては、装 置 と設 備 に必 要 な
エネルギーを見 積 もることが必 要 である。熱 プロセス、ウェーハ洗 浄 、そして排 気 設 備 は、エネルギー
消 費 抑 制 の観 点 か ら重 要 な領 域 である。既 設 の熱 プロセス装 置 ではエネルギー消 費 量 を測 定 する
ことができるので、特 にウェーハ処 理 を行 なっていないアイドリング状 態 において測 定 結 果 を利 用 して
エネルギー 消 費 を抑 制 するよ うにプロセ スを最 適 化 すること が可 能 で あろう。測 定 された エネルギー
消 費 データは、装 置 と 設 備 の設 計 改 善 に役 立 つだろう。エネルギー効 率 をさらに向 上 させるには新
規 な加 熱 方 法 が必 要 となるかも しれ ない。ウェーハ洗 浄 では加 熱 された化 学 薬 品 や 超 純 水 を使 用
する。新 しいエネルギー効 率 のよい加 熱 技 術 も将 来 の生 産 装 置 では必 要 となるだろう。プロセス機 器
の排 気 も クリー ンルー ムでの エネルギー消 費 の大 きな部 分 を占 めてい る。現 状 の排 気 シス テムの 改
良 そして 将 来 の設 備 と 装 置 の排 気 ・換 気 システム 設 計 の改 善 によ りエネルギー 消 費 を抑 え ること が
可 能 となるだ ろう。作 業 者 保 護 基 準 には可 能 性 のある物 理 的 危 険 性 (例 えば 、熱 災 害 、非 電 離 放
射 線 災 害 (物 質 を電 離 しない程 度 の比 較 的 低 エネルギーの電 磁 波 や粒 子 線 による災 害 )、レーザ災
害 、ロボット災 害 )と化 学 的 災 害 について規 定 が必 要 である。特 に装 置 メンテナンスに際 しては規 定
がいる。工 場 計 画 では、ウェーハ作 業 (特 に 300/450mm ウェーハについて)、装 置 、工 場 レイアウト
についてエルゴノミック(人 間 工 学 的 )な設 計 基 準 が満 足 されているか確 認 する必 要 がある。ESH の
COO とリスクアセスメントのツールを利 用 して、プロセス改 善 の評 価 を行 ない、また新 材 料 が引 き起 こ
す可 能 性 のある危 険 性 を確 認 することが必 要 である。
表 面 処 理 に関 して、ESH としては特 に新 しい洗 浄 技 術 、化 学 薬 品 の使 用 効 率 、水 とエネルギーの
消 費 に注 意 する必 要 がある。2005 年 以 降 に使 用 される可 能 性 がある新 しいゲート絶 縁 膜 /電 極 に
対 応 して、新 しい洗 浄 方 法 が用 いられるだろう。表 面 /界 面 科 学 の理 解 を深 めて化 学 薬 品 と水 の消
費 を抑 えなければならない。FEOL プロセスは工 場 の超 純 水 の 45-75%(CMP 工 程 の数 による)を消
費 している。この超 純 水 のほとんどすべてがリンス用 である。したがって、水 資 源 保 護 のためには、より
効 率 的 で低 水 量 のリンス工 程 を開 発 すべきである。しかし、リンス水 の削 減 とリンス 排 水 の再 利 用 は
関 連 しており、総 合 的 に最 適 化 する必 要 がある。超 純 水 製 造 効 率 の向 上 、装 置 で消 費 される水 の
削 減 そして効 率 的 なリンス方 法 を採 用 した持 続 的 な最 適 化 した水 利 用 法 が開 発 されつつある。しか
し、まったく別 な洗 浄 法 や 超 純 水 製 造 法 でエネルギー消 費 を格 段 にさげることも考 えるべきである。
低 レベルの有 機 物 を検 知 するセンサーの開 発 も超 純 水 リサイクルによるプロセス汚 染 の危 険 性 を低
減 化 するために必 要 である。
国際半導体技術ロードマップ 1999 年版
SOI ウェーハの採 用 は工 程 数 削 減 、薬 品 ・水 ・エネルギーの削 減 により ESH 的 利 点 があるかもし
れない。大 口 径 ウェーハへの移 行 (200→300mm、300→450mm)は、薬 品 ・水 ・エネルギーの消 費
を増 大 させないような産 業 界 の努 力 がなされているが、やはりこれらの消 費 増 大 をもたらすだろう。
新 しい 高 誘 電 率 材 料 については材 料 自 体 とそれを堆 積 する工 程 の両 者 に対 してプロセス 危 険 性
を評 価 する必 要 がある。新 しいシリサイド(Co, Ni, 他 )は危 険 である可 能 性 があり、工 学 的 な管 理 や
適 当 な安 全 保 護 具 が必 要 である。化 学 物 質 の使 用 効 率 は、供 給 系 や装 置 設 計 の変 更 (ミニバッチ
炉 や枚 葉 装 置 )で改 善 することが できる。拡 散 、イオン注 入 およ び付 帯 設 備 のエネルギー 消 費 も 評
価 し節 減 する必 要 がある。新 しいドーピング技 術 の物 理 的 ・化 学 的 危 険 性 も評 価 して安 全 に実 施 す
る必 要 がある。プロセス危 険 物 分 析 手 段 を利 用 して水 素 化 物 、アルキル金 属 、レーザ源 の管 理 を行
なうとよいだろう。
プラズマ エッチ におい てパー フロロ カーボ ン(PFC )を使 いつづ けるならプロ セス 最 適 化 と ガス 使 用
効 率 向 上 (例 えばプロセ ス 中 のエッチング種 への 転 換 効 率 の向 上 ) を短 期 的 に 行 なう必 要 がある 。
長 期 的 には PFC に替 わるエッチングガス(副 生 物 としても PFC を発 生 させないもの)を開 発 する必 要
がある。新 しいゲート絶 縁 膜 材 料 には新 しいエッチングガスが必 要 であり、それ自 身 や副 生 成 物 につ
いての ESH への影 響 も考 慮 しなくてはならない。
分野
ESH 要 望 事 項
新 しい高 誘 電 率
・ 新 材 料 と堆 積 プロセスの ESH 評
ゲート絶 縁 膜 材 料 と
ゲート電 極 材 料
価
・ Pb 等 の毒 性 ・生 体 蓄 積 性 のある
金 属 の除 外
・ 化 学 物 質 使 用 効 率 の向 上
解決策候補
・ ESH 的 観 点 で優 れたプロセスの採 用
・ プロセス危 険 性 の明 確 化 と低 減 化
・ 開 発 初 期 における新 材 料 の迅 速 ESH 評
価
・ CVD 等 の堆 積 法 の原 料 についての ESH
問 題 の明 確 化
・ 水 素 化 物 ,ハロゲン化 物 の使 用
ドーピング
量削減
・ 化 学 物 質 使 用 効 率 の向 上
・ SDS (Sub-atmospheric/Safety
Delivery System,ボンベ内 の多 孔 質 材
料 に吸 着 させたガスを大 気 圧 以 下 で安 全
に供 給 するシステム)の利 用 拡 大
・ in-situ 水 素 化 物 生 成 によるガス量 節 減
・ 装 置 内 隔 離 による装 置 メンテナンス時 の
暴露防止
表面処理法
・ 表 面 /界 面 プロセス科 学 の基 本
的 な理 解
・ 最 適 化 と管 理 による薬 液 使 用 量
の節 減
・ 水 利 用 効 率 の向 上
・ エネルギー消 費 の節 減
・ ク ラ イ オジ ェ ニ ッ ク 洗 浄 , 超 臨 界 液 体 洗 浄 ,
オゾ ン洗 浄 , 希 釈 薬 液 洗 浄 等 の 洗 浄 法 最
適 化 による化 学 薬 品 使 用 量 節 減
・ リン ス 効 率 の 向 上 と リ ン ス 手 順 最 適 化 に よ
る水 使 用 量 節 減
・ 有 機 物 低 レ ベ ル 検 知 高 速 セン サ ー に よ る
超 純 水 再 利 用 のプロセス危 険 性 の低 減
化
・ プロ セス 部 の 封 入 ,エ ル ゴノミ ッ ク な 設 計 , ロ
ボット安 全 性 による作 業 者 の安 全 確 保
表 37 フロントエンドプロセスにおける ESH 要 望 事 項 と解 決 策 候 補
国際半導体技術ロードマップ 1999 年版
分野
ESH 要 望 事 項
解決策候補
エッチング/クリーニング
・ CVD チ ャン バ ーク リー ニ ング お よ
・ チャンバーク リーニ ング と エッ チング 工 程 の
びエッチング時 における地 球 温 暖
化 の影 響 が高 いガス(PFC 等 )の
放出量削減
・ ESH 的 観 点 か ら 好 ま し い エ ッ チ
ングガスの選 択
・ エネルギー消 費 の削 減
最 適 化 による PFC 利 用 効 率 の向 上
・ 低 COO の PFC 削 減 ・再 利 用 システムの
開発
・ PFC のような地 球 温 暖 化 効 果 の大 きい副
生 成 物 を出 さず ESH 的 観 点 から好 ましい
エ ッ チ ン グ ガ ス と チ ャ ン バ ー ク リー ニ ン グ 法
の開 発
・ 予 測 性 の高 いプラズマ放 射 モデルの開 発
・ 新 しいエッチングガスの ESH 問 題 の明 確
化
・ PFC のような地 球 温 暖 化 効 果 の大 きい副
生 成 物 を出 さず ESH 的 観 点 から好 ましい
HDP ( 高 密 度 プ ラ ズ マ ) エ ッ チ ン グ 法 の 開
発
・ HDP エッチャーのエネルギー消 費 の削 減
・ 装 置 における エネルギー消 費 の測 定 と 最
適化
・ すべての減 圧 工 程 における真 空 ポンプの
エネルギー消 費 削 減
スターティングマテリアル
・ SOI ウ ェ ー ハ の 採 用 に よ る 工 程
・ 化 学 物 質 使 用 量 削 減 の定 量 化
数 削 減 効 果 の解 析
熱 プロセス
・ ウェーハ熱 処 理 連 続 化 の必 要 性
・ 既 存 の熱 プロセスの最 適 化
・ 高 精 度 で均 一 なウェーハ加 熱 の
・ エネルギー効 率 の良 い新 加 熱 技 術
必要性
加 熱 ウェーハ洗 浄
・ 加 熱 薬 液 洗 浄 と熱 超 純 水 リンス
・エネルギー効 率 の良 い新 加 熱 技 術
の必 要 性
換 気 /排 気 の必 要 性
・ 作 業 者 安 全 と プ ロ セス 中 ウェー ハ
保 護 のための換 気 /排 気
・ 換 気 /排 気 の測 定 と最 適 化
・ 設 計 の改 善 による換 気 /排 気 必 要 性 の低
減化
ウェーハ洗 浄 /リンス
・ 水 使 用 量 の少 ないリンスプロセス
・ 新 しいリンス装 置 とリンス技 術
・ リンス手 順 と時 間 の最 適 化
表 37 フロントエンドプロセスにおける ESH 要 望 事 項 と解 決 策 候 補 (続 き)
8-4 まとめ
この国 際 ロードマップは、新 しい材 料 とデバイス構 造 を積 極 的 に取 り入 れなくては従 来 の CMOS ス
ケーリングが終 焉 を迎 えることを明 確 にした。 2005 年 までに積 層 ゲート形 成 に用 いられる高 誘 電 率
ゲート絶 縁 膜 /電 極 用 に従 来 のシリコンプロセスに適 合 する材 料 を選 定 し導 入 することが、FEOL プ
ロセス、特 に熱 処 理 /薄 膜 プロセスにおける緊 急 の課 題 である。これらの新 材 料 によってもこのロード
マップで述 べられた時 間 軸 内 のスケーリングが保 証 されるものではないが、結 局 のところ新 しいデバイ
国際半導体技術ロードマップ 1999 年版
ス構 造 の採 用 と合 わせて2つのテクノロジーノードの実 現 が可 能 となるだろう。さらに、このような新 しい
シリコンプロセスに適 合 する材 料 を導 入 することにより、新 材 料 を使 いこなすことが容 易 となり、将 来 の
スケーリングに必 要 な新 しい概 念 のデバイスで用 いられる新 材 料 も導 入 可 能 となるだろう。
新 しい高 誘 電 率 膜 とそれに対 応 する電 極 は DRAM のストレージキャパシタでも必 要 である。これら
なしでは DRAM のストレージノード面 積 をスケーリングしていくことができない。長 期 的 にメモリのスケ
ーリングを続 けていくには、現 在 用 いられている従 来 型 の積 層 キャパシタやトレンチキ ャパシタを置 き
換 える新 しいストレージキャパシタが必 要 となるだろう。
ドーピングにおいて、2005 年 までの短 期 ロードマップで、極 めて浅 く急 峻 な不 純 物 接 合 の形 成 が
必 要 とされている。また、コンタクト接 合 部 やデュアルドープのポリシリコンゲート電 極 において熱 平 衡
不 純 物 濃 度 より非 常 に高 い濃 度 の不 純 物 活 性 化 を達 成 することも必 要 である。これらの目 標 が達 成
されれば、SiON 膜 をゲート絶 縁 膜 として採 用 することにより短 期 的 なデバイススケーリングの継 続 が
可 能 となる。長 期 的 ロードマップでは、デュアルメタルゲート電 極 を含 む高 誘 電 率 積 層 ゲートの
CMOS-LSI に対 応 する低 サーマルバジェットプロセスで、高 濃 度 不 純 物 活 性 化 を達 成 したエレベー
トコンタクト構 造 の実 現 が重 要 なチャレンジ項 目 である。
エッチングにおいて、将 来 のチャレンジ項 目 は CD(クリティ カルディメン ション)の縮 小 化 と新 材 料
(メタルゲートと高 誘 電 率 材 料 )によって大 きく影 響 される。FEOL エッチング技 術 の最 も重 要 なチャ
レンジ項 目 は、ウェーハが大 口 径 化 しても CD の変 動 や均 一 性 悪 化 を引 き起 こさないようにすることと、
新 材 料 においても必 要 な選 択 性 と加 工 形 状 を得 ることである。加 えて、ラインエッジラフネスの抑 制 も
総 合 的 なトランジスタ性 能 を実 現 する上 で重 要 になっていくだろう。
表 面 処 理 において、短 期 的 なチャレンジは洗 浄 後 のパーティクル汚 染 ,元 素 汚 染 ,構 造 欠 陥 を低 コ
ストで低 減 化 していくことである。長 期 的 には、将 来 必 要 とされる新 しい高 誘 電 率 ゲートやエレベート
コンタクトを含 むデバイスの CMOS 工 程 で採 用 される新 プロセスアーキテクチャに適 合 するようなウェ
ットとドライを統 合 化 した洗 浄 法 の開 発 がチャレンジに含 まれる。
スターティングマテリアル(starting materials)におけるチャレンジ項 目 は、将 来 の大 口 径 ウェーハ
で低 コスト化 を実 現 することである。加 えて、これまで Moore 則 にそって向 上 してきた性 能 と生 産 性 を
引 き続 き改 善 するために必 要 となるかもしれない SOI ウェーハのような新 しいスターティングマテリアル
もチャレンジ項 目 である。
以 上 のような材 料 やプロセスへの要 求 事 項 が本 節 で明 確 に述 べられている。必 要 とされるプロセス
開 発 や製 造 工 程 からの要 望 事 項 を実 現 するには、大 学 /国 立 研 究 所 /半 導 体 デバイス産 業 /半 導 体
製 造 装 置 産 業 の努 力 を融 合 させることが必 要 である。これは特 に SoC において重 要 なチャレンジ項
目 である。SoC においてはロジック/エンベティッドメモリ(DRAM, FeRAM, フラッ シュ等 )/アナロ グ
/RF/その他 のデバイス技 術 を統 合 化 しなければならず 、非 常 に複 雑 なチャレンジとなる。新 しく開 発
される材 料 やプロセスは SoC に適 合 するものでなくてはならない。
2008 年 以 降 の長 期 ロードマップにおいて本 節 で述 べられた予 測 によると、MOS トランジスタのスケ
ーリングはまだ可 能 であろうが、それによって製 造 されるデバイスは期 待 される低 電 圧 高 速 IC チップ
の要 求 項 目 を満 足 するものではなくなるだろう。スケーリング則 は基 本 的 物 理 的 限 界 により終 焉 を迎
えるだろう。基 本 的 物 理 的 限 界 までチップレベルのスケーリングを継 続 するためには革 新 的 な概 念 の
国際半導体技術ロードマップ 1999 年版
デバイスを開 発 する必 要 があるだろう。近 い将 来 に開 発 される材 料 の知 識 基 盤 により、新 しい概 念 の
デバイスが発 明 される領 域 が大 きく広 がることも予 測 されている。
結 論 として、新 しい材 料 やプロセスは未 来 への完 全 な解 決 策 ではないが、いずれにせよ必 要 とされ
るものであろう。産 業 界 は 20 世 紀 に発 展 した知 識 基 盤 から最 適 解 を絞 り出 してきた。しかし、20 世
紀 の知 識 基 盤 には限 りがあり、新 しい知 識 基 盤 が必 要 とされる 時 期 が来 ている。新 しい解 決 策 を開
発 し、使 いこなさねばならない時 期 が来 ている。FEOL プロセスの領 域 において、来 るべきチャレンジ
に適 合 する積 極 的 な時 間 軸 で新 しい方 策 が必 要 とされるだろう。本 節 で述 べ られたチャレンジ項 目
は実 際 チャレンジングなものである。現 在 用 いられている材 料 やデバイスには基 本 的 限 界 が近 づいて
いるが、新 しい材 料 やデバイスはそれから逃 れられるかもしれない。
このチャレンジに対 応 するよう、従 来 からの開 発 方 法 や新 しい知 識 基 盤 の開 発 に加 えてリスクの高
いアプローチも必 要 とされる 。このためには産 業 界 /大 学 /研 究 機 関 の国 際 的 協 力 が必 要 とされるだ
ろう。
国際半導体技術ロードマップ 1999 年版
Fly UP