...

ザイリンクス XAPP1160 リアルタイム ビデオ アプリケーション

by user

on
Category: Documents
60

views

Report

Comments

Transcript

ザイリンクス XAPP1160 リアルタイム ビデオ アプリケーション
ア プ リ ケーシ ョ ン ノ ー ト : Kintex-7 フ ァ ミ リ および Zynq-7000 AP SoC
リ アル タ イ ム ビデオ ア プ リ ケーシ ョ ン向け AXI
Chip2Chip リ フ ァ レ ン ス デザイ ン
XAPP1160 (v1.0) 2013 年 3 月 7 日
概要
著者 : Saambhavi Vajjiravelu Baskaran、 Vamsi Krishna
LogiCORE™ IP AXI Chip2Chip は、複数デバ イ ス で構成 さ れ る シ ス テ ム オンチ ッ プ (SoC) ソ リ ューシ ョ
ンにおいて、 AXI (Advanced eXtensible Interface) シ ス テ ム間をブ リ ッ ジ接続する ザ イ リ ン ク ス の ソ フ ト
IP コ ア です。 こ の ア プ リ ケ ー シ ョ ン ノ ー ト で は、 Kintex®-7 FPGA ボ ー ド お よ び Zynq™-7000 All
Programmable (AP) SoC ボー ド で リ アル タ イ ム ビデオ ト ラ フ ィ ッ ク の転送をデモン ス ト レーシ ョ ン し ま
す。 こ こ で紹介する リ フ ァ レ ン ス デザ イ ンは、 AXI Chip2Chip コ ア を使用し て 2 つのザ イ リ ン ク ス ボー
ド を FMC コ ネ ク タ ケーブルで接続し ます。
リ フ ァ レ ン ス デザ イ ン には、 ISE® Design Suite : System Edition に含 ま れ る Xilinx Platform Studio
(XPS) v14.4 で作成 し た 2 つのエンベデ ッ ド シ ス テ ムが含まれます。 リ フ ァ レ ン ス デザ イ ン で使用 し た
コ アのバージ ョ ンは、 axi_chip2chip_v3_00_a です。 こ のデザ イ ン には、 ザ イ リ ン ク ス の ソ フ ト ウ ェ ア
開発キ ッ ト (SDK) を使用 し て構築 さ れた ソ フ ト ウ ェ ア も 含まれ ます。 こ のアプ リ ケーシ ョ ン ノ ー ト で
は、 XPS お よ び SDK の完全なプ ロ ジ ェ ク ト フ ァ イ ルが提供 さ れてお り 、 デザ イ ンの検査お よ び再構築
に活用 し た り 、 新規デザ イ ンの リ フ ァ レ ン ス と し て使用で き ます。
は じ めに
AXI Chip2Chip コ アは、 複数デバ イ ス で構成 さ れ る シ ス テ ム オン チ ッ プ (SoC) ソ リ ュ ーシ ョ ンにおい
て 2 つの AXI ベース シ ス テ ム を接続する ブ リ ッ ジ と し て機能 し ます ( 『AXI Chip2Chip 製品ガ イ ド 』 [
参照 1] 参照)。 こ の コ アは、 AXI プ ロ ト コ ル仕様に準拠 し た AXI ト ラ ンザ ク シ ョ ン をブ リ ッ ジ し 、 少な
い ピ ン数で高いパフ ォーマ ン ス を発揮す る AXI チ ッ プ間のブ リ ッ ジ ソ リ ュ ーシ ョ ン を提供 し ます。 ま
た、 1 つはマ ス タ ー、 1 つは ス レーブ と す る 合計 2 つの イ ン ス タ ン ス が イ ン ス タ ン シエー ト さ れてい ま
す。 マ ス タ ー モー ド の AXI Chip2Chip コ ア (マ ス タ ー C2C) と ス レーブ モー ド の AXI Chip2Chip コ ア
( ス レーブ C2C) は、 FPGA の I/O ピ ン を介 し て相互に接続 し ます (図 1)。
X-Ref Target - Figure 1
Master
C2C
AXI System-II
Slave
C2C
AXI
MicroBlaze
Processor
AXI
AXI System-I
AXI
Peripherals
X1160_01_010913
図 1 : 代表的な AXI Chip2Chip コ アのイ ン タ ー コ ネ ク ト
マ ス タ ー C2C には AXI4 ス レーブ イ ン タ ーフ ェ イ ス があ り 、 AXI イ ン タ ー コ ネ ク ト 経由で AXI マ ス
タ ー ペ リ フ ェ ラ ルに接続 し ます。 同様に ス レーブ C2C には AXI4 マ ス タ ー イ ン タ ーフ ェ イ ス があ り 、
AXI イ ン タ ー コ ネ ク ト 経由で AXI ス レーブ ペ リ フ ェ ラ ルに接続 し ます。System-II の AXI ス レーブ ペ
リ フ ェ ラ ルの メ モ リ 領域を マ ス タ ー C2C にマ ッ ピ ン グす る こ と に よ り 、 System-I の AXI マ ス タ ー ペ
リ フ ェ ラ ルは System-II の ス レーブ ペ リ フ ェ ラ ルにア ク セ ス し ます。
こ のアプ リ ケーシ ョ ン ノ ー ト では、 マ ス タ ー モー ド の AXI Chip2Chip コ ア を用いた VDMA リ フ ァ レ
ン ス デザ イ ン シ ス テ ム [参照 2] が System-I に含まれてお り 、 こ れが AXI 7 シ リ ーズ DDRx メ モ リ コ
ン ト ロ ー ラ ーを置 き 換え てい ます。 System-II には AXI 7 シ リ ーズ DDRx メ モ リ コ ン ト ロ ー ラ ーが含
ま れ、 AXI イ ン タ ー コ ネ ク ト 経由で ス レーブ モー ド 動作の AXI Chip2Chip コ アに接続 さ れてい ます。
© Copyright 2013 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the
United States and other countries. AMBA, AMBA Designer, ARM, ARM1176JZ-S are trademarks of ARM in the EU and other countries. HDMI, HDMI logo, and High-Definition
Multimedia Interface are trademarks of HDMI Licensing LLC. All other trademarks are the property of their respective owners.
XAPP1160 (v1.0) 2013 年 3 月 7 日
japan.xilinx.com
1
必要なハー ド ウ ェ アおよび ソ フ ト ウ ェ ア
System-I にはマ ス タ ー モー ド の AXI Chip2Chip コ アが含ま れ る ため、 System-I がマ ス タ ー シ ス テ ム
に指定 さ れてい ます。同様に、 System-II が ス レーブ シ ス テ ムに指定 さ れてい ます。 こ のアプ リ ケーシ ョ
ン ノ ー ト には 2 つの リ フ ァ レ ン ス デザ イ ンが含まれます。 1 つは、 2 つの Kintex-7 KC705 ボー ド の接
続方法を示す も ので、 も う 1 つは Kintex-7 KC705 ボー ド と Zynq-7000 AP SoC ZC706 ボー ド の接続
方法を示す も のです。
図 2 に、 こ の リ フ ァ レ ン ス デザ イ ンのブ ロ ッ ク と その相互接続を示 し ます。
X-Ref Target - Figure 2
AXI System-II
AXI_MM
Chip2Chip
Slave
DDR
AXI System-I
Chip2Chip
Master
AXI Performance Monitor
AXI_MM
Vid-Str
Bridge
MicroBlaze
Processor
VTC
AXI
VDMA
TPG
OSD
HDMI
Interface
VTC
AXI_Lite
AXI_Lite
AXI
UARTLite
AXI
INTC
AXI
MDM
AXI IIC
SI570
X1160_02_010913
図 2 : リ フ ァ レ ン ス シ ス テムのブ ロ ッ ク 図
必要なハー ド ウ ェ
アおよび ソ フ ト
ウェア
こ の リ フ ァ レ ン ス デザ イ ンのハー ド ウ ェ ア要件は次の と お り です。
•
2 つの Kintex-7 KC705 ボー ド 、 ま たは各 1 つの Kintex-7 KC705 ボー ド と Zynq-7000 AP SoC
ZC706 ボー ド
•
Type-A/Mini-B の 5 ピ ン USB ケーブル 2 本
•
HDMI™ ケーブル
•
解像度を設定可能なデ ィ ス プ レ イ モニ タ ー
•
FMC-FMC コ ネ ク タ ケーブル
注記 : FMC コ ネ ク タ ケーブルの購入先は [参照 8] 参照。
•
Xilinx Platform Studio 14.4
•
ザ イ リ ン ク ス ソ フ ト ウ ェ ア開発キ ッ ト 14.4
XAPP1160 (v1.0) 2013 年 3 月 7 日
japan.xilinx.com
2
リ フ ァ レ ン ス デザイ ン仕様
リ フ ァ レ ン ス デザ
イ ン仕様
こ のアプ リ ケーシ ョ ン ノ ー ト には 2 つの リ フ ァ レ ン ス デザ イ ンが含まれます。 1 つは、 2 つの Kintex-7
FPGA ボー ド をチ ッ プ間接続 し た も ので、も う 1 つは Kintex-7 FPGA ボー ド と Zynq-7000 AP SoC ボー
ド をチ ッ プ間接続 し た も のです。 ど ち ら の リ フ ァ レ ン ス デザ イ ン も マ ス タ ー シ ス テ ム と ス レーブ シ ス
テ ムで構成 さ れてい ます。
マ ス タ ー シ ス テ ムは両方の リ フ ァ レ ン ス デザ イ ン で共通 し てお り 、 次の コ ア を含みます。 表 1 に、 こ
れ ら のペ リ フ ェ ラ ルのア ド レ ス マ ッ ピ ン グ を示 し ます。
•
MicroBlaze™ Processor
•
MicroBlaze Debug Module (MDM)
•
Local Memory Bus (LMB) ブ ロ ッ ク RAM
•
AXI Chip2Chip Bridge
•
AXI Interconnect
•
Clock Generator
•
Processor System Reset (proc_sys_reset)
•
AXI UART Lite
•
AXI IIC
•
AXI Interrupt Controller
•
Video Timing Controller (VTC)
•
AXI Test Pattern Generator (TPG)
•
AXI Video Direct Memory Access (VDMA)
•
AXI Performance Monitor
•
AXI On-Screen Display (OSD)
•
HDMI Interface
Kintex-7 FPGA の ス レーブ シ ス テ ム には次の コ アが含ま れ ます。 表 2 に、 こ れ ら のペ リ フ ェ ラ ルのア
ド レ ス マ ッ ピ ン グ を示 し ます。
•
AXI 7 Series FPGA Memory Controller
•
AXI Chip2Chip Bridge
注記 : 次の コ アはマ ス タ ー と 共通のため、 図 2 には記載 さ れてい ません。
•
MicroBlaze Processor
•
AXI Interconnect
•
Clock Generator
•
Processor System Reset
Zynq-7000 AP SoC の ス レーブ シ ス テ ムには次の コ アが含まれます。
•
AXI Interconnect
•
AXI Chip2Chip Bridge ( ス レーブ モー ド )
•
Clock Generator
•
Processor System Reset
注記 : Zynq-7000 AP SoC のプ ロ セ ッ シ ン グ シ ス テ ム (PS) は、 図 2 には記載 さ れてい ません。
XAPP1160 (v1.0) 2013 年 3 月 7 日
japan.xilinx.com
3
リ フ ァ レ ン ス デザイ ン仕様
AXI Chip2Chip ブ リ ッ ジは、Zynq-7000 AP SoC の PS にあ る 高性能ス レーブ ポー ト に接続 さ れてい ま
す。 PS にあ る DDR のア ド レ ス マ ッ プは 0x00000000-3FFFFFFF です。
表 1 : System-I のア ド レ ス マ ッ プ
ペ リ フ ェ ラル
イ ンス タ ンス
ベース ア ド レ ス
上位ア ド レ ス
axi_intc
microblaze_0_intc
0x41200000
0x4120FFFF
lmb_bram_if_cntlr
microblaze_0_d_bram_ctrl
0x00000000
0x0001FFFF
lmb_bram_if_cntlr
microblaze_0_i_bram_ctrl
0x00000000
0x0001FFFF
mdm
debug_module
0x84400000
0x8440FFFF
axi_uartlite
rs232_uart_1
0x40600000
0x4060FFFF
axi_chip2chip
master_c2c
0x20000000
0x3FFFFFFF
timebase
timebase_0
0xC3820000
0xC382FFFF
timebase
timebase _1
0xC3800000
0xC380FFFF
axi_tpg
axi_tpg_0
0x7EE00000
0x7EE0FFFF
perf_axi_mm
axi_performance_monitor_0
0x70800000
0x7080FFFF
axi_plb_bridge
axi_plbv46_bridge_0
0xC3800000
0xC380FFFF
axi_plb_bridge
axi_plbv46_bridge_0
0xC3820000
0xC382FFFF
axi_osd
axi_osd_0
0x7EE20000
0x7EE2FFFF
axi_iic
axi_iic_0
0x40800000
0x4080FFFF
axi_timer
axi_timer_0
0x41C00000
0x41C0FFFF
axi_vdma
axi_vdma_0
0x7E200000
0x7E20FFFF
表 2 : System-II のア ド レ ス マ ッ プ
ベース ア ド レ ス
上位ア ド レ ス
lmb_bram_if_cntlr
ペ リ フ ェ ラル
microblaze_0_d_bram_ctrl
0x00000000
0x0001FFFF
llmb_bram_if_cntlr
microblaze_0_i_bram_ctrl
0x00000000
0x0001FFFF
mdm
debug_module
0x41400000
0x4140FFFF
axi_uartlite
rs232_uart_1
0x40600000
0x4060FFFF
axi_7series_ddrx
ddr3_sdram
0x20000000
0x3FFFFFFF
XAPP1160 (v1.0) 2013 年 3 月 7 日
イ ンス タ ンス
japan.xilinx.com
4
ハー ド ウ ェ ア シ ス テム仕様
ハー ド ウ ェ ア シ ス
テム仕様
こ こ では、 AXI Chip2Chip コ アの コ ン フ ィ ギ ュ レーシ ョ ンについて説明 し ます。 VDMA コ ン フ ィ ギ ュ
レ ーシ ョ ンお よ びその他の ビデオ関連 IP のハー ド ウ ェ ア シ ス テ ム に関す る 詳細は、 『AXI VDMA リ
フ ァ レ ン ス デザ イ ン』 [参照 2] を参照 し て く だ さ い。 AXI シ ス テ ムの最適化お よ び設計上の ト レー ド オ
フに関する詳細は、 『AXI リ フ ァ レ ン ス ガ イ ド 』 [参照 3] を参照 し て く だ さ い。
こ のアプ リ ケーシ ョ ン ノ ー ト は、 XPS に関す る一般的知識を前提 と し てい ます。 XPS ツールの詳細は、
『EDK コ ン セプ ト 、 ツール、 テ ク ニ ッ ク : エンベデ ッ ド シ ス テ ム設計者向けガ イ ド 』 [参照 4] を参照 し
て く だ さ い。
AXI System-I の コ ン フ ィ ギ ュ レーシ ョ ン
こ こ では、 AXI System-I の コ ン フ ィ ギ ュ レーシ ョ ン方法を説明 し ます。
AXI Chip2Chip マス タ ー イ ン ス タ ン ス (master_c2c)
AXI Chip2Chip コ アの動作モー ド には、マ ス タ ー モー ド と ス レーブ モー ド の 2 つがあ り ます。マ ス タ ー
モー ド では、 コ ア を 1 つま たは複数の AXI マ ス タ ー ペ リ フ ェ ラ ルの ス レーブ と し て設定で き ます。 ス
レーブ モー ド では、 コ ア を 1 つま たは複数の AXI ス レーブ ペ リ フ ェ ラ ルのマ ス タ ー と し て設定で き ま
す。 コ アの動作は、 独立 ク ロ ッ キ ン グ モー ド ま たは共通 ク ロ ッ キ ン グ モー ド のいずれかに設定で き ま
す。 独立 ク ロ ッ キ ン グ モー ド では、 物理層 イ ン タ ーフ ェ イ ス の動作 ク ロ ッ ク を AXI ク ロ ッ ク と 異な る
周波数に設定で き ます。 共通 ク ロ ッ キ ン グ モー ド では、 ク ロ ッ ク ド メ イ ン間を ま た ぐ こ と に よ る レ イ
テ ン シが抑え ら れます。
AXI デー タ 幅は、 シ ス テ ム 要件 に 応 じ て 32 ビ ッ ト ま た は 64 ビ ッ ト の い ずれ か を 選択 で き ま す。
Chip2Chip の PHY タ イ プ と PHY 幅に よ り 、デバ イ ス間接続に使用す る I/O ピ ンの数が決ま り ます。 コ
ンパ ク ト 2:1 お よ び 4:1 オプシ ョ ン を利用す る と 、 必要な I/O ピ ンの数を減 ら す こ と がで き ます。
Kintex-7 と Kintex-7 を接続 し たデザ イ ンでは、 64 ビ ッ ト の AXI Chip2Chip マ ス タ ー イ ン ス タ ン ス を
独立 ク ロ ッ キ ン グ モー ド で物理層の動作周波数 を 250MHz と し て コ ン フ ィ ギ ュ レ ーシ ョ ン し て い ま
す。 マ ス タ ー Chip2Chip には、 MicroBlaze、 VDMA MM2S、 S2MM チ ャ ネル と い う 3 つの AXI マ ス
タ ーがあ り ます。 し たが っ て、 マ ス タ ー Chip2Chip の AXI ID 幅は 2 です。 1080p の リ アル タ イ ム ビ
デオ ト ラ フ ィ ッ ク 信号の送受信に必要 なデー タ レ ー ト を 確保す る た め、 PHY タ イ プは SelectIO™
DDR、 PHY 幅は コ ンパ ク ト 1:1 を選択 し てい ます。 AXI WUSER 幅は 1 ビ ッ ト に設定 し て あ り ます。
図 3 に、 XPS で設定 し た Chip2Chip マ ス タ ー イ ン ス タ ン ス のパ ラ メ ー タ ーを示 し ます。
X-Ref Target - Figure 3
;BB
図 3 : AXI Chip2Chip のコ ン フ ィ ギ ュ レーシ ョ ン ( マス タ ー モー ド )
XAPP1160 (v1.0) 2013 年 3 月 7 日
japan.xilinx.com
5
ハー ド ウ ェ ア シ ス テム仕様
AXI Performance Monitor
LogiCORE™ AXI Performance Monitor コ アは、 AMBA® AXI シ ス テ ムの主要なパフ ォーマ ン ス基準
を計測 し ま す。 こ の コ アは、 プ ロ セ ッ サか ら レ ジ ス タ に ア ク セ ス す る ための ス レ ーブ AXI4-Lite イ ン
タ ーフ ェ イ ス で構成 さ れ ます。 AXI Performance Monitor コ アは、 AXI ス レーブ と AXI イ ン タ ー コ ネ
ク ト 間の読み出 し チ ャ ネルお よ び書 き込みチ ャ ネルを モニ タ ーす る のみです。 こ の コ アが、 モニ タ ー し
てい る AXI ト ラ ンザ ク シ ョ ン を修正/変更す る こ と はあ り ません。
こ の コ アは、 読み出 し バ イ ト 、 書 き込みバ イ ト 、 読み出 し 要求、 書 き込み要求、 お よ び書 き込み応答の
総数な ど、 さ ま ざ ま なパフ ォーマ ン ス基準を計測で き ます。 カ ウ ン ト の開始お よ び終了条件は、 レ ジ ス
タ イ ン タ ーフ ェ イ ス を介 し てプ ロ セ ッ サか ら 送 ら れ ま す。 コ アのグ ロ ーバル ク ロ ッ ク カ ウ ン タ ーが、
カ ウ ン ト の開始 イ ベン ト と 終了 イ ベン ト 間の ク ロ ッ ク 数を計測 し ます。パフ ォーマ ン ス モニ タ ーで使用
さ れ る カ ウ ン タ ーは、 レ ジ ス タ イ ン タ ーフ ェ イ ス を介 し て 32 ビ ッ ト ま たは 64 ビ ッ ト に設定で き ます。
ユーザーが選択可能な最終的な基準値 も レ ジ ス タ イ ン タ ーフ ェ イ ス を介 し て読み出す こ と がで き ます。
リ フ ァ レ ン ス デザ イ ン では、 マ ス タ ー AXI Chip2Chip コ アの ス レ ーブ AXI イ ン タ ーフ ェ イ ス を モニ
タ ー し 、 パフ ォーマ ン ス基準を レ ポー ト し ます。
AXI System-II の コ ン フ ィ ギ ュ レーシ ョ ン
こ こ では、 AXI System-II の AXI Chip2Chip コ アの コ ン フ ィ ギ ュ レーシ ョ ン方法について説明 し ます。
AXI Chip2Chip ス レーブ イ ン ス タ ン ス (Slave_c2c)
図 4 に、 ス レーブ Chip2Chip イ ン ス タ ン ス のパ ラ メ ー タ ー設定を示 し ま す。 Chip2Chip モー ド 以外の
パ ラ メ ー タ ー の 値 は す べ て AXI System-I の master_c2c イ ン ス タ ン ス と 同 じ で す。 一般 に、 AXI
Chip2Chip ス レ ーブ コ ン フ ィ ギ ュ レ ー シ ョ ン パ ラ メ ー タ ーの AXI デー タ 幅、 ID 幅、 WUSER 幅、
Chip2Chip PHY タ イ プ、 PHY 幅、 Chip2Chip PHY ク ロ ッ ク 周波数は AXI Chip2Chip マ ス タ ー コ ン
フ ィ ギ ュ レーシ ョ ンの各パ ラ メ ー タ ー と 一致 さ せ る必要があ り ます。
X-Ref Target - Figure 4
;BB
図 4 : AXI Chip2Chip のコ ン フ ィ ギ ュ レーシ ョ ン ( ス レーブ モー ド )
Kintex-7 FPGA および Zynq-7000 AP SoC の メ モ リ シス テムのコ ン フ ィ ギ ュ レーシ ョ ン
Kintex-7 の ス レーブ シ ス テ ム は、 AXI 7 シ リ ーズ メ モ リ コ ン ト ロ ー ラ ーを使用 し て DDR3 SDRAM
デバ イ ス に接続 し ま す。 AXI イ ン タ ーフ ェ イ ス は 64 ビ ッ ト で動作周波数は 200MHz です。 こ の コ ア
は、 読み出 し /書 き 込み受け入れ数を 2、 書 き 込み/読み出 し 深 さ を 512 と し て コ ン フ ィ ギ ュ レーシ ョ ン
し てい ます。 メ モ リ コ ン ト ロ ー ラ ーに接続 さ れてい る AXI イ ン タ ー コ ネ ク ト のポー ト は、 FIFO を有効
に し て あ り ます。 コ アの詳細は、『7 シ リ ーズ FPGA メ モ リ イ ン タ ーフ ェ イ ス ソ リ ュ ーシ ョ ン ユーザー
ガ イ ド 』 [参照 5] を参照 し て く だ さ い。
XAPP1160 (v1.0) 2013 年 3 月 7 日
japan.xilinx.com
6
ソ フ ト ウ ェ ア ア プ リ ケーシ ョ ン
Zynq-7000 AP SoC の ス レーブ シ ス テ ム では、 AXI Chip2Chip ス レーブ イ ン ス タ ン ス が PS の高性能
(HP) ス レーブ AXI イ ン タ ーフ ェ イ ス に接続 し てい ます。 HP ポー ト は、 プ ロ グ ラ マブル ロ ジ ッ ク (PL)
内の AXI マ ス タ ー と PS の DDR3 メ モ リ を接続す る高スループ ッ ト のデー タ パ ス と し て利用で き ます。
ソ フ ト ウ ェ ア アプ
リ ケーシ ョ ン
シ ス テ ムのアプ リ ケーシ ョ ン ソ フ ト ウ ェ アは、 VDMA リ フ ァ レ ン ス デザ イ ンの も の と 同 じ です。 ソ フ
ト ウ ェ アの機能の詳細は、 『AXI VDMA リ フ ァ レ ン ス デザ イ ン』 [参照 2] を参照 し て く だ さ い。
リ フ ァ レ ン ス デザ
イ ンの使用
こ のセ ク シ ョ ンでは、 ハー ド ウ ェ アで リ フ ァ レ ン ス デザ イ ン を実行す る 手順について説明 し ます。
Kintex-7 KC705 ボー ド と Kintex-7 KC705 ボー ド の組み合わせ
Kintex-7 KC705 ボー ド と Kintex-7 KC705 ボー ド を接続 し た リ フ ァ レ ン ス デザ イ ン を実行す る手順は、
次の と お り です。
1. 2 つの KC705 ボー ド を FMC-FMC コ ネ ク タ ケーブルで接続 し ます (図 5)。
X-Ref Target - Figure 5
;BB
図 5 : Kintex-7 KC705 ボー ド と Kintex-7 KC705 ボー ド のセ ッ ト ア ッ プ
2. 片方の KC705 ボー ド の HDMI ビデオ出力を、 1920 x 1080p (60Hz) のビデオ信号に対応 し た ビデ
オ モニ タ ーに接続 し ます。
注記 : こ こ か ら の手順では、こ のボー ド を 「ボー ド A」、も う 1 つのボー ド を 「ボー ド B」 と 呼びます。
XAPP1160 (v1.0) 2013 年 3 月 7 日
japan.xilinx.com
7
リ フ ァ レ ン ス デザイ ンの使用
3. USB ケーブルを ホ ス ト PC か ら ボー ド A の USB UART ポー ト に接続 し ます。
4. 電源ケーブルを両方のボー ド に接続 し ます。
5. 両方のボー ド の電源をオンに し ます。
6. ホ ス ト PC 上で、 次の設定でハ イ パー タ ー ミ ナルな ど の タ ー ミ ナル プ ロ グ ラ ム を開始 し ます。
a. ボー レー ト : 9600
b. デー タ ビ ッ ト : 8
c. パ リ テ ィ : な し
d. ス ト ッ プ ビ ッ ト : 1
e. フ ロ ー制御 : な し
7. ボー ド B に JTAG ケーブルを接続 し ます。
8. コ マ ン ド シ ェ ルま たは タ ー ミ ナル ウ ィ ン ド ウ で、 ス レーブのダ ウ ン ロ ー ド デ ィ レ ク ト リ へ移動 し
ます (32 ビ ッ ト ま たは 64 ビ ッ ト コ マ ン ド プ ロ ンプ ト を使用)。
% cd <unzip_dir>/c2c_ref_design/kintex/ready_for_download/slave
9. Xilinx Microprocessor Debugger (XMD) ツールを起動 し ます。
% xmd
10. ビ ッ ト ス ト リ ーム フ ァ イ ルを ボー ド B にダ ウ ン ロ ー ド し ます。
XMD% fpga -f system.bit
11. XMD を終了 し ます。
XMD% exit
12. ボー ド A に JTAG ケーブルを接続 し ます。
13. マ ス タ ーのダ ウ ン ロ ー ド デ ィ レ ク ト リ へ移動 し ます。
% cd <unzip_dir>/c2c_ref_design/kintex/ready_for_download/master
14. XMD を起動 し ます。
% xmd
15. ビ ッ ト ス ト リ ーム フ ァ イ ルを ボー ド A にダ ウ ン ロ ー ド し ます。
XMD% fpga -f system.bit
16. プ ロ セ ッ サを接続 し ます。
XMD% connect mb mdm
17. ソ フ ト ウ ェ アのダ ウ ン ロ ー ド 時にシ ス テ ム全体を リ セ ッ ト し ない よ う 、 こ れを無効に し ます。
XMD% debugconfig -reset_on_run system disable
18. プ ロ セ ッ サを リ セ ッ ト し ます。
XMD% rst -processor
19. ELF (Executable and Linkable Format) プ ロ セ ッ サ コ ー ド フ ァ イ ルを ダ ウ ン ロ ー ド し ます。
XMD% dow axi_vdma_display.elf
20. ソ フ ト ウ ェ ア を実行 し て リ フ ァ レ ン ス シ ス テ ムの動作を開始 し ます。
XMD% run
XAPP1160 (v1.0) 2013 年 3 月 7 日
japan.xilinx.com
8
リ フ ァ レ ン ス デザイ ンの使用
Kintex-7 KC705 ボー ド と Zynq-7000 AP SoC ZC706 ボー ド の組み合わせ
Kintex-7 KC705 ボー ド と Zynq-7000 ZC706 ボー ド を接続 し た リ フ ァ レ ン ス デザ イ ン を実行す る 手順
は、 次の と お り です。
1. KC705 ボー ド と ZC706 ボー ド の HPC コ ネ ク タ ピ ン同士を FMC-FMC コ ネ ク タ ケーブルで接続
し ます (図 6)。
X-Ref Target - Figure 6
;BB
図 6 : Kintex-7 KC705 ボー ド と Zynq-7000 AP SoC ZC706 ボー ド のセ ッ ト ア ッ プ
2. KC705 ボー ド の HDMI ビデオ出力を、 1920 x 1080p (60Hz) のビデオ信号に対応 し た ビデオ モニ
タ ーに接続 し ます。
注記 : こ こ か ら の手順では、こ のボー ド を 「ボー ド A」、も う 1 つのボー ド を 「ボー ド B」 と 呼びます。
3. USB ケーブルを ホ ス ト PC か ら ボー ド A の USB UART ポー ト に接続 し ます。
4. 電源ケーブルを両方のボー ド に接続 し ます。
5. ボー ド B の電源を オンに し ます。
6. ボー ド B に JTAG ケーブルを接続 し ます。
7. コ マ ン ド シ ェ ルま たは タ ー ミ ナル ウ ィ ン ド ウ で、 ス レーブのダ ウ ン ロ ー ド デ ィ レ ク ト リ へ移動 し
ます (32 ビ ッ ト ま たは 64 ビ ッ ト コ マ ン ド プ ロ ンプ ト を使用)。
% cd <unzip_dir>/c2c_ref_design/zynq/ready_for_download/slave
XAPP1160 (v1.0) 2013 年 3 月 7 日
japan.xilinx.com
9
リ フ ァ レ ン ス デザイ ンの使用
8. Xilinx Microprocessor Debugger (XMD) ツールを起動 し ます。
% xmd
9. ボー ド B で、 TCL フ ァ イ ルを source コ マ ン ド で実行 し ます。
XMD% source xmd.tcl
10. XMD を終了 し ます。
XMD% exit
11. ボー ド A に JTAG ケーブルを接続 し 、 電源を オンに し ます。
12. ホ ス ト PC 上で、 次の設定でハ イ パー タ ー ミ ナルな ど の タ ー ミ ナル プ ロ グ ラ ム を開始 し ます。
a. ボー レー ト : 9600
b. デー タ ビ ッ ト : 8
c. パ リ テ ィ : な し
d. ス ト ッ プ ビ ッ ト : 1
e. フ ロ ー制御 : な し
13. マ ス タ ーのダ ウ ン ロ ー ド デ ィ レ ク ト リ へ移動 し ます。
% cd <unzip_dir>/c2c_ref_design/zynq/ready_for_download/master
14. XMD を起動 し ます。
% xmd
15. ビ ッ ト ス ト リ ーム フ ァ イ ルを ボー ド A にダ ウ ン ロ ー ド し ます。
XMD% fpga -f system.bit
16. プ ロ セ ッ サを接続 し ます。
XMD% connect mb mdm
17. ソ フ ト ウ ェ アのダ ウ ン ロ ー ド 時にシ ス テ ム全体を リ セ ッ ト し ない よ う 、 こ れを無効に し ます。
XMD% debugconfig -reset_on_run system disable
18. プ ロ セ ッ サを リ セ ッ ト し ます。
XMD% rst -processor
19. ELF (Executable and Linkable Format) プ ロ セ ッ サ コ ー ド フ ァ イ ルを ダ ウ ン ロ ー ド し ます。
XMD% dow test.elf
20. ソ フ ト ウ ェ ア を実行 し て リ フ ァ レ ン ス シ ス テ ムの動作を開始 し ます。
XMD% run
21. ハ イ パー タ ー ミ ナルの画面の指示に従い ます。
XAPP1160 (v1.0) 2013 年 3 月 7 日
japan.xilinx.com
10
リ フ ァ レ ン ス デザイ ンの使用
ハー ド ウ ェ ア と ソ フ ト ウ ェ アの実行結果
Kintex-7 KC705 ボー ド と Kintex-7 KC705 ボー ド のセ ッ ト ア ッ プでは、解像度が 720 x 480 お よ び 1920
x 1080 のデモン ス ト レーシ ョ ン を行い ます。 Kintex-7 KC705 ボー ド と Zynq-7000 IP SoC ZC706 ボー
ド のセ ッ ト ア ッ プでは、 デー タ 幅を 32 ビ ッ ト と し て AXI Chip2Chip を コ ン フ ィ ギ ュ レーシ ョ ン し 、 解
像度は ソ フ ト ウ ェ アのデフ ォ ル ト 設定で 720 x 480 を選択 し てい ます。 図 7 ~図 9 に、 ハ イ パー タ ー ミ
ナルの出力画面を示 し ます。
X-Ref Target - Figure 7
;BB
図 7 : ハイパー タ ー ミ ナルの解像度選択 メ ニ ュ ー
X-Ref Target - Figure 8
;BB
図 8 : ハイパー タ ー ミ ナルのフ レーム レー ト 選択 メ ニ ュ ー
XAPP1160 (v1.0) 2013 年 3 月 7 日
japan.xilinx.com
11
ハー ド ウ ェ ア デザイ ンの再構築
X-Ref Target - Figure 9
;BB
図 9 : ハイパー タ ー ミ ナルのビデオ パ タ ーン選択 メ ニ ュ ー
ユーザーは、ハ イ パー タ ー ミ ナル画面に表示 さ れた次の 8 つのビデオ パ タ ーンか ら 1 つを選択で き ます。
0 - LCD に水平 ラ ンプを表示
1 - LCD に垂直 ラ ンプを表示
2 - LCD に全画面赤色を表示
3 - LCD に全画面緑色を表示
4 - LCD に全画面青色を表示
5 - LCD にカ ラ ー バーを表示
6 - LCD にゾーン プ レー ト を表示
7 - LCD に格子バーを表示
8 - LCD に ク ロ ス ハ ッ チを表示
9 - パフ ォーマ ン ス関連基準を表示
ハー ド ウ ェ ア デザ
イ ンの再構築
こ のセ ク シ ョ ンでは、 ハー ド ウ ェ ア デザ イ ン を再構築する 方法について説明 し ます。 プ ロ ジ ェ ク ト を再
構築す る 前に、 AXI OSD お よ び AXI Timebase の ラ イ セ ン ス が イ ン ス ト ール さ れてい る こ と を確認す
る 必要があ り ま す。 AXI Timebase ま たは AXI OSD の評価用 ラ イ セ ン ス を入手す る には、 On-Screen
Display LogiCORE IP [参照 6] ま たは Video Timing Controller LogiCORE IP [参照 7] の ウ ェ ブサ イ ト
を参照 し て く だ さ い。
マス タ ー デバイ スの再構築
マ ス タ ー デバ イ ス を再構築す る手順は、 次の と お り です。
1. XPS を起動 し ます。
2. 次のいずれかの フ ァ イ ルを開 き ます。
Kintex-7 FPGA の場合 :
<unzip_dir>/c2c_ref_design/kintex/HW/master/system.xmp
XAPP1160 (v1.0) 2013 年 3 月 7 日
japan.xilinx.com
12
SDK によ る ソ フ ト ウ ェ アの コ ンパイル と デザイ ンの実行
Zynq-7000 AP SoC の場合 :
<unzip_dir>/c2c_ref_design/zynq/HW/master/system.xmp
3. [Hardware] → [Generate Bitstream] を ク リ ッ ク し 、 シ ス テ ムのビ ッ ト ス ト リ ーム を生成 し ます。
4. [Device Configuration] → [Update Bitstream] を ク リ ッ ク し 、 プ ロ セ ッ サのブー ト を メ モ リ 内の安定
し たプ ロ グ ラ ムで確実に実行す る ために、 bootloop プ ロ グ ラ ムでブ ロ ッ ク RAM を初期化 し ます。
ス レーブ デバイ スの再構築
ス レーブ デバ イ ス を再構築す る手順は、 次の と お り です。
1. XPS を起動 し ます。
2. 次のいずれかの フ ァ イ ルを開 き ます。
Kintex-7 FPGA の場合 :
<unzip_dir>/c2c_ref_design/kintex/HW/slave/system.xmp
Zynq-7000 AP SoC の場合 :
<unzip_dir>/c2c_ref_design/zynq/HW/slave/system.xmp
3. [Hardware] → [Generate Bitstream] を ク リ ッ ク し 、 シ ス テ ムのビ ッ ト ス ト リ ーム を生成 し ます。
4. [Device Configuration] → [Update Bitstream] を ク リ ッ ク し 、 プ ロ セ ッ サのブー ト を メ モ リ 内の安定
し たプ ロ グ ラ ムで確実に実行す る ために、 bootloop プ ロ グ ラ ムでブ ロ ッ ク RAM を初期化 し ます。
SDK に よ る ソ フ
ト ウ ェ アの コ ンパ
イル と デザイ ンの
実行
ザ イ リ ン ク ス の ソ フ ト ウ ェ ア開発キ ッ ト (SDK) は、 ザ イ リ ン ク ス のすべての FPGA アーキ テ ク チ ャ を
サポー ト し た ソ フ ト ウ ェ ア開発環境です。
ソ フ ト ウ ェ アの コ ンパイル
ソ フ ト ウ ェ ア を コ ンパ イ ルす る手順は、 次の と お り です。
1. SDK を起動 し ます。
2. [Workspace Launcher] → [Workspace] を ク リ ッ ク し ます。
3. 次の項目を選択 し ます。 <unzip_dir>/c2c_ref_design/SW/master/SDK_Workspace
4. [OK] を ク リ ッ ク し ます。
5. [Xilinx Tools] → [Repositories] を ク リ ッ ク し 、 リ ポジ ト リ を設定 し ます。
6. ロ ーカル リ ポジ ト リ の場合、 [New] を ク リ ッ ク し ます。
7. 次の項目を選択 し ます。 <unzip_dir>/c2c_ref_design/repository
8. [OK] を ク リ ッ ク し ます。
9. [File] → [Import] → [General] → [Existing Projects into Workspace] を ク リ ッ ク し 、 ボー ド サポー
ト パ ッ ケージ (BSP)、ハー ド ウ ェ ア プ ラ ッ ト フ ォーム、ソ フ ト ウ ェ ア アプ リ ケーシ ョ ン を イ ン ポー
ト し ます。
10. [Next] を ク リ ッ ク し ます。
11. 次の項目を選択 し ます。
Kintex-7 の場合 :
<unzip_dir>/c2c_ref_design//kintex_kintex/SW/master/SW
Zynq-7000 AP SoC の場合 :
<unzip_dir>/c2c_ref_design//kintex_zynq/SW/master/SW
XAPP1160 (v1.0) 2013 年 3 月 7 日
japan.xilinx.com
13
デザイ ンの特性
12. [OK] を ク リ ッ ク し ます。
•
すべてのチ ェ ッ ク ボ ッ ク ス (axi_vdma_display と hw_platform_0 を含む) がオンにな っ てい
る こ と を確認 し ます。
•
関連す る ソ フ ト ウ ェ ア アプ リ ケーシ ョ ンが選択 さ れてい る こ と を確認 し ます。
13. [Finish] を ク リ ッ ク し ます。
BSP お よ び ソ フ ト ウ ェ ア アプ リ ケーシ ョ ンの コ ンパ イ ルが開始 し ます。
注記 : こ の処理には最大 5 分ほ ど かか り ます。
こ れで、 ユーザーは SDK を利用 し て既存の ソ フ ト ウ ェ ア アプ リ ケーシ ョ ン を変更 し 、 新規 ソ フ ト ウ ェ
ア アプ リ ケーシ ョ ン を作成で き る よ う にな り ます。
デザイ ンの特性
こ の リ フ ァ レ ン ス デザ イ ンは、 ISE Design Suite : Embedded Edition 14.4 を使用 し て 2 つの Kintex-7
FPGA (XC7K325TFFG900-1) と 1 つの Zynq-7000 AP SoC (XC7Z045-2FFG900C) に イ ンプ リ メ ン ト
さ れてい ます。
マ ス タ ー デバ イ ス の リ ソ ース使用率は次の と お り です。
•
LUT 総数 : 203,800 の う ち 25,418 (12%)
•
I/O 総数 : 500 の う ち 119 (23%)
•
内部 メ モ リ 総数 : 64,000 の う ち 1,175 (1%)
•
RAMB36E1 : 445 の う ち 79 (17%)
•
RAMB18E1 : 890 の う ち 6 (1%)
ス レーブ デバ イ ス の リ ソ ース使用率は次の と お り です。
•
LUT 総数 : 203,800 の う ち 27,445 (13%)
•
I/O 総数 : 500 の う ち 206 (41%)
•
内部 メ モ リ 総数 : 64,000 の う ち 3567 (5%)
•
RAMB36E1 : 445 の う ち 56 (12%)
•
RAMB18E1 : 890 の う ち 1 (1%)
注記 : こ れ ら の数値は、 Kintex-7 ボー ド と Kintex-7 ボー ド を接続 し た リ フ ァ レ ン ス デザ イ ンの も ので
す。 デバ イ ス の リ ソ ース使用率の結果は、 イ ンプ リ メ ン テーシ ョ ン ツールのバージ ョ ンに よ っ て異な り
ます。 厳密な結果は変動す る可能性があ り ます。 上記の値は、 指標 と し て使用 し て く だ さ い。
XAPP1160 (v1.0) 2013 年 3 月 7 日
japan.xilinx.com
14
リ フ ァ レ ン ス デザイ ン
リ フ ァ レ ン ス デザ
イン
こ れ ら の リ フ ァ レ ン ス デザ イ ンは、 ハー ド ウ ェ ア ボー ド 上で完全に検証 さ れ、 テ ス ト さ れてい ます。 こ
れ ら のデザ イ ン には、 AXI Chip2Chip IP コ アの機能に関す る 詳細情報が含ま れてい ま す。 ま た、 ザ イ
リ ン ク ス ISE Design Suite ツールを使用 し て正 し く 配置配線が行われてい ます。
こ のアプ リ ケーシ ョ ン ノ ー ト の リ フ ァ レ ン ス デザ イ ン フ ァ イ ルは、 次のサ イ ト か ら ダ ウ ン ロ ー ド で き
ます。
https://secure.xilinx.com/webreg/clickthrough.do?cid=202414
表 3 に、 リ フ ァ レ ン ス デザ イ ンの詳細を示 し ます。
表 3 : リ フ ァ レ ン ス デザイ ンの詳細
パラ メ ー タ ー
説明
全般
開発者
Saambhavi VB、 Vamsi Krishna、 Dinesh Kumar
タ ーゲ ッ ト デバ イ ス ( ス テ ッ ピ ン グ レベ Kintex-7 FPGA お よ び Zynq-7000 AP SoC
ル、 ES、 プ ロ ダ ク シ ョ ン、 ス ピ ー ド グ
レー ド )
ソ ース コ ー ド の提供
あり
ソ ース コ ー ド の形式
VHDL、 Verilog
既存のザ イ リ ン ク ス ア プ リ ケ ー シ ョ ン EDK 用に提供 さ れた リ フ ァ レ ン ス デザ イ ン。 AXI VDMA
ノ ー ト / リ フ ァ レ ン ス デザ イ ン、 CORE リ フ ァ レ ン ス デザ イ ン をベース シ ス テ ム と し て使用 ( 『AXI
Generator™ ツ ール、 サー ド パーテ ィ か VDMA リ フ ァ レ ン ス デザ イ ン』 [参照 2] 参照)
ら デザ イ ンへの コ ー ド /IP の使用
シ ミ ュ レーシ ョ ン
機能シ ミ ュ レーシ ョ ンの実施
シ ミ ュ レーシ ョ ンはサポー ト せず
タ イ ミ ン グ シ ミ ュ レーシ ョ ンの実施
シ ミ ュ レーシ ョ ンはサポー ト せず
機能お よ び タ イ ミ ン グ シ ミ ュ レ ー シ ョ
ンでのテ ス ト ベンチの利用
シ ミ ュ レーシ ョ ンはサポー ト せず
テ ス ト ベンチの形式
シ ミ ュ レーシ ョ ンはサポー ト せず
使用 し た シ ミ ュ レ ー タ
ツール/バージ ョ ン
ソ フ ト ウ ェ ア シ ミ ュ レーシ ョ ンはサポー ト せず
SPICE/IBIS シ ミ ュ レーシ ョ ン
シ ミ ュ レーシ ョ ンはサポー ト せず
イ ン プ リ メ ン テーシ ョ ン
使用 し た合成 ソ フ ト ウ ェ ア ツール
XST 14.4
使用 し た イ ン プ リ メ ン テ ー シ ョ ン ソ フ ISE Design Suite 14.4 : System Edition
ト ウ ェ ア ツール/バージ ョ ン
ス タ テ ィ ッ ク タ イ ミ ン グ解析の実施
あ り (PAR/TRCE の タ イ ミ ン グにパス )
ハー ド ウ ェ ア検証
ハー ド ウ ェ ア検証の実施
あり
検証に使用 し た ハー ド ウ ェ ア プ ラ ッ ト 2 つの KC705 ボー ド と 1 つの ZC706 ボー ド
フ ォーム
XAPP1160 (v1.0) 2013 年 3 月 7 日
japan.xilinx.com
15
使用率 と パ フ ォ ーマ ン ス
使用率 と パフ ォ ー
マンス
表 4 と 表 5 は、 Kintex-7 と Kintex-7 を接続 し た リ フ ァ レ ン ス デザ イ ンにおけ る AXI Chip2Chip IP コ
アのマ ス タ ーお よ び ス レーブ イ ン ス タ ン ス のデバ イ ス リ ソ ース使用率を示 し た も のです。 こ れ ら 表の
情 報 は、 XPS の [Design Overview] → [Module Level Utilization] レ ポ ー ト を 選 択 し て 表 示 さ れ る
[Design Summary] タ ブか ら 得 ら れ ます。 使用率の情報は、 モジ ュ ールの境界を越え た ロ ジ ッ ク 最適化
と モジ ュ ール間の ロ ジ ッ ク 共有のため、 概算値 と な っ てい ます。
表 4 : マス タ ー Chip2Chip イ ン ス タ ン スのモ ジ ュ ール レ ベルの リ ソ ース使用率
IP コ ア
イ ン ス タ ン ス名
スラ イス
スラ イス
レジス タ
LUT
LUTRAM
BRAM/FIFO
DSP48E1
BUFG
BUFR
MMCM_ADV
AXI Chip2Chip
master_c2c
622
1501
1182
28
5
0
2
0
1
表 5 : ス レーブ Chip2Chip イ ン ス タ ン スのモ ジ ュ ール レ ベルの リ ソ ース使用率
IP コ ア
イ ン ス タ ン ス名
スラ イス
スラ イス
レジス タ
LUT
LUTRAM
BRAM/FIFO
DSP48E1
BUFG
BUFR
MMCM_ADV
AXI Chip2Chip
slave_c2c
605
1487
1111
28
5
0
2
0
1
注記 : ス ラ イ ス には、 複数の IP コ アお よ び階層か ら の基本エ レ メ ン ト をパ ッ ク で き ます。 し たがっ て、
1 つの ス ラ イ ス にパ ッ ク さ れた基本エ レ メ ン ト が属す る 階層モジ ュ ールすべてで ス ラ イ ス がカ ウ ン ト さ
れます。 つま り 、 複数のモジ ュールで ス ラ イ ス数を加算す る と 一部は重複 し て カ ウ ン ト さ れます。
Kintex-7 ボ ー ド と Kintex-7 ボ ー ド を 接 続 し た セ ッ ト ア ッ プ で は、 AXI デー タ 幅 を 64 ビ ッ ト 、
Chip2Chip PHY を動作周波数 250MHz の コ ンパ ク ト 1:1 DDR モー ド と し て コ ン フ ィ ギ ュ レーシ ョ ン
し てい ます。 AXI Chip2Chip コ アは、 理論上の スループ ッ ト (式 1) がマ ス タ ー AXI Chip2Chip コ アへ
の入力 と し て送信 さ れ る 平均 ト ラ フ ィ ッ ク よ り も 大 き く な る よ う に コ ン フ ィ ギ ュ レーシ ョ ンす る 必要が
あ り ます。
3  AXIDataWidth
-------------------------------------------------  PHYFrequency
式1
4  MuxingRatio
た と えば AXI デー タ 幅を 32 ビ ッ ト 、 Chip2Chip PHY を動作周波数 250MHz の コ ン パ ク ト 1:1 DDR
モー ド と し て コ ン フ ィ ギ ュ レーシ ョ ン し た場合、 コ アの理論上の スループ ッ ト は 750MB/s と な り ます。
1920 x 1080 のフ レーム解像度は 0.995GB/s の帯域幅を必要 と する ため、 こ の コ ン フ ィ ギ ュ レーシ ョ ン
ではサポー ト で き ない可能性があ り ます。 ZC706 ボー ド の場合、 64 ビ ッ ト コ ンパ ク ト 1:1 DDR モー ド
を利用する には FMC HPC コ ネ ク タ の I/O ピ ンの数が足 り ません。 こ のため、AXI Chip2Chip コ ア を 32
ビ ッ ト で コ ン フ ィ ギ ュ レーシ ョ ン し 、 低解像度の 720 x 480 をデモン ス ト レーシ ョ ン し てい ます。
注記 : 式 1 の 「Muxing ratio」 は Chip2Chip の PHY 幅パ ラ メ ー タ ーを表 し 、 コ ンパ ク ト 1:1 では 1、 コ
ンパ ク ト 2:1 では 2、 コ ンパ ク ト 4:1 では 4 です。
XAPP1160 (v1.0) 2013 年 3 月 7 日
japan.xilinx.com
16
参考資料
参考資料
こ の文書では、 次の参考資料を使用 し てい ます。
1.
2.
3.
4.
5.
6.
7.
8.
改訂履歴
Notice of
Disclaimer
PG067 : 『AXI Chip2Chip 製品ガ イ ド 』
XAPP742 : 『AXI VDMA リ フ ァ レ ン ス デザ イ ン』
UG761 : 『AXI リ フ ァ レ ン ス ガ イ ド 』
UG683 : 『EDK コ ン セプ ト 、 ツール、 テ ク ニ ッ ク : エンベデ ッ ド シ ス テ ム設計者向けガ イ ド 』
UG586 : 『7 シ リ ーズ FPGA メ モ リ イ ン タ ーフ ェ イ ス ソ リ ュ ーシ ョ ン ユーザー ガ イ ド 』
On-Screen Display LogiCORE IP :
http://www.xilinx.com/products/intellectual-property/EF-DI-OSD.htm
Video Timing Controller IP
http://www.xilinx.com/products/intellectual-property/EF-DI-VID-TIMING.htm
FMC コ ネ ク タ ケーブル ( 製品番号 : HDR-169475)
http://www.samtec.com/standards/vita.aspx
次の表に、 こ の文書の改訂履歴を示 し ます。
日付
バージ ョ ン
2013 年 3 月 7 日
1.0
内容
初版
The information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use
of Xilinx products. To the maximum extent permitted by applicable law: (1) Materials are made available
"AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS,
EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF
MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE;
and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other
theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection
with, the Materials (including your use of the Materials), including for any direct, indirect, special,
incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of loss
or damage suffered as a result of any action brought by a third party) even if such damage or loss was
reasonably foreseeable or Xilinx had been advised of the possibility of the same. Xilinx assumes no
obligation to correct any errors contained in the Materials or to notify you of updates to the Materials or
to product specifications. You may not reproduce, modify, distribute, or publicly display the Materials
without prior written consent. Certain products are subject to the terms and conditions of the Limited
Warranties which can be viewed at http://www.xilinx.com/warranty.htm; IP cores may be subject to
warranty and support terms contained in a license issued to you by Xilinx. Xilinx products are not
designed or intended to be fail-safe or for use in any application requiring fail-safe performance; you
assume sole risk and liability for use of Xilinx products in Critical Applications:
http://www.xilinx.com/warranty.htm#critapps.
本資料は英語版 (v1.0) を翻訳 し た も ので、 内容に相違が生 じ る 場合には原文を優先 し ます。
資料に よ っ ては英語版の更新に対応 し ていない も のがあ り ます。
日本語版は参考用 と し て ご使用の上、 最新情報につ き ま し ては、 必ず最新英語版を ご参照 く だ さ い。
こ の資料に関す る フ ィ ー ド バ ッ ク お よ び リ ン ク な ど の問題につ き ま し ては、
[email protected] ま でお知 ら せ く だ さ い。 いただ き ま し た ご意見を参考に早急に対応 さ
せていただ き ます。 なお、 こ の メ ール ア ド レ スへのお問い合わせは受け付けてお り ません。 あ ら か じ め
ご了承 く だ さ い。
XAPP1160 (v1.0) 2013 年 3 月 7 日
japan.xilinx.com
17
Fly UP