...

アサインメント・エディタ

by user

on
Category: Documents
16

views

Report

Comments

Transcript

アサインメント・エディタ
1. アサインメント・エディタ
この資料は英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。こちらの日本語版は参考用としてご利用
ください。設計の際には、最新の英語版で内容をご確認ください。
QII52001-7.2.0
はじめに
今日の FPGA のデザインは、増加の一途を辿る現行の FPGA の集積度お
よび関連ピン数によってますます複雑になっています。最新世代の
FPGA への複雑なデザインの実装を成功させるために、ピン配置および
I/O 規格など、多数のピン・アサインメントが必要です。
これらのアサインメントの入力プロセスを容易にするために、アルテラ
はアサインメント・エディタと呼ばれる直感的なスプレッドシート・イ
ンタフェースを開発しました。アサインメント・エディタは、数多くの
アサインメントを作成、変更、管理するプロセスを簡略化するために設
計されています。
この章では、以下の項目について説明します。
■ 「アサインメント・エディタの使用」
■ 1–8 ページの「アサインメント・エディタの機能」
■
■
■
アサインメン
ト・エディタ
の使用
1–15 ページの「アサインメント・エディタを使用したピン位置の割
り当て」
1–15 ページの「アサインメント・エディタを使用したタイミング制
約の作成」
1–16 ページの「アサインメントのエクスポートおよびインポート」
デザイン・サイクル全体を通して、アサインメント・エディタを使用で
きます。ボード・レイアウトを開始する前に、アサインメント・エディ
タでピンのアサインメントを作成できます。デザイン・サイクル全体で
アサインメント・エディタを使用して、タイミングアサインメントを作
成することにより、デザイン性能要件を達成するのを支援します。また、
アサインメント・エディタを使用して、ノード名またはアサインメント・
タイプに基づいて、アサインメントの表示、フィルタリング、およびソー
トを実行することもできます。
Assignment Editor は、サイズ変更が可能なウィンドウです。この拡張
性により、デザイン・ファイルに隣接するアサインメントを容易に表示
または編集することができます。アサインメント・エディタを開くには、
ツ ー ル バ ー の Assignment Editor ア イ コ ン を ク リ ッ ク す る か、
Assignments メニューの Assignment Editor をクリックします。
Ctrl+Shift+A を押して、アサインメント・エディタを起動する
こともできます。
Altera Corporation
2007 年 10 月
1–1
暫定サポート
Quartus II ハンドブック Volume 2
Category、Node Filter、Information および Edit バー
アサインメント・エディタのウィンドウは、4 つのバーと 1 つのスプレッ
ドシートに分割されます ( 図 1–1)。
図 1–1. Assignment Editor ウィンドウ
必要に応じて表示メニューの 4 つのバーすべてを非表示にし、Category、
Node Filter、および Information バーを折りたたむことができます。
表 1–1 は、各バーを説明しています。
表 1–1. Assignment Editor のバーの説明
バーの名称
説明
Category
使用可能なアサインメントのタイプを示します。
Node Filter
表示または割り当てるデザイン・ノードの選択を示します。
Information
現在選択されているセルの説明を表示します。
Edit
現在選択されているセル内のテキストを編集できます。
Category バー
Category バーは、選択したデバイスで使用可能なすべてのアサインメン
トのカテゴリを示します。Category を使用して特定のアサインメントの
タイプを選択し、その他のすべてのアサインメントをフィルタします。
Category リストからアサインメントのカテゴリを選択して、適用可能な
1–2
暫定サポート
Altera Corporation
2007 年 10 月
アサインメント・エディタの使用
オプションと値のみ表示するようスプレッドシートを変更します。特定
のタイプのアサインメントを検索するには、Category バーを使用して、
他のすべてのアサインメントをフィルタします。
プロジェクト内のすべてのtSU アサインメントを検索するには、Category
リスト ( 図 1–2) の tsu を選択します。
図 1–2. tSU が選択された Category リスト
Category バー ( 図 1–3) で All を選択した場合、Assignment Editor はす
べてのアサインメントを表示します。
図 1–3. すべて選択された Category リスト
Altera Corporation
2007 年 10 月
1–3
暫定サポート
Quartus II ハンドブック Volume 2
Category バーを折りたたむと、プリセット・カテゴリから選択すること
ができる 4 つのショートカット・ボタンが表示されます(図 1–4)。
図 1–4. Category バー
Pin カテゴリを使用して、ピン位置のアサインメントを作成します。Pin
カテゴリは、I/O バンク番号、VREF グループ番号、対応するパッド番
号、プライマリおよびセカンダリ機能など、各 FPGA ピンに関する追加
情報を表示します。
あるピン情報を入力すると、アサインメント・エディタは自動的にその
ピン番号を完成します。例えば、Pin_AA3 と入力する代わりに、AA3
と 入 力 す る と、ア サ イ ン メ ン ト・エ デ ィ タ は 自 動 的 に ピ ン 番 号 を
Pin_AA3 として完成します。位置カラムのセルをダブル・クリックして、
ピン・リストからピン位置を選択することもできます。使用されている
ピン位置はすべて斜体で表示されます。
Node Filter バー
Show assignments for specific nodes がオンになっている場合、スプ
レッドシートは選択したノード名フィルタと一致するノードのアサイン
メントのみを Node Filter バーに表示します。Node Filter バーに表示さ
れている各ノード名フィルタを選択してイネーブルにすることで、フィ
ルタ表示を切り替えることができます。Node Finder を使いリストした
ノード名を Node Filter 欄にドラッグ & ドロップするか、新しいノード
名フィルタを入力して新しいノード名フィルタを作成できます。アサイ
ンメント・エディタは、自動的にスプレッドシートにロウを挿入し、To
フィールドにはノード名フィルタに対応したノード名が自動配置されま
す。アサインメントを新しいロウに入力して、一致するノードに簡単に
1–4
暫定サポート
Altera Corporation
2007 年 10 月
アサインメント・エディタの使用
追加できます。未完成のアサインメントのロウはダークレッドで示され
ます。File メニューで Save を選択したとき、未完成のアサインメントが
存在する場合は未完成のアサインメントの保存および破棄、または保存
の取り消しのいずれかを選択するよう求められます。
図 1–5 に示すように、d 入力バスのすべてのビットが Node Filter バー
でイネーブルされる場合、関係のないすべてのアサインメントがフィル
タされます。
Node Filter バーで、d 入力バスを選択すると、ロウのみハイラ
イト表示されます。バスをイネーブルにする場合は、バスをオ
ンにする必要があります。
図 1–5. アサインメント・エディタでの Node Filter バーの使用
Altera Corporation
2007 年 10 月
1–5
暫定サポート
Quartus II ハンドブック Volume 2
Information バー
Information バーは、選択されているセルとセルに入力する情報につい
て簡単な説明を表示します。例えば、Information バーにはセルにノー
ド名または数値を入力すべきかどうかの説明が表示されます。選択した
セルがロジック・オプションの場合、Information バーにはそのオプショ
ンの説明が表示されます。
ロジック・オプションについて詳しくは、Quartus® II Help を参照して
ください。
Edit バー
Edit バーを使用すると、スプレッドシートの 1 つまたは複数のセルに値
を効率的に入力できます。
複数のセルの内容を同時に変更するには、スプレッドシート内のセルを
選択し(図 1–6)、Edit バーの Edit ボックスに新しい値を入力して、
Accept をクリックします(図 1–7)。
図 1–6. Edit バーの選択
1–6
暫定サポート
Altera Corporation
2007 年 10 月
アサインメント・エディタの使用
図 1–7. Edit バーの変更
アサインメント・エディタでのアサインメントの表示
および保存
アサインメント・エディタはアサインメントを入力および変更するため
の最も一般的な方法ですが、他の方法を使ってアサインメントを作成お
よび編集することもできます。このため、Assignment Editor の外部で
アサインメントを追加、削除、または変更した後でアサインメント・エ
ディタをリフレッシュできます。
デフォルトでは、Quartus II ソフトウェアで作成されたすべてのアサイ
ンメントは、最初にメモリに保存され、次に処理タスクの開始後または
プロジェクトを保存または閉じる場合に、ディスクの Quartus II 設定
ファイル(.qsf)に保存されます。アサインメントをメモリに保存する
と、ディスク・ドライブの読み書きを回避でき、ソフトウェアの性能が
向上します。
アサインメント・エディタでアサインメントを作成した後、File メニュー
の Save をクリックしてアサインメントを保存し、アサインメント・エ
ディタの外部で Quartus II 設定ファイルを更新します。
Quartus II ソフトウェア・バージョン 5.1 からは、すべてのアサインメ
ントをディスク・ドライブに書き込むようにすることができます。これ
は Tools メニューの Options 設定ダイアログ・ボックスの Processing
ページの Update assignments to disk during design processing only を
オフにして実行されます。
Quartus II ソフトウェアの Quartus II Settings File への書き込みについて
詳しくは、
「Quartus II ハンドブック Volume 2」の「Quartus II プロジェ
クトの管理」の章を参照してください。
Altera Corporation
2007 年 10 月
1–7
暫定サポート
Quartus II ハンドブック Volume 2
View メニューの Refresh をクリックして、Assignment Editor ウィンド
ウをリフレッシュできます。Tcl コンソールまたは Pin Planner など、
Quartus II ソフトウェアでアサインメントを作成する場合、アサインメ
ント・エディタはメモリから新しいアサインメントをリロードします。
アサインメント・エディタの外部で Quartus II 設定ファイル を直接変更
する場合は、View メニューの Refresh をクリックしてアサインメントを
表示します。
プロジェクトが開いた状態で Quartus II Settings File を編集す
る場合、File メニューの Save Project をクリックして、最新の
Quartus II Settings File を編集していることを確認します。
アサインメント・エディタがリフレッシュされるたびに、メッセージ・
ウィンドウに以下のメッセージが表示されます。
Info:Assignments reloaded -- assignments updated outside Assignment Editor
アサインメン
ト・エディタ
の機能
Assignment Editor は、テキスト・エディタ、Node Finder、タイミン
グ・クロージャ・フロアプラン、Pin Planner、コンパイル・レポート、
およびメッセージ・ウィンドウなど Quartus II ソフトウェアの多くの場
所から開くことができます。例えば、デザイン・ファイルのノード名を
ハイライト表示し、ノード名を指定してアサインメント・エディタを開
くことができます。
Assignment Editor から他のウィンドウを開くこともできます。アサイ
ンメント・エディタ・スプレッドシートにリストされるノードから、Pin
Planner、タイミング・クロージャ・フロアプラン、Chip Planner、ブ
ロック・エディタ、またはテキスト・エディタの任意のウィンドウのノー
ドを見つけることができます。
エンハンスト・スプレッドシート・インタフェースの使用
アサインメント・エディタの主な機能の 1 つがスプレッドシート・イン
タフェースです。スプレッドシート・インタフェースを使用して、カラ
ムのソート、プルダウン・リスト・ボックス、および複数のセルのアサ
インメント・エディタへのコピー・アンド・ペーストを実行できます。
アサインメントを入力すると、ロウのフォントの色が変わり、アサイン
メントの状態を示します。詳しくは、1–10 ページの「ダイナミック構文
チェック」を参照してください。
Node Finder、Node Filter バー、Edit バー、またはノード名をスプレッ
ドシートのセルに直接入力するなど、ノードを選択またはスプレッド
シートに入力する方法は多数あります。ノードのタイプを表すアイコン
が各ノード名およびタイプを識別するノード名フィルタの隣に表示され
ます。ノードのタイプを表すアイコンはエントリを入力、出力、双方向
1–8
暫定サポート
Altera Corporation
2007 年 10 月
アサインメント・エディタの機能
ピン、レジスタ、組み合わせロジック、またはアサインメント・グルー
プとして識別します(図 1–8)。ノードのタイプを表すアイコンは、ワイ
ルドカード文字(* または ?)を使用するノード名およびノード名フィル
タのアスタリスクとして表示されます。
図 1–8. スプレッドシートの各ノード名の隣に表示されるノード・タイプ・アイコン
アサインメント・エディタは、以下のタイプのアサインメントでワイル
ドカードをサポートします。
■
■
すべてのタイミング・アサインメント
ポ イ ン ト・ツ ー・ポ イ ン ト・グ ロ ー バ ル 信 号 ア サ イ ン メ ン ト
(Stratix® II および Stratix デバイスに適用可能)
■ ポイント・ツー・ポイントまたはパッド・ツー・コア遅延チェイン・
アサインメント
■ ワイルドカードをサポートするすべてのアサインメントは、アサイ
ンメント・エディタで、隣に 「(Accepts wildcards/groups)」と表
示された Assignment Name カラムの下のドロップ・リストに表示
されます。
スプレッドシートは、カラムの表示、非表示、および編成を可能にする
カスタマイズ可能なカラムもサポートします。詳細については、1–13
ページの「カスタマイズ可能なカラム」を参照してください。
ピン位置アサインメントを作成する場合、セルの背景色は Pin Planner
(図 1–9)に表示される I/O バンクの色に合わせたものになります。
Altera Corporation
2007 年 10 月
1–9
暫定サポート
Quartus II ハンドブック Volume 2
図 1–9. I/O バンクの色に合わせたセル
ダイナミック構文チェック
アサインメントを入力すると、アサインメント・エディタは単純な正当
性および構文チェックを実行します。このチェックはコンパイル時に実
行されるチェックほど厳密なものではありませんが、誤った設定を拒否
します。例えば、アサインメント・エディタでは非接続ピンにピン名の
アサインメントを実行できません。この場合、アサインメントは受け入
れられないため、別のピン位置を入力する必要があります。
各ロウ内のテキストの色は、アサインメントが未完了かどうか、不正か
どうか、ディセーブルかどうかを示します(表 1–2)。アサインメント・
エディタで色をカスタマイズするには、Tools メニューの Options をク
リックします。
表 1–2. スプレッドシートのテキストの色の説明
テキストの色
グリーン
1–10
暫定サポート
説明
作成可能な新規のアサインメント
イエロー
不明ノード名などの警告を含むアサインメント
ダーク・レッド
未完成のアサインメント
ブライト・レッド
不正値などのエラーがあるアサインメント
ライト・グレイ
ディセーブルされたアサインメント
Altera Corporation
2007 年 10 月
アサインメント・エディタの機能
Node Filter バー
Node Filter バーを使用して、柔軟に設定の表示および作成を行うことが
できます。Node Filter バーにはノード・フィルタのリストがあります。
新しいエントリを作成するには、Node Finder を使用するか、または手
動でノード名を入力します。Node Filter リストの空白のロウをダブル・
クリックしてから矢印をクリックし、Node Finder(図 1–10)をクリッ
クして Node Finder ダイアログ・ボックスを開きます。
図 1–10. Node Finder オプション
Altera Corporation
2007 年 10 月
1–11
暫定サポート
Quartus II ハンドブック Volume 2
Node Filter バーで各フィルタをオンまたはオフにできます。Node Filter
バーをオフにするには、Show assignments for specific nodes をオフに
します。ワイルドカード(* and ?)は、Node Filter に 1 つのエントリが
存在する状態で、すべてのデザイン・ノードの選択をフィルタするのに
使用します。例えば、Node Filter リストに d* と入力して、d[0]、d[1]、
d[2]、およびd[3]のすべてのアサインメントを表示できます(図 1–11)。
図 1–11. Node Filter バーでのワイルドカードの使用
Assignment Groups の使用
アサインメント・グループはデザイン・ノードを 1 つに集めたものであ
り、その集合に対してアサインメントを作成するために、1 つの単位と
して表されます。アサインメント・エディタでアサインメント・グルー
プを使用すると、多数のノードに複雑なフィッティングやタイミング・
アサインメントを作成するのに必要な柔軟性が得られます。
アサインメント・グループを作成するには、Assignments メニューの
Assignment (Time) Groups をクリックして、Assignment Groups ダイ
アログ・ボックスを表示します。Node Finder のワイルドカードを使用
して、各アサインメント・グループのメンバーを追加または削除できま
す(図 1–12)。
Assignment Groups を使用したタイミング解析について詳しくは、
「Quartus II ハンドブック Volume 3」の「Quartus II クラシック・タイ
ミング・アナライザ」の章を参照してください。
1–12
暫定サポート
Altera Corporation
2007 年 10 月
アサインメント・エディタの機能
図 1–12. Assignment Groups ダイアログ・ボックス
ワイルドカードに、類似ノード名を持つ多数のノードを選択するだけの
柔軟性がない場合があります。アサインメント・グループを使用してワ
イルドカードを組み合わせることにより、多数のノードを選択し、例外
を使用して選択しないノードを削除することができます。ワイルドカー
ドまたはアサインメント・グループが存在する場合、設定が正しく表示
されない場合がありますが、フィッタはデザインのコンパイル時にワイ
ルドカードおよびアサインメント・グループで作成したアサインメント
を常に認識します。
カスタマイズ可能なカラム
スプレッドシートの情報を表示する際の操作性を高めるために、アサイ
ンメント・エディタはカスタマイズ可能なカラムをサポートしています。
カラムの移動、昇順または降順でのソート、個々のカラムの表示または
非表示、読みやすくするためのカラム内容の左揃え、中央揃え、右揃え
が可能です。
Quartus II ソフトウェアが最初に起動すると、選択済みのカラム・セッ
トが表示されます。例えば、Quartus II ソフトウェアが最初に起動した
とき、Comment カラムは非表示の状態です。使用可能な任意のカラム
を表示または非表示にするには、View メニューで Customize Columns
をクリックします。Quartus II ソフトウェアを再起動しても、カラムの
設定は維持されます。
Altera Corporation
2007 年 10 月
1–13
暫定サポート
Quartus II ハンドブック Volume 2
選択したカテゴリに応じて、多数の非表示カラムを表示することができ
ます。例えば、Pin カテゴリを選択した場合、VREF グループ、パッド
番号、出力ピン負荷、トグル・レート、タイミング要求値、および高速
入出力レジスタ・オプションなど、デフォルトでは表示されないカラム
が多数あります。
Comments カラムを使用して、ピンの使用目的を文書化したり、タイミ
ングまたはロジック制約を適用する理由を説明します。Enabled カラム
を使用して、アサインメントを削除しないでディセーブルにすることが
できます。この機能は、異なるタイミング制約またはロジック最適化を
使用して、複数のコンパイルを実行するのに役立ちます。
Pin カテゴリを選択して、多数のピン関連アサインメントを作
成できますが、Enabled カラムを使用してロウをディセーブル
すると、ピン位置アサインメントのみディセーブルされます。
Tcl インタフェース
デザイン・アサインメントは、アサインメント・エディタまたは別の機
能のいずれで作成しても、Tcl ファイルにエクスポートできます。次に、
Tcl ファイルを使用して設定を再適用するか、またはアサインメントを
アーカイブできます。File メニューで Export をクリックして、(アサイ
ンメント・エディタのスプレッドシートに表示されている)アサインメ
ントを Tcl スクリプトにエクスポートします。
Project メニューで Generate TCL File for Project をクリックし
て、デザインをセットアップし、すべてのアサインメントを適
用する Tcl スクリプト・ファイルを生成します。
さらに、アサインメント・エディタを使用してアサインメントを入力す
る場合、対応する Tcl コマンドが System Message ウィンドウに表示され
ます。これらの Tcl コマンドを参照して、カスタマイズした Tcl スクリプ
トを作成できます(図 1–13)。Tcl コマンドを Messages ウィンドウから
コピーするには、メッセージを右クリックし、Copy をクリックします。
図 1–13. ウィンドウに表示される対応する Tcl コマンド
1–14
暫定サポート
Altera Corporation
2007 年 10 月
アサインメント・エディタを使用したピン位置の割り当て
Quartus II ソ フ ト ウ ェ ア に よ る Tcl ス ク リ プ ト に つ い て 詳 し く は、
「Quartus II ハンドブック Volume 2」の「Tcl スクリプト」の章を参照し
てください。
アサインメン
ト・エディタ
を使用した
ピン位置の
割り当て
アサインメント・エディタでピン・アサインメントを作成する方法は 2
つあります。1 つは各デバイス・ピン配置にデザイン・ノード名を選択
する方法です。デザイン・ノードを位置に割り当てる前に、FPGA デバ
イスの各ピンのプロパティを理解することが重要です。例えば、ピン配
置のガイドラインに従う場合、各ピンがどの I/O バンクまたは VREF グ
ループに属するかを把握する必要があります。
Assignments メニューの Assignment Editor をクリックします。ター
ゲットとなるパッケージのすべてのピン番号を表示するには、Pin カテ
ゴリをクリックします。View メニューで、Show All Assignable Pin
Numbers をクリックします。アサインメント・エディタに表示される
カラムをカスタマイズして、パッド番号、1 次機能および 2 次機能など、
各ピンのプロパティ情報を表示できます。
ピン配置ガイドラインについて詳しくは、該当するデバイス・ハンドブッ
クの「Selectable I/O Standards」の章を参照してください。
2 つ目の方法は、デザインの各ピンの位置を選択することです。ターゲッ
トとなるパッケージのすべてのピン番号を表示するには、Assignment
Editor を開いて Pin カテゴリをクリックし、View メニューで Show All
Known Pin Names をクリックします。ピン名ごとにピン位置を選択し
ます。
ピン・アサインメントの作成について詳しくは、
「Quartus II ハンドブッ
ク Volume 2」の「I/O Management」の章を参照してください。
アサインメン
ト・エディタ
を使用した
タイミング
制約の作成
正確なタイミング制約は、Quartus II ソフトウェアの配置配線エンジン
をガイドし、デザインを FPGA に最適化するのに役立ちます。配置配線
が完了したら、Quartus II クラシック・タイミング・アナライザを使用
してスタティック・タイミング解析を実行するか、または Quartus II
TimeQuest タイミング・アナライザを使用してデザインのスラックおよ
びクリティカル・パスを解析します。
Quartus II クラシック・タイミング・アナライザを使用する場合は、ア
サイ ンメ ント・エ ディタを使用してタイミング制約を作成します。
AssignmentsメニューのAssignment Editorをクリックします。Category
リストで Timing を選択して、アサインメント・エディタのスプレッド
シート・セクションでタイミング・アサインメントを作成します。
Altera Corporation
2007 年 10 月
1–15
暫定サポート
Quartus II ハンドブック Volume 2
Quartus II クラシック・タイミング・アナライザについて詳しくは、
「Quartus II ハンドブック Volume 3」の「Quartus II クラシック・タイ
ミング・アナライザ」の章を参照してください。
Quartus II TimeQuest タ イ ミ ン グ・ア ナ ラ イ ザ を 使 用 す る 場 合、
TimeQuest タイミング・アナライザは Synopsys Design Constraint (.sdc)
ファイルからのタイミング・アサインメントを使用します。
Quartus 設定ファイルから Synopsys Design Constraint へのタイミング・
アサインメントの変換について詳しくは、「Quartus II ハンドブック
Volume 3」の「Switching to the Quartus II TimeQuest Timing Analyzer」
の章を参照してください。
アサインメン
トのエクス
ポートおよび
インポート
LogicLock™ の階層デザイン手法を使用するデザインでは、Import
Assignment コマンドを使用して、アサインメントを現在のプロジェク
トにインポートします。Export Assignments コマンドを使用して、プロ
ジェクト内のすべてのアサインメントをファイルに保存しアーカイブに
使用したり、アサインメントをあるプロジェクトから別のプロジェクト
に転送することもできます。
Assignments メ ニ ューで、Export Assignments または Import
Assignments をクリックして、以下を実行します。
■
■
Quartus II アサインメントを Quartus II Settings File にエクスポート
します。
アサインメントを Quartus II エンティティ設定ファイル(.esf)、
MAX+PLUS® II アサインメントおよびコンフィギュレーション・
ファイル(.acf)またはカンマ区切り値(.csv)ファイルからイン
ポートします。
Export Assignments および Import Assignments ダイアログ・ボックス
の他に、File メニューの Export コマンドにより、アサインメントを Tcl
スクリプト(.tcl)ファイルにエクスポートすることもできます。
該当する場合は、Export コマンドで、Quartus II ソフトウェア
のアクティブ・ウィンドウの内容を別のファイル・フォーマッ
トにエクスポートします。
これらのファイル・フォーマットをプロジェクトのさまざまな局面で使
用できます。例えば、カンマ区切り値ファイルを文書化に使用したり、
ピンに関連する情報をボード・レイアウト・ツールに転送することがで
きます。Tcl ファイルによりアサインメントを簡単にスクリプト化された
デザイン・フローに適用できます。LogicLock デザイン・フローでは、
Quartus II 設定ファイルを使用して LogicLock 領域設定を転送します。
1–16
暫定サポート
Altera Corporation
2007 年 10 月
アサインメントのエクスポートおよびインポート
アサインメントのエクスポート
Export Assignments ダイアログ・ボックスを使用して、Quartus II ソフ
トウェア・アサインメントを Quartus II 設定ファイルにエクスポートし、
ノード・レベルのネットリスト・ファイルを作成しバックアノテートさ
れた配線情報を Routing Constraints File (.rcf) としてエクスポートしま
す(図 1–14)。
図 1–14. Export Assignments ダイアログ・ボックス
Assignments メニューの Export Assignments をクリックして Export
Assignments ダイアログ・ボックスを開きます。LogicLock デザイン・
フローもこのダイアログ・ボックスを使用して、LogicLock 領域をエク
スポートします。
Export Assignments ダイアログ・ボックスを使用した LogicLock 領域
のエクスポートについて詳しくは、Quartus II ハンドブック、volume 2
の「LogicLock のデザイン手法」の章を参照してください。
File メニューで Export をクリックして、すべてのアサインメントを Tcl
ファイルにエクスポートするか、アサインメントのセットをカンマ区切
り値 ファイルにエクスポートします。アサインメントを Tcl ファイルに
エクスポートする場合、ユーザが作成したアサインメントのみ Tcl スク
リプト・ファイルに書き込まれ、デフォルトのアサインメントはエクス
ポートされません。
アサインメントをカンマ区切り値 ファイルにエクスポートする場合、ア
サインメント・エディタに現在表示されているアサインメントのみエク
スポートされます。
Altera Corporation
2007 年 10 月
1–17
暫定サポート
Quartus II ハンドブック Volume 2
ピン・アサインメントのエクスポート
ピン・アサインメントをカンマ区切り値ファイルにエクスポートするに
は、Assignment Editor を開いて、Category バーから Pin を選択します。
Pin カテゴリには、ピン名およびピン番号のほかに、デバイス・ピン配
置ファイル内にあるような、各ピンの詳細なプロパティが表示されます。
File メニューの Export をクリックして、Save as type リストから Comma
Separated Value File を選択します。
カンマ区切り値 ファイルの最初のコメントのないロウは、アサインメン
ト・エディタに表示されるカンマで区切られたカラムの見出しのリスト
です。ヘッダ・ロウの下の各ロウは、アサインメント・エディタのスプ
レッドシート内のロウを表します(図 1–15)。ViewメニューでCustomize
Columns をクリックして、カラムを追加したり、スプレッドシートに表
示されているカラムを削除します。カンマ区切り値ファイルを Excel ま
たはその他のスプレッドシート・ツールで表示および編集することがで
きます。カンマ区切り値ファイルを Quartus II ソフトウェアにインポー
トする場合、カラムの見出しは編集せずに、順序も維持する必要があり
ます。
ピン・アサインメントのエクスポートについて詳しくは、
「Quartus II ハ
ンドブック Volume 2」の「I/O Management」の章を参照してください。
図 1–15. Category を Pin に設定したアサインメント・エディタ
1–18
暫定サポート
Altera Corporation
2007 年 10 月
アサインメントのエクスポートおよびインポート
以下のコードは、アサインメント・エディタからエクスポートしたカン
マ区切り値ファイルの例です。
# Note: The column header names should not be changed if you wish to import this .csv file
# into the Quartus II software.
To,Location,I/O Bank,I/O Standard,General Function,Special Function,Reserved,Enabled
clk,PIN_N20,1,LVTTL,Dedicated Clock,"CLK3p, Input",,Yes
clkx2,PIN_M21,2,LVTTL,Dedicated Clock,"CLK1p, Input",,Yes
d[0],PIN_E9,4,LVTTL,Column I/O,DQSn5T,,Yes
d[1],PIN_D8,4,LVTTL,Column I/O,DQS5T/DQ0T,,Yes
d[2],PIN_G9,4,LVTTL,Column I/O,,,Yes
d[3],PIN_E8,4,LVTTL,Column I/O,DQ5T,,Yes
d[4],PIN_F2,5,LVTTL,Row I/O,DIFFIO_RX22n,,Yes
d[5],PIN_G4,5,LVTTL,Row I/O,DIFFIO_TX22n,,Yes
d[6],PIN_D1,5,LVTTL,Row I/O,DIFFIO_RX20p,,Yes
d[7],PIN_F8,4,LVTTL,Column I/O,,,Yes
アサインメントのインポート
Import Assignments ダイアログ・ボックスで、Quartus II 設定ファイル、
Quartus II エンティティ設定ファイル、MAX+PLUS II アサインメント・
コ ン フ ィ ギ ュ レ ー シ ョ ン・フ ァ イ ル、ま た は カ ン マ 区 切 り 値 か ら
Quartus II アサインメントをインポートします(図 1–16)。
サポートされている任意のアサインメント・ファイルからアサインメン
トをインポートするには、以下のステップを実行します。
1.
Assignments メニューから Import Assignments をクリックして、
Import Assignments ダイアログ・ボックスを表示します(図 1–16)。
図 1–16. Import Assignments ダイアログ・ボックス
2.
Altera Corporation
2007 年 10 月
File name テキスト入力ボックスで、ファイル名を入力するか アサ
インメント・ファイルを参照します。Select File ダイアログ・ボッ
クスが表示されます。
1–19
暫定サポート
Quartus II ハンドブック Volume 2
3.
Select File ダイアログ・ボックスでファイルを選択して、Open を
クリックします。
4.
OK をクリックします。
カンマ区切り値ファイルをインポートする場合、ファイル
の最初のコメントのないロウは、エクスポート時と正確に
同じフォーマットでなければなりません。
LogicLock フロー手法を使用して、アサインメントをインポートする場
合は、以下のステップを実行します。
1.
Assignments メニューから Import Assignments をクリックして、
Import Assignments ダイアログ・ボックスを表示します(図 1–16)。
2.
Use LogicLock Import File Assignments をオンにして、LogicLock
Import File Assignments をクリックします。
3.
LogicLock Import File Assignments ダイアログ・ボックスが開い
たら、インポートするアサインメントを選択して、OK をクリック
します。
Import Assignments ダイアログ・ボックスを使用した LogicLock 領域
のインポートについて詳しくは、
「Quartus II ハンドブック Volume 2」の
「LogicLock デザイン手法」の章を参照してください。
新 し い ア サ イ ン メ ン ト を イ ン ポ ー ト す る 前 に、Copy existing
assignments into <revision name>.qsf.bak before importing オプション
をオンにして、アサインメントのバックアップ・コピーを作成できます。
ファイルからアサインメントをインポートする場合、以下のステップに
よりインポートするアサインメントのカテゴリを選択できます。
1.
Import Assignments ダイアログ・ボックスの Categories をクリッ
クします。
2.
Assignment categories リストからインポートするカテゴリをオン
にします(図 1–17)。
インポートする特定のタイプのアサインメントを選択するには、Import
Assignments ダイアログ・ボックスで、Advanced をクリックします。
Advanced Import Settings ダイアログ・ボックスが表示されます。イン
スタンス、エンティティ、またはグローバル・アサインメントをインポー
トし、インポートする各種アサインメントのタイプを選択できます。
1–20
暫定サポート
Altera Corporation
2007 年 10 月
まとめ
これらのオプションについて詳しくは、Quartus II Help を参照してくだ
さい。
図 1–17. Assignment Categories ダイアログ・ボックス
まとめ
FPGA の集積度とピン数が増加するにつれ、デザイン・アサインメント
の迅速な作成および表示が不可欠となります。アサインメント・エディ
タは、直感的および効果的なアサインメント作成方法を提供します。ス
プ レ ッ ド シ ー ト・イ ン タ フ ェ ー ス お よ び Category、Node Filter、
Information、および Edit バーにより、アサインメント・エディタは
FPGA 設計者向けの効率的なアサインメント・エントリ・ソリューショ
ンを提供します。
参考資料
この章では以下のドキュメントを参照しています。
■ 「Quartus II ハンドブック Volume 2」の「I/O 管理」の章
■ 「Quartus IIハンドブックVolume 2」
の
「LogicLock Design Methodology」
の章
■ 「Quartus II ハンドブック Volume 2」の「Managing Quartus II
Projects」の章
■ 「Quartus II ハンドブック Volume 3」の「Quartus II Classic Timing
Analyzer」の章
該当するデバイスのハンドブックの「Selectable I/O Standards」の章
■ 「Quartus II ハンドブック Volume 3」の「Quartus II TimeQuest
Timing Analyzer」の章
■ 「Quartus II ハンドブック Volume 2」の「Tcl Scripting」の章
■
Altera Corporation
2007 年 10 月
1–21
暫定サポート
Quartus II ハンドブック Volume 2
表 1–3 に、本資料の改訂履歴を示します。
改訂履歴
表 1–3. 改訂履歴 (1 / 2)
日付 & ドキュメント・
バージョン
変更内容
概要
2007 年 10 月
v7.2.0
1–21 ページの「参考資料」を再編成。
Quartus II ソフトウェア・
バージョン 7.2 のための
更新。
2007 年 5 月
v7.1.0
参考資料の項を追加。
参考資料の「Managing
Quartus II Projects」のタ
イトルを「Quartus II
Project Management」に
変更。
2007 年 3 月
v7.0.0
Quartus II ソフトウェア 7.0 のリビジョンおよび日付
のみ更新。その他の変更はありません。
—
2006 年 11 月
v6.1.0
改訂履歴を追加。
—
2006 年 5 月
v6.0.0
Quartus II ソフトウェア・バージョン 6.0.0 のためのマ
イナー・アップデート
● Quartus II クラシック・タイミング・アナライザお
よび Quartus II TimeQuest タイミング・アナライ
ザの情報を追加。
—
2005 年 10 月
v5.1.0
Quartus II ソフトウェア・バージョン 5.1.0 のための更
新
—
2005 年 5 月
v5.0.0
●
Quartus II ソフトウェア・バージョン 5.0.0 のための
更新
フォーマットおよび書式の更新。
Quartus II ソフトウェア・バージョン 5.0.0 の変更を
反映するために 2 つの図およびリファレンスを更
新。
—
●
●
1–22
暫定サポート
Altera Corporation
2007 年 10 月
改訂履歴
表 1–3. 改訂履歴 (2 / 2)
日付 & ドキュメント・
バージョン
2004 年 12 月
v2.1
変更内容
●
●
●
●
●
●
●
●
●
Quartus IIソフトウェア・バージョン4.2のための更
新。
フォーマットおよび書式の更新。
アサインメント・エディタのリフレッシュに関す
る情報を更新。
図を更新。
Assignment Editor ウィンドウの選択方法の情報を
追加。
Time Groups に関する言及を追加。
カスタマイズ可能なカラムの説明を更新。
アサインメント・エディタを使用したピン位置の
割り当ての項を追加。
アサインメントのエクスポートおよびインポート
に関する説明を追加。
—
表および図を更新。
Quartus IIソフトウェア・バージョン4.1の新機能の
情報を追加。
—
2004 年 6 月
v2.0
●
2004 年 2 月
v1.0
初版
Altera Corporation
2007 年 10 月
●
概要
—
1–23
暫定サポート
Quartus II ハンドブック Volume 2
1–24
暫定サポート
Altera Corporation
2007 年 10 月
Fly UP