...

LogiCORE IP Aurora 8B/10B v10.2 製品ガイド

by user

on
Category: Documents
313

views

Report

Comments

Transcript

LogiCORE IP Aurora 8B/10B v10.2 製品ガイド
LogiCORE IP
Aurora 8B/10B v10.2
製品ガ イ ド
Vivado Design Suite
PG046 2014 年 4 月 2 日
目次
IP の概要
第 1 章 : 概要
機能概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
アプ リ ケーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
ラ イ セ ン スお よ び注文情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
第 2 章 : 製品仕様
規格 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
パフ ォーマ ン ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
リ ソ ース使用量 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
ポー ト の説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
第 3 章 : コ ア を使用するデザイ ン
一般的なデザ イ ン ガ イ ド ラ イ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
共有 ロ ジ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シ リ アル ト ラ ン シーバーの基準 ク ロ ッ ク イ ン タ ーフ ェ イ ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ユーザー デー タ イ ン タ ーフ ェ イ ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
フ ロ ー制御 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ス テー タ ス、 制御、 お よ び ト ラ ン シーバー イ ン タ ーフ ェ イ ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
リ セ ッ ト お よ びパ ワー ダ ウ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
33
34
38
46
57
64
70
第 4 章 : コ アの機能
ス ク ラ ンブ ラ ー /デス ク ラ ンブ ラ ーの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
CRC の使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Vivado ラ ボ ツールの使用. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ホ ッ ト プラグ ロジッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
[Little Endian Support] の使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
73
73
74
74
74
第 5 章 : デザイ ン フ ローの手順
コ アのカ ス タ マ イ ズお よ び生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コ アへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シ ミ ュ レーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
合成お よ び イ ンプ リ メ ン テーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
75
84
86
87
第 6 章 : サン プル デザイ ンの詳細
デ ィ レ ク ト リ と フ ァ イ ルの内容 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89
サンプル デザ イ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
2
第 7 章 : テ ス ト ベン チ
付録 A : 検証、 互換性、 相互運用性
付録 B : 移行およびア ッ プグ レー ド
Vivado Design Suite への移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94
Vivado Design Suite でのア ッ プグ レー ド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94
LocalLink ベース の Aurora コ アか ら AXI4-Stream Aurora への移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94
付録 C : デバ ッ グ
ザ イ リ ン ク ス ウ ェ ブサ イ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99
テ ク ニ カル サポー ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100
デバ ッ グ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
シ ミ ュ レーシ ョ ン デバ ッ グ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
ハー ド ウ ェ ア デバ ッ グ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103
イ ン タ ーフ ェ イ ス のデバ ッ グ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108
付録 D : Transceiver Wizard で ラ ッ パー フ ァ イルを生成
付録 E : タ イ ミ ング エ ラ ーの対処
付録 F : その他の リ ソ ースおよび法的通知
ザ イ リ ン ク ス リ ソ ース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
111
111
112
113
3
IP の概要
は じ めに
こ の LogiCORE IP について
コ アの概要
ザ イ リ ン ク ス の LogiCORE™ IP Aurora 8B/10B コ ア は、
AMBA ® プ ロ ト コ ルの AXI4-Stream ユーザー イ ン タ ー フ ェ
イ ス をサポー ト し ます。こ の コ アは、UltraScale™、Zynq®-7000
All Programmable SoC、Virtex®-7、Kintex®-7、 お よ び Artix®-7
フ ァ ミ リ の高速シ リ アル ト ラ ン シーバー を 使用 し て Aurora
8B/10B プ ロ ト コ ルを実装 し ます。
機能
サポー ト さ れ
る デバ イ ス
フ ァ ミ リ (1)
サポー ト さ れる
ユーザー イ ン
ターフ ェ イ ス
リ ソ ース (2)
•
480Mb/s ~ 84.48Gb/s の ス ループ ッ ト の汎用デー タ チ ャ
ネル
•
56 個の Virtex-7 お よ び Kintex-7 FPGA GTX/GTH ト ラ ン
シーバーの う ち最大 16 個、16 個の Artix-7 FPGA GTP ト
ラ ン シーバーの う ち最大 8 個ま で を サポー ト
•
UltraScale アーキテ ク チ ャ、 Zynq-7000、 7 シ リ ーズ
Config1(3)
AXI4-Stream
LUT
FF
DSP ス
ラ イス
342
463
0
ブロ ッ ク
最大周波数(3)
RAM
0
330MHz
コ アに含まれる もの
デザ イ ン
フ ァ イル
RTL
Aurora 8B/10B プ ロ ト コ ル仕様 v2.2 準拠
サンプル
デザ イ ン
Verilog お よ び VHDL(4)
•
低 リ ソ ース コ ス ト (10 ページの「 リ ソ ー ス使用量」 参照)
テ ス ト ベンチ
Verilog お よ び VHDL(4)
•
使いやすい フ レー ミ ン グお よ びフ ロ ー制御
制約フ ァ イ ル
ザ イ リ ン ク ス デザ イ ン制約フ ァ イ ル (.xdc)
•
チ ャ ネルの自動初期化お よ び管理
フル デ ュ プ レ ッ ク ス ま たはシ ン プ レ ッ ク ス
シ ミ ュ レー
シ ョ ン モデル
なし
•
•
AXI4-Stream (フ レー ミ ン グ)
ユーザー イ ン タ ーフ ェ イ ス
サポー ト さ れる
ソ フ ト ウ ェア
ド ラ イ バー
なし
•
16 ビ ッ ト の付加 ス ク ラ ン ブ ラ ー /デ ス ク ラ ン ブ ラ ー
•
ユーザー デー タ 用の 16 ビ ッ ト /32 ビ ッ ト CRC (巡回冗
長検査)
•
ま たは ス ト リ ー ミ ン グ
テ ス ト 済みデザイ ン フ ロー (5)
Vivado® Design Suite
デザ イ ン入力
ホ ッ ト プラグ ロジッ ク
シ ミ ュ レー
ション
Vivado IP イ ン テ グ レー タ ー
サポー ト さ れ る シ ミ ュ レー タ については、
『Vivado Design Suite ユーザー ガ イ ド : リ リ ース
ノ ー ト 、 イ ン ス ト ールお よび ラ イ セ ン ス』 を参照
Vivado 合成
合成
サポー ト
japan.xilinx.com/support で提供
1. サポー ト さ れてい る デバ イ ス の一覧は、 Vivado IP カ タ ロ グ を参照
し て く だ さ い。
2. デバ イ ス パフ ォ ーマ ン ス のデー タ は、 表 2-1 ~表 2-4 を参照 し て
く だ さ い。
3. パフ ォ ーマ ン ス デー タ 全体は、 9 ページの 「パフ ォ ーマ ン ス」 を
参照 し て く だ さ い。
4. VHDL のサポー ト については、 第 1 章の 「機能概要」 を参照 し て
く だ さ い。
5. サポー ト さ れてい る ツールのバージ ョ ンは、
『Vivado Design Suite ユーザー ガ イ ド : リ リ ー ス ノ ー ト 、 イ ン ス
ト ールお よ び ラ イ セ ン ス』 を参照 し て く だ さ い。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
4
Production 製品仕様
第 1章
概要
こ のガ イ ド では、 UltraScale™、 Virtex®-7、 Kintex®-7 FPGA GTX/GTH ト ラ ン シーバー、 Artix®-7 FPGA GTP ト ラ ン
シーバー、 お よ び Zynq®-7000 デバ イ ス の GTX ト ラ ン シーバーを使用 し て LogiCORE™ IP Aurora 8B/10B コ ア を生成
す る 方法を説明 し ます。 こ の コ アは、 UltraScale、 Zynq-7000、 お よ び 7 シ リ ーズ フ ァ ミ リ の高速シ リ アル ト ラ ン シー
バ ー を 使 用 し て Aurora 8B/10B プ ロ ト コ ル を 実装 し ま す。 Aurora 8B/10B v10.2 コ ア は、 AMBA® プ ロ ト コ ル の
AXI4-Stream ユーザー イ ン タ ーフ ェ イ ス をサポー ト し ます。
Aurora 8B/10B コ アの ソ ース コ ー ド は Vivado® Design Suite で生成 さ れ、 デー タ パ ス幅を指定で き ます。 コ アはシ ンプ
レ ッ ク ス ま たはフル デュ プ レ ッ ク ス で、シ ンプルな 2 つのユーザー イ ン タ ーフ ェ イ ス のいずれか を選択 し 、オプシ ョ
ンの フ ロ ー制御を使用で き ます。
Aurora 8B/10B コ アは、 ス ケー ラ ブルで軽量の高速シ リ アル通信用 リ ン ク レ イ ヤー プ ロ ト コ ルです。 プ ロ ト コ ルは
オープ ンで、 ザ イ リ ン ク ス FPGA テ ク ノ ロ ジ を使用 し て実装で き ます。 一般的に、 シ ンプルで低 コ ス ト かつ高速デー
タ チ ャ ネルを必要 と す る アプ リ ケーシ ョ ン で使用 さ れ、 1 つ ま たは複数の ト ラ ン シーバーを使用す る デバ イ ス 間の
デー タ 伝送に使用 さ れ ま す。 通信方式は、 フ ル デ ュ プ レ ッ ク ス (双方向デー タ 通信) ま たはシ ン プ レ ッ ク ス (単方向
デー タ 通信) のいずれかに指定で き ます (図 1-1)。
X-Ref Target - Figure 1-1
$XURUD&KDQQHO
3DUWQHUV
$XURUD
/DQH
8VHU
$SSOLFDWLRQ
8VHU
,QWHUIDFH
$XURUD
&KDQQHO
$XURUD
&RUH
$XURUD
&RUH
8VHU
,QWHUIDFH
8VHU
$SSOLFDWLRQ
$XURUD
/DQHQ
8VHU'DWD
%%
(QFRGHG'DWD
8VHU'DWD
;
図 1-1 : Aurora 8B/10B チ ャ ネルの概要
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
5
第 1 章 : 概要
Aurora 8B/10B コ アは、 Aurora チ ャ ネル パー ト ナーに接続 さ れ る と 、 チ ャ ネルを自動的に初期化 し ま す。 初期化後、
アプ リ ケーシ ョ ンは こ のチ ャ ネルを介 し て フ レーム ま たは ス ト リ ーム と し てデー タ を自由に送受信で き ます。 Aurora
の フ レーム サ イ ズは任意 と な り 、 いつで も 割 り 込みで き ます。 ロ ッ ク の維持お よ び過度な電磁干渉の防止のため、 有
効なデー タ バ イ ト 間のギ ャ ッ プは自動的にア イ ド ル信号で埋め ら れ ます。 Aurora コ アでは フ ロ ー制御がオプシ ョ ン
と な り ます。 入力デー タ レー ト を低減 し た り 、 チ ャ ネルで短い優先 メ ッ セージ を送信する 場合に使用で き ます。
ス ト リ ームは Aurora 8B/10B では 1 つの無限フ レーム と し て イ ンプ リ メ ン ト さ れます。 デー タ が送信 さ れていない と
き は常に、 リ ン ク を有効に し てお く ためア イ ド ルが送信 さ れます。 Aurora 8B/10B コ アは、 8B/10B コ ーデ ィ ン グ規約
を使用 し て シ ン グル ビ ッ ト エ ラ ーや大規模なマルチビ ッ ト エ ラ ーを検出 し ます。 ビ ッ ト エ ラ ー、 接続のない状態、
ま たは装置エ ラ ーが多発す る と 、 コ アが リ セ ッ ト し て新 し いチ ャ ネルの再初期化が行われます。
推奨 : Aurora コ アは完全検証 さ れた ソ リ ュ ーシ ョ ンですが、 完全デザ イ ン を イ ンプ リ メ ン ト す る 際の要件は、 アプ リ
ケーシ ョ ン の コ ン フ ィ ギ ュ レーシ ョ ンや機能に よ っ て異な り ます。 ベス ト な結果を得 る には、 Vivado Design Suite の
ザ イ リ ン ク ス イ ンプ リ メ ン テーシ ョ ン ツールお よ び制約フ ァ イ ル (XDC) を使用 し て、 ハ イ パフ ォーマ ン ス のパ イ プ
ラ イ ン化 さ れた FPGA デザ イ ンの構築に関す る 知識が望まれ ます。 詳細は、 「ス テー タ ス、 制御、 お よ び ト ラ ン シー
バー イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
次の資料の PCB デザ イ ン要件に関す る 情報を参照 し て く だ さ い。
•
『UltraScale アーキ テ ク チ ャ GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG576) [参照 1]
•
『7 シ リ ーズ FPGA GTP ト ラ ン シーバー ユーザー ガ イ ド 』 (UG482) [参照 2]
•
『7 シ リ ーズ FPGA GTX/GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG476) [参照 3]
特定要件の詳細な検証お よ び評価を行 う 場合は、 お近 く のザ イ リ ン ク ス販売代理店へお問い合わせ く だ さ い。
機能概要
Aurora 8B/10B コ アは、 ス ケー ラ ブルで軽量な高速シ リ アル通信用 リ ン ク レ イ ヤー プ ロ ト コ ルです。 コ アは、 シ リ ア
ル リ ン ク を構築す る ためのユーザー イ ン タ ーフ ェ イ ス を提供 し 、 ザ イ リ ン ク ス の GTX、 GTP、 お よ び GTH ト ラ ン
シーバーを使用 し てデバ イ ス間のデー タ 伝送を担い ます。最大 16 個の ト ラ ン シーバーを最大 ラ イ ン レー ト 6.6Gb/s で
実行で き ます。 ま た、 480Mb/s ~ 84.48Gb/s を超え る ス ケー ラ ブルな スループ ッ ト が可能です。 デー タ チ ャ ネルはフ
ル デュ プ レ ッ ク ス ま たはシ ンプ レ ッ ク ス と し て使用で き ます。
Aurora 8B/10B コ アは、 『Aurora 8B/10B プ ロ ト コ ル仕様 v2.2』 (SP002) [参照 4] に準拠 し てい ます。 Virtex-7、 Kintex-7、
Zynq-7000、 お よ び Artix-7 デバ イ ス ベース デザ イ ンの場合は Verilog ま たは VHDL ソ ース コ ー ド で、 UltraScale アー
キ テ ク チ ャ の場合は Verilog ソ ース コ ー ド で提供 さ れます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
6
第 1 章 : 概要
ア プ リ ケーシ ョ ン
Aurora 8B/10B コ アは、 低 リ ソ ース コ ス ト 、 ス ケー ラ ブルな スループ ッ ト 、 お よ び柔軟なデー タ イ ン タ ーフ ェ イ ス と
い う 特徴か ら 、 さ ま ざ ま な アプ リ ケーシ ョ ンで使用で き ます。 コ アのアプ リ ケーシ ョ ン例は次の と お り です。
•
チ ッ プ間の リ ン ク : チ ッ プ間のパ ラ レル接続を高速シ リ アル接続に置き 換え る こ と で、 PCB に必要な ト レースお
よ びレ イ ヤーの数を著 し く 抑え る こ と がで き ます。 Aurora 64B/66B コ アは、 GTP、 GTX、 お よ び GTH ト ラ ン シー
バーの使用に必要な ロ ジ ッ ク を最低限の FPGA リ ソ ース コ ス ト で提供 し ます。
•
ボー ド 間の リ ン ク お よ びバ ッ ク プ レーンの リ ン ク : こ の コ アでは、 標準 64B/66B エン コ ー ド が使用 さ れ、 ケーブ
ルお よ びバ ッ ク プ レーン の さ ま ざ ま な既存ハー ド ウ ェ ア規格 と の互換性を提供 し ます。 Aurora 8B/10B コ アは ラ
イ ン レー ト お よ びチ ャ ネル幅の両方で調整可能で、 廉価で古いハー ド ウ ェ アで も 新 し い高パフ ォーマ ン ス シ ス
テ ムで使用す る こ と がで き ます。
•
シ ンプ レ ッ ク ス接続 (単方向) : 一部のアプ リ ケーシ ョ ン では、 高速バ ッ ク チ ャ ネルが不要です。 Aurora プ ロ ト コ
ルは、 単方向のチ ャ ネル初期化を実行する オプシ ョ ン を提供 し 、 バ ッ ク チ ャ ネルがない場合で も GTP、 GTX、 お
よ び GTH ト ラ ン シーバーの使用を可能に し ます。 ま た フル デュ プ レ ッ ク ス の リ ソ ース を使用 し ないため、 コ ス
ト を抑え る こ と がで き ます。
•
ASIC アプ リ ケーシ ョ ン : Aurora プ ロ ト コ ルは FPGA に限定 さ れ る わけではな く 、 プ ロ グ ラ マブル ロ ジ ッ ク と 高
性能 ACIS と の間に ス ケー ラ ブルで高性能な リ ン ク を作成する ために も 使用で き ます。 Aurora プ ロ ト コ ルはシ ン
プルであ る ため、 ASIC で も FPGA で も リ ソ ース コ ス ト を抑えやす く 、 ま た コ ンプ ラ イ ア ン ス テ ス ト が適用 さ れ
た Aurora バ ス フ ァ ン ク シ ョ ン モデル (ABFM 8B/10B) の よ う なデザ イ ン リ ソ ース に よ っ て、 Aurora コ アの接続
が確立 し やす く な っ てい ます。
注記 : ASIC ア プ リ ケーシ ョ ン用 Aurora 8B/10B コ アの ラ イ セ ン ス については、 ザ イ リ ン ク ス 販売担当者 ま たは
[email protected] ま でお問い合わせ く だ さ い。
ラ イ セ ン スおよび注文情報
こ のザ イ リ ン ク ス LogiCORE™ IP モジ ュ ールは、 ザ イ リ ン ク ス エン ド ユーザー ラ イ セ ン ス規約の も と ザ イ リ ン ク ス
Vivado Design Suite を使用 し 追加 コ ス ト な し で提供 さ れてい ます。 こ の IP お よ びその他のザ イ リ ン ク ス LogiCORE IP
モジ ュ ールは、 ザ イ リ ン ク ス IP コ アページか ら 入手で き ます。 その他のザ イ リ ン ク ス LogiCORE IP モジ ュ ールお よ
びツールの価格お よ び提供状況については、 お近 く のザ イ リ ン ク ス販売代理店にお問い合わせ く だ さ い。
ASIC (application specific integrated circuit) で Aurora 8B/10B コ ア を使用する 場合は、 ザ イ リ ン ク ス コ ア ラ イ セ ン ス契
約に基づいた別途有料 ラ イ セ ン ス契約が必要です。 詳細は、 Aurora マーケ テ ィ ン グ ([email protected]) へお問い
合わせ く だ さ い。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
7
第 2章
製品仕様
図 2-1 は Aurora 8B/10B コ アの イ ンプ リ メ ン テーシ ョ ンのブ ロ ッ ク 図です。
X-Ref Target - Figure 2-1
&RQWURO
,QWHUIDFH
5;'DWD
7;'DWD
*OREDO/RJLF
&KDQQHO
0DLQWHQDQFH
/DQH
/RJLF
*77UDQVFHLYHU
/DQH
5;8VHU,QWHUIDFH
)UDPLQJRU
6WUHDPLQJ
/DQH
/RJLF
*77UDQVFHLYHU
/DQH
7;8VHU,QWHUIDFH
)UDPLQJRU
6WUHDPLQJ
/DQH
/RJLF
6HULDO,2
/DQH
6HULDO,2
/DQH
$XURUD&KDQQHO
6HULDO,2
*77UDQVFHLYHUQ
/DQHQ
6HULDO,2
/DQHQ
図 2-1 : Aurora 8B/10B コ アのブ ロ ッ ク図
Aurora 8B/10B コ アの主な論理モジ ュ ールには次の も のがあ り ます。
•
レーン ロ ジ ッ ク : 各 GTP、 GTX、 GTH ト ラ ン シーバーはレーン ロ ジ ッ ク モジ ュ ールの イ ン ス タ ン ス で駆動 さ れ
ます。 こ のモジ ュ ールは、 各 GTP、 GTX、 GTH ト ラ ン シーバーを初期化 し 、 制御文字のエン コ ー ド お よ びデ コ ー
ド と エ ラ ー検出を処理 し ます。
•
グ ロ ーバル ロ ジ ッ ク : 各 Aurora 8B/10B コ アのグ ロ ーバル ロ ジ ッ ク モジ ュ ールは、 チ ャ ネルの初期化におけ る
チ ャ ネル ボ ンデ ィ ン グ と 検証を実行 し ます。 チ ャ ネルが動作 し てい る 間、 Aurora 64B/66B プ ロ ト コ ルで必要 と な
る ラ ン ダ ム ア イ ド ル文字を生成 し 、 エ ラ ーがないかすべての レーン ロ ジ ッ ク モジ ュ ールを監視 し ます。
•
RX ユーザー イ ン タ ーフ ェ イ ス : RX ユーザー イ ン タ ーフ ェ イ スは、 チ ャ ネルか ら アプ リ ケーシ ョ ンへ と デー タ
を伝送 し ます。 ス ト リ ー ミ ン グ デー タ は、 デー タ バ ス と デー タ の Valid 信号を備え た シ ン プルな ス ト リ ーム イ
ン タ ーフ ェ イ ス を使用 し て伝送 さ れます。 フ レームは標準の AXI4-Stream イ ン タ ーフ ェ イ ス を使用 し て伝送 さ れ
ます。 こ のモジ ュ ールはフ ロ ー制御機能 も 実行 し ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
8
第 2 章 : 製品仕様
•
TX ユーザー イ ン タ ーフ ェ イ ス : TX ユーザー イ ン タ ーフ ェ イ スは、 アプ リ ケーシ ョ ンか ら チ ャ ネルへデー タ を
伝送 し ます。 デー タ Valid 信号 と Ready 信号を備え た ス ト リ ーム イ ン タ ーフ ェ イ スは、 ス ト リ ー ミ ン グ デー タ に
使用 さ れ ま す。 標準の AXI4-Stream イ ン タ ーフ ェ イ ス はデー タ フ レーム に使用 さ れ ま す。 こ のモジ ュ ールは フ
ロ ー制御の TX 機能 も 実行 し ます。 こ のモジ ュ ールには ク ロ ッ ク 補正を制御す る ための イ ン タ ーフ ェ イ ス があ り
ま す (接続 さ れてい る Aurora 8B/10B コ ア間の ク ロ ッ ク 周波数の若干の差が原因で発生す る エ ラ ーを防止す る た
め、 特殊文字が定期的に送信 さ れ る )。 通常、 こ の イ ン タ ーフ ェ イ ス は Aurora 8B/10B コ アに含まれ る 標準 ク ロ ッ
ク 補正管理モジ ュ ールで駆動 さ れますが、 オ フ に し た り 、 特殊なニーズに対応する ためにカ ス タ ム ロ ジ ッ ク で駆
動す る こ と も 可能です。
規格
Aurora 8B/10B コ アは、 『Aurora 8B/10B プ ロ ト コ ル仕様 v2.2』 (SP002) [参照 4] に準拠 し てい ます。
パフ ォ ーマ ン ス
最大周波数
4 ペー ジ の 「LogiCORE™ IP に 関す る 情報」 に 記載 さ れ て い る Config1 で は、 -2 ス ピ ー ド グ レ ー ド の Virtex®-7
VX690T-FFG1761 デバ イ ス で 330MHz 動作が可能です。 Config1 は、6.6Gbps の ラ イ ン レー ト を タ ーゲ ッ ト に し た ス ト
リ ーム イ ン タ ー フ ェ イ ス を 使用す る 2 バ イ ト レ ーン幅のデ ュ プ レ ッ ク ス デー タ フ ロ ーの シ ン グル レ ーン Aurora
8B/10B コ アです。
10 ページの表 2-1 ~12 ページの表 2-4 に リ ス ト さ れてい る Aurora 8B/10B コ アは、 ス ピー ド グ レー ド が -1 か ら -3 の
デバ イ ス で 156.25MHz で動作 し ます。
レ イテンシ
Aurora 8B/10B コ アの レ イ テ ン シは、プ ロ ト コ ル エン ジ ン (PE) を通 る パ イ プ ラ イ ン遅延や GTP/GTX/GTH ト ラ ン シー
バーを通 る 遅延に よ る も のです。 AXI4-Stream イ ン タ ーフ ェ イ ス 幅が増加す る と 、 PE パ イ プ ラ イ ン遅延が増加 し ま
す。 GTP/GTX/GTH ト ラ ン シーバーの遅延は、 選択 し た GTP/GTX/GTH ト ラ ン シーバーの機能お よ び属性に よ っ て異
な り ます。
こ のセ ク シ ョ ン では、 各レーン 2 バ イ ト と 各レーン 4 バ イ ト のデザ イ ンにおけ る Aurora 8B/10B コ アの AXI4-Stream
ユーザー イ ン タ ーフ ェ イ ス で予想 さ れ る レ イ テ ン シに関 し て、 user_clk サ イ ク ルを使用 し て説明 し ます。 レ イ テ
ン シについて説明す る ため、 Aurora 8B/10B モジ ュ ールは GTP、 GTX、 ま たは GTH ト ラ ン シーバー ロ ジ ッ ク に分割
さ れ、 FPGA ロ ジ ッ ク にプ ロ ト コ ル エン ジ ン (PE) ロ ジ ッ ク が イ ンプ リ メ ン ト さ れてい ます。
注記 : こ れ ら の値には、Aurora 8B/10B チ ャ ネルの各側におけ る シ リ アル接続の長 さ に よ っ て生 じ る レ イ テ ン シは考慮
さ れてい ません。
フ レーム パスのレ イ テ ン シ
図 2-2 は、 フ レ ー ム パ ス の レ イ テ ン シ を 表 し て い ま す。 こ の レ イ テ ン シ情報は、 -2 ス ピ ー ド グ レ ー ド の Virtex-7
VX690T-FFG1761 デバ イ ス を対象 と し てい ます。 レ イ テ ン シは、 デザ イ ン で使用す る ト ラ ン シーバーの種類に よ っ て
異な り ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
9
第 2 章 : 製品仕様
X-Ref Target - Figure 2-2
7;
3(
7;$;,,QWHUIDFH
VBD[LBW[BWYDOLG
7;
*77UDQVFHLYHU
5;
*77UDQVFHLYHU
5;
3(
5;$;,,QWHUIDFH
PBD[LBU[BWYDOLG
;
図 2-2 : フ レーム パスのレ イ テ ン シ
s_axi_tx_tvalid か ら m_axi_rx_tvalid ま での 2 バ イ ト フ レー ミ ン グ デザ イ ン の最小 レ イ テ ン シは、 論理シ
ミ ュ レーシ ョ ンで user_clk の約 37 サ イ ク ルです。
s_axi_tx_tvalid か ら m_axi_rx_tvalid ま での 4 バ イ ト フ レー ミ ン グ デザ イ ン の最小 レ イ テ ン シは、 論理シ
ミ ュ レーシ ョ ンで user_clk の約 41 サ イ ク ルです。
最大レ イ テ ン シは、 IP コ ン フ ィ ギ ュ レーシ ョ ンに よ っ て異な り ます。
パ イ プ ラ イ ン遅延は、 ク ロ ッ ク ス ピー ド を維持する こ と を目的 と し てい ます。
スループ ッ ト
Aurora コ ア の ス ループ ッ ト は、 ト ラ ン シーバーの数お よ び選択 し た ト ラ ン シーバーの タ ーゲ ッ ト ラ イ ン レ ー ト に
よ っ て異な り 、 シ ン グル レーン デザ イ ンか ら 16 レーン デザ イ ンでそれぞれ 0.5Gb/s ~ 84.48Gb/s の範囲で変化 し ま
す。スループ ッ ト は、Aurora 8B/10B プ ロ ト コ ル エン コ ー ド の 25% のオーバーヘ ッ ド と 0.5Gb/s ~ 6.6Gb/s ラ イ ン レー
ト 範囲を使用 し て計算 さ れま し た。
リ ソ ース使用量
表 2-1 か ら 表 2-4 は、Vivado® デザ イ ン ツールで選択 し た Aurora コ アの コ ン フ ィ ギ ュ レーシ ョ ン で使用 さ れ る ル ッ ク
ア ッ プ テーブル (LUT) お よ びフ リ ッ プ フ ロ ッ プ (FF) の数を示 し てい ます。
Aurora 8B/10B コ アは、 こ れ ら の表にはない コ ン フ ィ ギ ュ レーシ ョ ン で も 使用可能です。 その他の コ ン フ ィ ギ ュ レー
シ ョ ンで使用 さ れ る リ ソ ース数は、 こ の表か ら 概算で き ます。 こ れ ら の表にはフ ロ ー制御/ス ク ラ ンブ ラ ー /CRC に使
用 さ れ る 追加 リ ソ ー ス は含 ま れて い ま せん。 ま た、 FRAME_GEN や FRAME_CHECK な ど のサ ン プル デザ イ ン モ
ジ ュ ールで使用 さ れ る 追加 リ ソ ース も 含まれてい ません。
表 2-1 : 2 バイ ト レーン幅のス ト リ ー ミ ングにおける 7 シ リ ーズおよび Zynq-7000 フ ァ ミ リ の リ ソ ース使用量
7 シ リ ーズおよび Zynq-7000 フ ァ ミ リ
ス ト リ ー ミ ング
デュ プレ ッ クス
レーン
1
2
4
8
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
リ ソ ース タ イ プ
シンプレ ッ クス
フル デ ュ プ レ ッ ク ス TX のみシ ン プ レ ッ ク ス RX のみシ ン プ レ ッ ク ス
LUT
379
166
236
FF
582
275
355
LUT
520
210
324
FF
798
329
526
LUT
760
316
470
FF
1189
433
805
LUT
1258
478
757
FF
1970
656
1361
japan.xilinx.com
10
第 2 章 : 製品仕様
表 2-1 : 2 バイ ト レーン幅のス ト リ ー ミ ングにおける 7 シ リ ーズおよび Zynq-7000 フ ァ ミ リ の リ ソ ース使用量 (続き)
7 シ リ ーズおよび Zynq-7000 フ ァ ミ リ
16
ス ト リ ー ミ ング
LUT
2229
841
1345
FF
3534
1092
2473
表 2-2 : 2 バイ ト レーン幅のフ レー ミ ングにおける 7 シ リ ーズおよび Zynq-7000 フ ァ ミ リ の リ ソ ース使用量
7 シ リ ーズおよび Zynq-7000 フ ァ ミ リ
フ レー ミ ング
デュ プレ ッ クス
レーン
1
2
4
8
16
リ ソ ース タ イ プ
シンプレ ッ クス
フル デ ュ プ レ ッ ク ス TX のみシ ン プ レ ッ ク ス RX のみシ ン プ レ ッ ク ス
LUT
388
163
244
FF
596
273
371
LUT
553
213
356
FF
843
329
572
LUT
827
297
530
FF
1271
438
885
LUT
1374
475
867
FF
2145
662
1507
LUT
2448
903
1545
FF
3907
1153
2785
表 2-3 : 4 バイ ト レーン幅のス ト リ ー ミ ングにおける 7 シ リ ーズおよび Zynq-7000 フ ァ ミ リ の リ ソ ース使用量
7 シ リ ーズおよび Zynq-7000 フ ァ ミ リ
レーン
1
2
4
8
16
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
ス ト リ ー ミ ング
デュ プレ ッ クス
シンプレ ッ クス
リ ソ ース タ イ プ
フル デ ュ プ レ ッ ク ス
TX のみシ ン プ レ ッ ク ス RX のみシ ン プ レ ッ ク ス
LUT
447
182
277
FF
651
285
415
LUT
684
251
434
FF
964
367
652
LUT
1091
376
687
FF
1536
530
1057
LUT
1877
625
1169
FF
2678
852
1865
LUT
3471
1124
2148
FF
4962
1496
3481
japan.xilinx.com
11
第 2 章 : 製品仕様
表 2-4 : 4 バイ ト レーン幅のフ レー ミ ングにおける 7 シ リ ーズおよび Zynq-7000 フ ァ ミ リ の リ ソ ース使用量
7 シ リ ーズお よび Zynq-7000 フ ァ ミ リ
フ レー ミ ング
デュ プレ ッ ク ス
レーン
1
2
4
8
16
リ ソ ース タ イ プ
シンプレ ッ クス
フ ル デ ュ プ レ ッ ク ス TX のみシ ン プ レ ッ ク ス RX のみシ ン プ レ ッ ク ス
LUT
490
186
309
FF
695
283
461
LUT
750
259
488
FF
1044
368
732
LUT
1210
398
795
FF
1707
532
1203
LUT
2108
680
1382
FF
3043
905
2177
LUT
3859
1212
2545
FF
5369
1605
3922
注記 : UltraScale™ デバ イ ス の イ ン プ リ メ ン テーシ ョ ン結果は、 こ こ ま での表に示 し た 7 シ リ ーズ デバ イ ス の イ ンプ
リ メ ン テーシ ョ ン と 類似す る こ と が予想 さ れます。
ポー ト の説明
各 Aurora 8B/10B コ ア を生成す る ために使用 さ れ る パ ラ メ ー タ ーはその特定 コ アで使用可能な イ ン タ ーフ ェ イ ス
(図 2-3) を決定 し ます。 コ アには 4 ~ 6 の イ ン タ ーフ ェ イ ス があ り ます。
•
「ユーザー イ ン タ ーフ ェ イ ス」
•
「ユーザー フ ロ ー制御 イ ン タ ーフ ェ イ ス」
•
「ネ イ テ ィ ブ フ ロ ー制御 イ ン タ ーフ ェ イ ス」
•
「 ト ラ ン シーバー イ ン タ ーフ ェ イ ス」 「」
•
「 ク ロ ッ ク イ ン タ ーフ ェ イ ス」
•
「 ク ロ ッ ク 補正 イ ン タ ーフ ェ イ ス」
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
12
第 2 章 : 製品仕様
X-Ref Target - Figure 2-3
$XURUD0RGXOH
6WDWXV
&RQWURO
8VHU,QWHUIDFH
7;'DWD
5;'DWD
8)&7;'DWD
8)&7;5HT
8)&5;'DWD
8VHU)ORZ&RQWURO
8)&,QWHUIDFH
8)&5;6WDWXV&WUO
8)&7;$FN
8)&7;0HVVDJH6L]H
1)&5HT
1DWLYH)ORZ&RQWURO
1)&,QWHUIDFH
1)&$FN
1)&1XPEHURI,GOHV
&RQWURO
6WDWXV
7UDQVFHLYHU
,QWHUIDFH
7;37;1
5;35;1
&ORFN0RGXOH
&ORFNLQJ
&ORFN,QWHUIDFH
&ORFN
&RPSHQVDWLRQ
0RGXOH
:DUQ&&
&ORFN
&RPSHQVDWLRQ
,QWHUIDFH
&ORFNLQJ
'R&&
図 2-3 : 最上位イ ン タ ー フ ェ イ ス
ユーザー イ ン タ ー フ ェ イ ス
こ の イ ン タ ーフ ェ イ ス には、 Aurora 8B/10B コ アに入出力 さ れ る ス ト リ ーム ま たはフ レーム デー タ の読み出 し お よ び
書 き 込みに必要なポー ト がすべて含ま れてい ます。 フ レー ミ ン グ イ ン タ ーフ ェ イ ス で Aurora 64B/66B コ アが生成 さ
れ る 場合は AXI4-Stream ポー ト が使用 さ れ ま す。 ス ト リ ー ミ ン グ モジ ュ ールの場合は、 イ ン タ ーフ ェ イ ス は単純な
デー タ ポー ト と デー タ VALID ポー ト で構成 さ れます。フル デュ プ レ ッ ク ス コ アには送信 と 受信の両方のポー ト が含
ま れ、 シ ン プ レ ッ ク ス コ アではサポー ト さ れてい る 方向にデー タ を送信す る ために必要なポー ト のみが使用 さ れ ま
す。 すべての イ ン タ ーフ ェ イ ス のデー タ ポー ト 幅は、 コ アの ト ラ ン シーバー数お よ び こ れ ら の ト ラ ン シーバーで選択
し た幅に依存 し ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
13
第 2 章 : 製品仕様
フ レー ミ ング イ ン タ ー フ ェ イ スのポー ト
表 2-5 では、 AXI4-Stream の TX デー タ ポー ト について説明 し てい ます。 こ れ ら のポー ト は、 フル デ ュ プ レ ッ ク スお
よ びシ ンプ レ ッ ク ス TX フ レー ミ ン グ コ アに含まれます。
表 2-5 : フ レー ミ ングのユーザー I/O ポー ト (TX)
名前
方向
説明
入力
出力 さ れ る デー タ (昇ビ ッ ト 順) です。
n はバ イ ト 数 を 示 し 、 レ ー ン 数 x レ ー ン 幅で算出 さ れ ま す。 [Little
Endian Support] がオンの場合、コ アはs_axi_tx_tdata[(8n–1):0]
を使用し ます。
s_axi_tx_tready
出力
ソ ー ス か ら の信号が受信 さ れ る と (s_axi_tx_tvalid も アサー ト
さ れてい る 場合)、 ク ロ ッ ク エ ッ ジでアサー ト (High) さ れます。
ソ ース か ら の信号が無視 さ れ る 場合には、 ク ロ ッ ク エ ッ ジでデ ィ ア
サー ト (Low) さ れます。
s_axi_tx_tlast
入力
フ レームの終わ り を示 し ます (ア ク テ ィ ブ High)。
入力
最後のデータ ビー ト で有効なバ イ ト 数を示し ます (s_axi_tx_
tlast がアサー ト さ れてい る場合のみ有効)。s_axi_tx_tkeep は、
s_axi_tx_tdata の関連バ イ ト の コ ン テ ン ツが有効であ る かを判断
する バ イ ト 修飾子です。
Aurora コ アは、 LSB か ら MSB へ と 連続的なデー タ の埋め込みを要求
し ます。有効な s_axi_tx_tdata バス の間に無効なバ イ ト が挿入 さ
れ る こ と はあ り ません。
[Little Endian Support] がオンで、 デー タ が MSB か ら LSB へ連続的に
埋め込まれ る必要があ る場合、コ アは s_axi_tx_tkeep[(n–1):0]
を使用し ます。
入力
ソ ース か ら の AXI4-Stream 信号が有効な場合にアサー ト (High) さ れ
ます。
ソ ース か ら の AXI4-Stream 制御信号ま たはデー タ が無視 さ れ る 場合
にはデ ィ アサー ト (Low) さ れます。
s_axi_tx_tdata[0:(8n–1)] ま たは
s_axi_tx_tdata[(8n–1):0]
s_axi_tx_tkeep[0:(n–1)] ま たは
s_axi_tx_tkeep[(n–1):0]
s_axi_tx_tvalid
表 2-6 では、 フ レー ミ ン グの RX デー タ ポー ト について説明 し てい ます。 こ れ ら のポー ト は、 フル デ ュ プ レ ッ ク スお
よ びシ ンプ レ ッ ク ス RX フ レー ミ ン グ コ アに含まれます。
表 2-6 : フ レー ミ ングのユーザー I/O ポー ト (RX)
名前
方向
説明
m_axi_rx_tdata[0:8(n–1)] ま たは
m_axi_rx_tdata[8(n–1):0]
出力
チ ャ ネル パー ト ナーか ら 入力 さ れ る デー タ です (昇ビ ッ ト 順)。 [Little
Endian Support] がオンの場合、 コ アは m_axi_rx_tdata[8(n–1):0]
を使用 し ます。
m_axi_rx_tlast
出力
入力 さ れ る フ レームの最後を示 し ます (ア ク テ ィ ブ High で、 ユーザー
ク ロ ッ ク の 1 サ イ ク ル間アサー ト さ れ る)。
m_axi_rx_tvalid がデ ィ アサー ト (Low) さ れ る と 無視 さ れます。
m_axi_rx_tkeep[0:(n–1)] ま たは
m_axi_rx_tkeep[(n–1):0]
出力
最後のデー タ ビー ト で有効なバ イ ト 数を示し ます (m_axi_rx_tlast
がアサー ト さ れてい る 場合のみ有効)。 [Little Endian Support] がオンの
場合、 コ アは m_axi_rx_tkeep[(n–1):0] を使用し ます。
出力
Aurora 8B/10B コ ア か ら のデー タ お よ び制御信号が有効の場合に ア
サー ト (High) さ れます。
Aurora 8B/10B コ アか ら のデー タ ま たは制御信号を無視す る 場合には
デ ィ アサー ト (Low) さ れます。
m_axi_rx_tvalid
詳細は、 48 ページの 「フ レー ミ ン グ イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
14
第 2 章 : 製品仕様
ス ト リ ー ミ ング イ ン タ ー フ ェ イ スのポー ト
表 2-7 では、 ス ト リ ー ミ ン グの TX デー タ ポー ト について説明 し てい ます。 こ れ ら のポー ト は、 フル デ ュ プ レ ッ ク ス
お よ びシ ンプ レ ッ ク ス TX フ レー ミ ン グ コ アに含まれます。
表 2-7 : ス ト リ ー ミ ングのユーザー I/O ポー ト (TX)
名前
方向
説明
s_axi_tx_tdata[0:(8n–1)] ま たは
s_axi_tx_tdata[(8n–1):0]
入力
出力 さ れ る デー タ (昇ビ ッ ト 順) です。 [Little Endian Support] がオン
の場合、 コ アは s_axi_tx_tdata[(8n–1):0] を使用 し ます。
出力
ソ ース か ら の信号が受信 さ れ る と (s_axi_tx_tvalid も アサー ト
さ れ る と )、 ク ロ ッ ク エ ッ ジでアサー ト (High) さ れます。
ソ ース か ら の信号が無視 さ れ る 場合には、 ク ロ ッ ク エ ッ ジでデ ィ ア
サー ト (Low) さ れます。
入力
ソ ース か ら の AXI4-Stream 信号が有効な場合にアサー ト (High) さ れ
ます。
ソ ース か ら の AXI4-Stream 制御信号ま たはデー タ が無視 さ れ る 場合
にはデ ィ アサー ト (Low) さ れます。
s_axi_tx_tready
s_axi_tx_tvalid
表 2-8 では、 ス ト リ ー ミ ン グの RX デー タ ポー ト について説明 し てい ます。 こ れ ら のポー ト は、 フル デ ュ プ レ ッ ク ス
お よ びシ ンプ レ ッ ク ス RX フ レー ミ ン グ コ アに含まれます。
表 2-8 : ス ト リ ー ミ ングのユーザー I/O ポー ト (RX)
名前
方向
説明
m_axi_rx_tdata[0:(8n–1)] ま たは
m_axi_rx_tdata[8(n–1):0]
出力
チ ャ ネル パー ト ナーか ら 入力 さ れ る データ です (昇ビ ッ ト 順)。 [Little
Endian Support] がオンの場合、コ アは m_axi_rx_tdata[8(n–1):0]
を使用し ます。
出力
Aurora 8B/10B コ ア か ら のデー タ お よ び制御信号が有効の場合に ア
サー ト (High) さ れます。
Aurora 8B/10B コ ア か ら のデー タ を 無視す る 場合にはデ ィ ア サー ト
(Low) さ れます。
m_axi_rx_tvalid
詳細は、 55 ページの 「ス ト リ ー ミ ン グ イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
ユーザー フ ロー制御イ ン タ ー フ ェ イ ス
ユーザー フ ロ ー制御 (UFC) を イ ネーブルに し て コ ア を生成 し た場合、 UFC イ ン タ ーフ ェ イ ス が作成 さ れます。 UFC
イ ン タ ーフ ェ イ ス の TX 側には、 UFC メ ッ セージ を開始す る ために使用 さ れ る リ ク エ ス ト ポー ト と 肯定応答 (ACK)
ポー ト があ り 、 メ ッ セージの長 さ を指定す る ための 3 ビ ッ ト ポー ト があ り ます。 ユーザーがユーザー イ ン タ ーフ ェ
イ ス のデー タ ポー ト に メ ッ セージ デー タ を供給 し ます。 UFC リ ク エ ス ト が肯定応答 さ れ る と 直ぐ に、 ユーザー イ ン
タ ーフ ェ イ ス は、 コ アが標準デー タ を受け付けない こ と を示 し ます。 こ れで、 UFC デー タ が UFC デー タ ポー ト に書
き 込み可能にな り ます。
UFC イ ン タ ーフ ェ イ ス の RX 側には、 UFC メ ッ セージ を フ レーム と し て読み出す こ と がで き る AXI4-Stream ポー ト
セ ッ ト があ り ます。 フル デ ュ プ レ ッ ク ス モジ ュ ールには TX と RX の UFC ポー ト があ り ますが、 シ ンプ レ ッ ク ス モ
ジ ュ ールには、 サポー ト さ れ る 方向にデー タ 送信す る ために必要な イ ン タ ーフ ェ イ ス のみが含まれます。
表 2-9 では UFC イ ン タ ーフ ェ イ ス のポー ト について説明 し てい ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
15
第 2 章 : 製品仕様
表 2-9 : UFC の I/O ポー ト
名前
方向
説明
s_axi_ufc_tx_req
入力
チ ャ ネル パー ト ナーへの UFC メ ッ セージ送信が要求 さ れ る と 、 アサー ト
(ア ク テ ィ ブ High) さ れます。s_axi_ufc_tx_ack がアサー ト さ れ る ま で
High を保持する 必要があ り ます。 すべての UFC メ ッ セージの送信準備が
整 う ま で、 こ の信号を アサー ト し ないで く だ さ い。
s_axi_ufc_tx_ms[0:2] ま たは
s_axi_ufc_tx_ms[2:0]
入力
送信 さ れ る UFC メ ッ セージのサ イ ズ を指定 し ます。 SIZE エン コ ー ド は 0
~ 7 ま での値です。60 ページの表 3-11 を参照 し て く だ さ い。[Little Endian
Support] がオンの場合、 コ アは s_axi_ufc_tx_ms[2:0] を使用 し ます。
s_axi_ufc_tx_ack
出力
Aurora 8B/10B コ アが UFC メ ッ セージの コ ン テ ン ツ を読み出す準備が整 う
と アサー ト (ア ク テ ィ ブ High) さ れ ます。 s_axi_ufc_tx_ack 信号がア
サー ト さ れた後のサ イ ク ルで、 s_axi_tx_tdata ポー ト のデー タ が UFC
デー タ と し て見な さ れ ま す。 UFC メ ッ セージが開始 さ れ、 すべての メ ッ
セージ を送信する のに十分なサ イ ク ルが経過する ま で s_axi_tx_tdata
が継続的に使用 さ れ ま す。 UFC サ イ ク ルか ら の未使用バ イ ト は破棄 さ れ
ます。
m_axi_ufc_rx_tdata[0:(8n–1)] ま たは
m_axi_ufc_rx_tdata[(8n–1):0]
出力
チ ャ ネル パー ト ナーか ら 送 ら れ る UFC メ ッ セージ デー タ です (n = 最大
16
バ イ ト )。 [Little
Endian
Support]
が オ ン の 場 合、 コ ア は
m_axi_ufc_rx_tdata[(8n–1):0] を使用 し ます。
m_axi_ufc_rx_tvalid
出力
m_axi_ufc_rx ポー ト の値が有効な場合にアサー ト さ れ ます。 こ の信号
がアサー ト さ れない場合、 m_axi_ufc_rx ポー ト のすべての値は無視 さ
れます (ア ク テ ィ ブ High)。
m_axi_ufc_rx_tlast
出力
入力 さ れ る UFC メ ッ セージの終わ り を示 し ます (ア ク テ ィ ブ High)。
出力
UFC メ ッ セージの最後の ワ ー ド で m_axi_ufc_rx_tdata ポー ト に現れ
る有効なバ イ ト データ 数を指定 し ます。m_axi_ufc_rx_tlast がアサー
ト さ れてい る 場合のみ有効 と な り ます (n = 最大 16 バ イ ト )。 [Little Endian
Support] がオンの場合、 コ アは m_axi_ufc_rx_tkeep[0:(n–1)] を使用
し ます。
m_axi_ufc_rx_tkeep[0:(n–1)] ま たは
m_axi_ufc_rx_tkeep[(n–1):0]
詳細は、 60 ページの 「ユーザー フ ロ ー制御」 を参照 し て く だ さ い。
ネ イ テ ィ ブ フ ロー制御イ ン タ ー フ ェ イ ス
ネ イ テ ィ ブ フ ロ ー制御 (NFC) を イ ネーブルに し て コ ア を生成 し た場合、 NFC イ ン タ ーフ ェ イ ス が作成 さ れ ます。 こ
の イ ン タ ーフ ェ イ ス には、 NFC メ ッ セージ を送信す る ために使用す る リ ク エ ス ト ポー ト と 肯定応答ポー ト 、 その他
リ ク エ ス ト さ れた ア イ ド ル サ イ ク ル数を指定する 4 ビ ッ ト ポー ト があ り ます。
表 2-10 では、 フル デ ュ プ レ ッ ク ス の Aurora 8B/10B コ アでのみ利用で き る NFC イ ン タ ーフ ェ イ ス のポー ト について
説明 し てい ます。
表 2-10 : NFC の I/O ポー ト
名前
方向
説明
s_axi_nfc_ack
出力
Aurora 8B/10B コ アが NFC リ ク エ ス ト を受信す る と アサー ト さ れま
す (ア ク テ ィ ブ High)。
入力
チ ャ ネル パー ト ナーが NFC メ ッ セージ を受信す る と き に、 送信す
べ き PAUSE ア イ ド ル サ イ ク ル数を示 し ます。 s_axi_nfc_ack が
アサー ト さ れ る ま で High を保持する 必要があ り ます。 [Little Endian
Support] がオ ン の場合、 コ ア は s_axi_nfc_nb[3:0] を 使用 し ま
す。
s_axi_nfc_nb[0:3] ま たは
s_axi_nfc_nb[3:0]
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
16
第 2 章 : 製品仕様
表 2-10 : NFC の I/O ポー ト (続き)
名前
方向
説明
s_axi_nfc_req
入力
チ ャ ネル パー ト ナーへの UFC メ ッ セージ送信が リ ク エ ス ト さ れ る
と 、 アサー ト (ア ク テ ィ ブ High) さ れ ま す。 s_axi_nfc_ack が ア
サー ト さ れ る ま で High を保持する 必要があ り ます。
m_axi_rx_snf
出力
パー ト ナーか ら NFC メ ッ セージ を受信 し た こ と を示 し ま す。 こ の
ポー ト は、 user_clk の 1 サ イ ク ル間アサー ト さ れます。
出力
受信 し た NFC メ ッ セージの PAUSE 値を示 し ま す。 こ のポー ト は、
m_axi_rx_snf を 使用 し て サ ン プ ル さ れ ま す。 [Little Endian
Support] がオ ン の場合、 コ アは m_axi_rx_fc_nb[3:0] を使用 し
ます。
m_axi_rx_fc_nb[0:3]
m_axi_rx_fc_nb[3:0]
ま たは
詳細は、 58 ページの 「ネ イ テ ィ ブ フ ロ ー制御」 を参照 し て く だ さ い。
フ ル デ ュ プ レ ッ ク ス コ アのス テー タ ス ポー ト と 制御ポー ト
表 2-11 では、 フル デ ュ プ レ ッ ク ス コ アの ス テー タ ス ポー ト と 制御ポー ト の機能について説明 し てい ます。
表 2-11 : フ ル デ ュ プ レ ッ ク ス コ アのス テー タ ス ポー ト と 制御ポー ト
名前
方向
説明
channel_up
出力
Aurora 8B/10B チ ャ ネルの初期化が完了 し 、チ ャ ネルがデー タ 送信可能な
状態にな る と アサー ト さ れます。Aurora 8B/10B コ アは、channel_up が
アサー ト さ れ る 前にデー タ を送受信する こ と はで き ません。
lane_up[0:m–1](1)
出力
レーンの初期化が正常に完了す る と 、 各レーンに対 し て アサー ト さ れ ま
す。 各ビ ッ ト がそれぞれの レーン を表 し てい ます (ア ク テ ィ ブ High)。
frame_err
出力
チ ャ ネルの フ レーム/プ ロ ト コ ル エ ラ ーを検出 し た こ と を示 し ます。ア ク
テ ィ ブ High で 1 ク ロ ッ ク サ イ ク ル間アサー ト さ れます。
hard_err
出力
ハー ド エ ラ ーを検出 し た こ と を示 し ます。 (ア ク テ ィ ブ Higih で、 Aurora
8B/10B コ アが リ セ ッ ト さ れ る ま でアサー ト さ れ ます)。 詳細は、 66 ペー
ジの 「フルデ ュ プ レ ッ ク ス コ アのエ ラ ー信号」 を参照 し て く だ さ い。
loopback[2:0]
入力
loopback[2:0] ポー ト は、 通常の動作モー ド 、 あ る いは異な る ループ
バ ッ ク モー ド のいずれか を選択 し ます。 『7 シ リ ーズ FPGA GTX/GTH ト
ラ ン シーバー ユーザー ガ イ ド 』 (UG476) [参照 3] を参照 し て く だ さ い。
power_down
入力
ト ラ ン シーバーのパ ワ ーダ ウ ン入力を駆動 し ます (ア ク テ ィ ブ High)。 詳
細は、 該当する ト ラ ン シーバーのユーザー ガ イ ド を参照 し て く だ さ い。
reset
入力
Aurora 8B/10B コ ア を リ セ ッ ト し ま す (ア ク テ ィ ブ High)。 こ の信号は、
user_clk と 同期す る 必要があ り 、 少な く と も user_clk の 6 ク ロ ッ ク
サ イ ク ル間アサー ト さ れ る 必要があ り ます。
soft_err
出力
入力 さ れ る シ リ アル ス ト リ ーム に ソ フ ト エ ラ ーが検出 さ れた こ と を示
し ます。 詳細は、 66 ページの 「フルデ ュ プ レ ッ ク ス コ アのエ ラ ー信号」
を参照 し て く だ さ い。 (ア ク テ ィ ブ High で 1 サ イ ク ル ク ロ ッ ク 間アサー
ト さ れます)。
rxp[0:m–1](1)
入力
差動シ リ アル デー タ 入力ピ ンの正側です。
rxn[0:m–1](1)
入力
差動シ リ アル デー タ 入力ピ ンの負側です。
txp[0:m–1](1)
出力
差動シ リ アル デー タ 出力ピ ンの正側です。
txn[0:m–1](1)
出力
差動シ リ アル デー タ 出力ピ ンの負側です。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
17
第 2 章 : 製品仕様
表 2-11 : フ ル デ ュ プ レ ッ ク ス コ アのス テー タ ス ポー ト と 制御ポー ト (続き)
名前
gt_reset
方向
説明
入力
ト ラ ン シーバーの PMA モジ ュ ール用 リ セ ッ ト 信号は、デバ ウ ン ス回路を
介 し て最上位レベルへ接続 さ れます。 gt_reset ポー ト は、 ハー ド ウ ェ ア内
で モ ジ ュ ールが最初に電源投入 さ れ る と き に ア サー ト さ れ ま す ( ア ク
テ ィ ブ High)。 こ れは、 ト ラ ン シーバーのすべての PCS (物理 コ ーデ ィ ン
グ サブ レ イ ヤ) と PMA (物理媒体ア タ ッ チ メ ン ト ) サブ コ ン ポーネ ン ト を
組織的に リ セ ッ ト し ます。
こ の 信号 は、 init_clk_in
を 使用 し て デ バ ウ ン ス 処理 さ れ、
init_clk_in の 6 サ イ ク ル間アサー ト さ れ る 必要があ り ます。
詳細は、 該当す る ト ラ ン シーバー ユーザーガ イ ド の 「 リ セ ッ ト 」 セ ク
シ ョ ン を参照 し て く だ さ い。
init_clk_in ポー ト は、 gt_reset 信号の レ ジ ス タ への格納お よ びデ
バ ウ ン ス処理に使用 さ れます。gt_reset がアサー ト さ れ る と user_clk が
停止する ため、 init_clk_in が必要です。 init_clk_in ポー ト は、 低
速レー ト に設定す る 必要があ り 、 基準 ク ロ ッ ク よ り 低速にす る こ と を推
奨 し ます。<component name>_exdes.xdc では、デフ ォ ル ト で 50MHz
周波数に設定 さ れてい ます。
init_clk_in
入力
Zynq®-7000 お よ び 7 シ リ ーズ デバ イ ス を使用する デザ イ ンの場合 :
xc7k325t-ffg900、 xc7vx485t-ffg1761、 お よ び xc7a100t-fgg676 デバ イ ス の
XDC サ ン プル デザ イ ン フ ァ イ ル (<component name>_clocks.xdc
お よ び ooc.xdc) では init_clk_in が 200MHz に制約 さ れてい ます。
さ ら に、 STABLE_CLOCK_PERIOD パ ラ メ ー タ ーは 5ns に設定 さ れ、
<component name>_transceiver_wrapper フ ァ イ ル内の内容を反
映 し ま す。 <component name>_TB の INIT_CLOCKPERIOD パ ラ メ ー
タ ーは 5 に設定 さ れ、 init_clk_in を生成 し ます。
init_clk_in の周波数範囲は 50MHz ~ 200MHz に制約 さ れ る 必要があ
り ます。 init_clk_in 周期の変更は、 IP コ ア を適切に動作 さ せ る ため
に サ ン プ ル XDC (<component name>_clocks. xdc、 ooc. xdc、
<component name>_transceiver_wrapper お よ び <component
name>_TB) 内で行 う 必要があ り ます。
UltraScale アーキ テ ク チ ャ デザ イ ンの場合 :
init_clk_in の周波数は、TXUSERCLK の周波数 と 同 じ にな る 必要があ
り 、 200MHz 以下に し て く だ さ い。 TXUSERCLK の周波数は、 ラ イ ン レー
ト と 内部デー タ パ ス幅に依存 し ます。 詳細は、 『UltraScale FPGA GTH ト
ラ ン シーバー ユーザー ガ イ ド 』 (UG576) [参照 1] を参照 し て く だ さ い。
Aurora IP コ アの レーン幅が ト ラ ン シーバーの内部デー タ パ ス幅を指定 し
ます。2 お よ び 4 レーン幅の場合は、内部デー タ パ ス幅を 20 お よ び 40 に
設定 し ます。
こ の init_clk_in は、 GTHE3_CHANNEL の DRP ポー ト の DRPCLK に
も 接続 さ れます。 IP コ ア を適切に動作 さ せ る には、 init_clk_in 周期
の変更はサンプル XDC (<component name>_clocks.xdc、ooc.xdc、
お よ び <component name>_TB) 内で行 う 必要があ り ます。
注記 :
1. m は、 ト ラ ン シーバーの数です。
詳細は、 65 ページの 「フルデ ュ プ レ ッ ク ス コ ア」 を参照 し て く だ さ い。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
18
第 2 章 : 製品仕様
シ ン プ レ ッ ク ス コ アのス テー タ ス ポー ト と 制御ポー ト
表 2-12 では、 シ ン プ レ ッ ク ス TX イ ン タ ーフ ェ イ ス の ス テー タ ス ポー ト と 制御ポー ト の機能について説明 し てい
ま す。
表 2-12 : シ ン プ レ ッ ク ス TX コ アのス テー タ ス ポー ト と 制御ポー ト
名前
方向
説明
tx_aligned
入力
RX チ ャ ネル パー ト ナーがすべての レ ーン の初期化を完了
す る と アサー ト さ れ ます。 通常、 rx_aligned へ接続 さ れ
ます。
tx_bonded
入力
RX チ ャ ネル パー ト ナーがチ ャ ネル ボ ンデ ィ ン グ を完了す
る と アサー ト さ れます。 シ ン グル レーン チ ャ ネルの場合は
不要です。 通常、 rx_bonded へ接続 さ れます。
tx_verify
入力
RX チ ャ ネル パー ト ナーが検証を完了す る と アサー ト さ れ
ます。 通常、 rx_verify へ接続 さ れます。
入力
RX チ ャ ネル パー ト ナーの初期化ス テー タ ス に よ り 、リ セ ッ
ト が 必 要 な 場 合 に ア サ ー ト さ れ ま す。 こ の 信 号 は、
user_clk と 同期す る 必要があ り 、 少な く と も user_clk
の 1 ク ロ ッ ク サ イ ク ル間アサー ト さ れ る 必要が あ り ま す。
一般的に rx_reset へ接続 さ れます。
tx_channel_up
出力
Aurora 8B/10B チ ャ ネルの初期化が完了 し 、 チ ャ ネルがデー
タ 送 信 可 能 な 状 態 に な る と ア サ ー ト さ れ ま す。 Aurora
8B/10B コ ア は、 tx_channel_up が ア サー ト さ れ る 前に
デー タ を受信する こ と はで き ません。
tx_lane_up[0:m–1](1)
出力
レ ーン の初期化が正常に完了す る と 、 各 レ ーン に対 し て ア
サー ト さ れ ま す。 各ビ ッ ト がそれぞれの レ ーン を表 し てい
ます (ア ク テ ィ ブ High)。
tx_hard_err
出力
ハー ド エ ラ ー を 検出 し た こ と を 示 し ま す。 ( ア ク テ ィ ブ
Higih で、 Aurora 8B/10B コ アが リ セ ッ ト さ れ る ま でアサー
ト さ れます)。 詳細は、 68 ページの 「シ ンプ レ ッ ク ス コ アの
エ ラ ー信号」 を参照 し て く だ さ い。
power_down
入力
ト ラ ン シ ーバー の パ ワ ー ダ ウ ン 入力 を 駆動 し ま す ( ア ク
テ ィ ブ High)。 詳細は、 該当す る ト ラ ン シーバーのユーザー
ガ イ ド を参照 し て く だ さ い。
tx_system_reset
入力
Aurora 8B/10B コ ア を リ セ ッ ト し ます (ア ク テ ィ ブ High)。
少な く と も user_clk の 6 サ イ ク ル間アサー ト さ れ る 必要
があ り ます。
txp[0:m–1](1)
出力
差動シ リ アル デー タ 出力ピ ンの正側です。
txn[0:m–1](1)
出力
差動シ リ アル デー タ 出力ピ ンの負側です。
tx_reset
注記 :
1. m は、 ト ラ ン シーバーの数です。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
19
第 2 章 : 製品仕様
表 2-13 では、 シ ン プ レ ッ ク ス RX イ ン タ ーフ ェ イ ス の ス テー タ ス ポー ト と 制御ポー ト の機能について説明 し てい
ま す。
表 2-13 : シ ン プ レ ッ ク ス RX コ アのス テー タ ス ポー ト と 制御ポー ト
名前
方向
説明
rx_aligned
出力
RX モ ジ ュ ールが レ ーン の初期化を完了す る と ア サー ト さ
れます。 通常、 tx_aligned へ接続 さ れます。
rx_bonded
出力
RX モ ジ ュ ールがチ ャ ネ ル ボ ン デ ィ ン グ を 完了す る と ア
サー ト さ れます。 シ ン グル レーン チ ャ ネルの場合は不要で
す。 通常、 tx_bonded へ接続 さ れます。
rx_verify
出力
RX モ ジ ュ ールが検証を 完了す る と ア サー ト さ れ ま す。 通
常、 tx_verify へ接続 さ れます。
rx_reset
出力
RX モジ ュ ールが TX モジ ュ ールの初期化を再開する 必要が
あ る 場合にアサー ト さ れ ま す。 通常、 tx_reset へ接続 さ
れます。
出力
Aurora 8B/10B チ ャ ネルの初期化が完了 し 、 チ ャ ネルがデー
タ 送 信 可 能 な 状 態 に な る と ア サ ー ト さ れ ま す。 Aurora
8B/10B コ ア は、 rx_channel_up が ア サー ト さ れ る 前に
デー タ を受信する こ と はで き ません。
rx_lane_up[0:m–1](1)
出力
レ ーン の初期化が正常に完了す る と 、 各レ ーン に対 し て ア
サー ト さ れ ま す。 各ビ ッ ト がそれぞれの レ ーン を表 し てい
ま す (ア ク テ ィ ブ High)。 Aurora 8B/10B コ ア は、 すべて の
rx_lane_up 信号が High に遷移 し た後にデー タ を受信で
き ます。
frame_err
出力
チ ャ ネルの フ レ ーム/プ ロ ト コ ル エ ラ ーを 検出 し た こ と を
示 し ます。ア ク テ ィ ブ High で 1 ク ロ ッ ク サ イ ク ル間アサー
ト さ れます。
rx_hard_err
出力
ハー ド エ ラ ー を 検出 し た こ と を 示 し ま す。 ( ア ク テ ィ ブ
Higih で、 Aurora 8B/10B コ アが リ セ ッ ト さ れ る ま でアサー
ト さ れます)。 詳細は、 68 ページの 「シ ンプ レ ッ ク ス コ アの
エ ラ ー信号」 を参照 し て く だ さ い。
power_down
入力
GTP/GTX ト ラ ン シーバーのパ ワ ーダ ウ ン 入力 を 駆動 し ま
す (ア ク テ ィ ブ High)。
rx_system_reset
入力
Aurora 8B/10B コ ア を リ セ ッ ト し ます (ア ク テ ィ ブ High)。
少な く と も user_clk の 6 サ イ ク ル間アサー ト さ れ る 必要
があ り ます。
soft_err
出力
入力 さ れ る シ リ アル ス ト リ ーム に ソ フ ト エ ラ ーが検出 さ
れた こ と を示 し ます。 詳細は、 68 ページの 「シ ンプ レ ッ ク
ス コ ア のエ ラ ー信号」 を 参照 し て く だ さ い。 (ア ク テ ィ ブ
High で 1 サ イ ク ル ク ロ ッ ク 間アサー ト さ れます)。
rxp[0:m–1](1)
入力
差動シ リ アル デー タ 入力ピ ンの正側です。
rxn[0:m–1](1)
入力
差動シ リ アル デー タ 入力ピ ンの負側です。
rx_channel_up
注記 :
1. m は、 ト ラ ン シーバーの数です。
rx_aligned, rx_bonded、 rx_verify、 お よ び
2. シ ンプ レ ッ ク ス パー ト ナーが タ イ マー ベース の場合で も 、
rx_reset 信号を出力 と し て利用で き ますが、 こ れ ら の信号は機能的に必要あ り ません。
詳細は、 67 ページの 「シ ンプ レ ッ ク ス コ ア」 を参照 し て く だ さ い。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
20
第 2 章 : 製品仕様
ト ラ ン シーバー イ ン タ ー フ ェ イ ス
こ の イ ン タ ーフ ェ イ ス には、 GTP/GTX/GTH ト ラ ン シーバーのシ リ アル I/O ポー ト お よ び Aurora 8B/10B コ アの制御
ポー ト と ス テー タ ス ポー ト があ り ます。 こ の イ ン タ ーフ ェ イ ス は、 リ セ ッ ト 、 ループバ ッ ク 、 チ ャ ネル ボ ンデ ィ ン
グ、 ク ロ ッ ク コ レ ク シ ョ ン、 パ ワ ーダ ウ ン な ど の制御フ ァ ン ク シ ョ ンへのユーザー ア ク セ ス を提供 し ます。 チ ャ ネ
ルの ス テー タ ス を示すス テー タ ス情報やエ ラ ー情報へ も こ こ か ら ア ク セ ス で き ます。 表 2-14 では、 ト ラ ン シーバー
のポー ト について説明 し てい ます。
表 2-14 : ト ラ ン シーバーのポー ト
名前
方向
説明
rxp[0:m–1](1)
入力
差動シ リ アル デー タ 入力ピ ンの正側です。
rxn[0:m–1](1)
入力
差動シ リ アル デー タ 入力ピ ンの負側です。
txp[0:m–1](1)
出力
差動シ リ アル デー タ 出力ピ ンの正側です。
txn[0:m–1](1)
出力
差動シ リ アル デー タ 出力ピ ンの負側です。
power_down
入力
ト ラ ン シーバーのパ ワーダ ウ ン入力を駆動 し ます (ア ク テ ィ ブ
High)。
詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ ド を参照 し
て く だ さ い。
loopback[2:0]
入力
ト ラ ン シーバーのループバ ッ ク ポー ト です。 ループバ ッ ク テ
ス ト モー ド コ ン フ ィ ギ ュ レーシ ョ ンに関 し ては、 該当する ト
ラ ン シーバーのユーザー ガ イ ド を参照 し て く だ さ い。
gt_reset
入力
ト ラ ン シーバー用の非同期 リ セ ッ ト 信号です。 詳細は、 該当す
る ト ラ ン シーバーのユーザー ガ イ ド を参照 し て く だ さ い。
tx_resetdone_out
出力
ト ラ ン シーバーの txresetdone 信号です。 詳細は、 該当す
る ト ラ ン シーバーのユーザー ガ イ ド を参照 し て く だ さ い。
rx_resetdone_out
出力
ト ラ ン シーバーの rxresetdone 信号です。 詳細は、 該当す
る ト ラ ン シーバーのユーザー ガ イ ド を参照 し て く だ さ い。
tx_lock
出力
入力 さ れ る シ リ アル ト ラ ン シーバー refclk が ト ラ ン シーバー
PLL に よ っ て ロ ッ ク さ れてい る こ と を示 し ます。 詳細は、 該当
する ト ラ ン シーバーのユーザー ガ イ ド を参照 し て く だ さ い。
7 シ リ ーズおよび Zynq-7000 デバイ ス ト ラ ン シーバーの DRP ポー ト
drpaddr_in
入力
DRP ア ド レ ス バ ス です。 詳細は、 該当する ト ラ ン シーバーの
ユーザー ガ イ ド を参照 し て く だ さ い。
drpclk_in
入力
DRP イ ン タ ーフ ェ イ ス ク ロ ッ ク 詳細は、 該当す る ト ラ ン シー
バーのユーザー ガ イ ド を参照 し て く だ さ い。
drpdi_in
入力
FPGA ロ ジ ッ ク か ら ト ラ ン シーバーへ コ ン フ ィ ギ ュ レ ーシ ョ
ン デー タ を書 き 込むためのデー タ バ ス です。 詳細は、 該当す
る ト ラ ン シーバーのユーザー ガ イ ド を参照 し て く だ さ い。
drpdo_out
出力
ト ラ ン シーバーか ら FPGA ロ ジ ッ ク へ コ ン フ ィ ギ ュ レ ーシ ョ
ン デー タ を読み出すためのデー タ バ ス です。 詳細は、 該当す
る ト ラ ン シーバーのユーザー ガ イ ド を参照 し て く だ さ い。
drpen_in
入力
DRP の イ ネーブル信号です。詳細は、該当する ト ラ ン シーバー
のユーザー ガ イ ド を参照 し て く だ さ い。
drprdy_out
出力
DRP 書 き 込み処理が完了 し 、 読み出 し デー タ が有効であ る こ
と を示 し ま す。 詳細は、 該当す る ト ラ ン シーバーのユーザー
ガ イ ド を参照 し て く だ さ い。
drpwe_in
入力
DRP の書き 込み イ ネーブルです。詳細は、該当する ト ラ ン シー
バーのユーザー ガ イ ド を参照 し て く だ さ い。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
21
第 2 章 : 製品仕様
表 2-14 : ト ラ ン シーバーのポー ト (続き)
名前
方向
説明
7 シ リ ーズおよび Zynq-7000 デバイ ス ト ラ ン シーバーのデバ ッ グ ポー ト
gt<lane>_txpostcursor_in
gt<lane>_txprecursor_in
gt<lane>_txchardispmode_in
gt<lane>_txchardispval_in
gt<lane>_txdiffctrl_in
gt<lane>_txmaincursor_in
gt<lane>_txpolarity_in
gt<lane>_tx_buf_err_out
gt<lane>_rxlpmhfhold_in
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
入力
ト ラ ン ス ミ ッ タ ーのポ ス ト カー ソ ル TX プ リ エ ン フ ァ シ ス を
指定 し ます。
デ ュ プ レ ッ ク ス お よ び TX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
入力
ト ラ ン ス ミ ッ タ ーのプ リ カー ソ ル TX プ リ エ ン フ ァ シ ス を指
定 し ます。
デ ュ プ レ ッ ク ス お よ び TX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
入力
TXDATA のエ ン コ ー ド 時、 txchardispval を使用 し て強制
的に正ま たは負の ラ ン ニ ン グ デ ィ ス パ リ テ ィ を送信す る 場合
は、 こ のポー ト を High に設定 し ます。 通常の ラ ン ニ ン グ デ ィ
ス パ リ テ ィ を使用する 場合は、 Low に設定 し ます。
デ ュ プ レ ッ ク ス お よ び TX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
入力
txchardispmode と 共 に 使用 し て ラ ン ニ ン グ デ ィ ス パ リ
テ ィ を指定 し ます。
デ ュ プ レ ッ ク ス お よ び TX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
入力
ド ラ イ バーの強度を指定 し ます。
デ ュ プ レ ッ ク ス お よ び TX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
入力
TX_MAINCURSOR_SEL 属性が 1'b1 に設定 さ れてい る 場合、メ
イ ン カー ソ ルの係数を直接設定 し ます。
デ ュ プ レ ッ ク ス お よ び TX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
入力
出力デー タ の極性の反転には、 txpolarity ポー ト が使用 さ
れます。
• 0 : 反転 し ない。 TXP は正、 TXN は負。
• 1 : 反転す る 。 TXP は負、 TXN は正。
デ ュ プ レ ッ ク ス お よ び TX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
出力
TX バ ッ フ ァ ーの ス テー タ ス です。 txbufstatus[1] が こ の
ポー ト に接続 さ れます。
デ ュ プ レ ッ ク ス お よ び TX のみシ ン プ レ ッ ク ス の場合に利用
可能です。
入力
1'b1 に設定する と 、 現在の高周波ブース ト 値が保持 さ れます。
1'b0 に設定する と 、 高周波ブース ト 値が適用 さ れます。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能で、7 シ リ ーズ FPGA GTP ト ラ ン シーバーにのみ適用で き
ま す。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ ド を
参照 し て く だ さ い。
japan.xilinx.com
22
第 2 章 : 製品仕様
表 2-14 : ト ラ ン シーバーのポー ト (続き)
名前
gt<lane>_rxlpmlfhold_in
gt<lane>_rxlpmen_in
gt<lane>_rxcdrovrden_in
gt<lane>_rxcdrhold_in
gt<lane>_rxdfelpmreset_in
gt<lane>_rxmonitorout_out
gt<lane>_rxmonitorsel_in
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
方向
説明
入力
1'b1 に設定する と 、 現在の低周波ブース ト 値が保持 さ れます。
1'b0 に設定する と 、 低周波ブース ト 値が適用 さ れます。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能で、7 シ リ ーズ FPGA GTP ト ラ ン シーバーにのみ適用で き
ま す。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ ド を
参照 し て く だ さ い。
入力
RX デー タ パ ス
• 0 : DFE
• 1 : LPM
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能で、 7 シ リ ーズ FPGA GTX お よ び GTH ト ラ ン シーバーに
のみ適用で き ま す。 詳細は、 該当す る ト ラ ン シーバーのユー
ザー ガ イ ド を参照 し て く だ さ い。
入力
予約済みです。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能で、 7 シ リ ーズ FPGA GTX お よ び GTH ト ラ ン シーバーに
のみ適用で き ま す。 詳細は、 該当す る ト ラ ン シーバーのユー
ザー ガ イ ド を参照 し て く だ さ い。
入力
CDR 制御ループ を停止状態に保持 し ます。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
入力
DFE の リ セ ッ ト シー ケ ン ス を 開始す る た め、 こ の ポー ト は
High 駆動 さ れた後デ ィ アサー ト さ れます。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能で、 7 シ リ ーズ FPGA GTX お よ び GTH ト ラ ン シーバーに
のみ適用で き ま す。 詳細は、 該当す る ト ラ ン シーバーのユー
ザー ガ イ ド を参照 し て く だ さ い。
出力
GTX ト ラ ン シーバー :
• RXDFEVP[6:0] = RXMONITOROUT[6:0]
• RXDFEUT[6:0] = RXMONITOROUT[6:0]
• RXDFEAGC[4:0] = RXMONITOROUT[4:0]
GTH ト ラ ン シーバー :
• RXDFEVP[6:0] = RXMONITOROUT[6:0]
• RXDFEUT[6:0] = RXMONITOROUT[6:0]
• RXDFEAGC[3:0] = RXMONITOROUT[4:1]
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能で、 7 シ リ ーズ FPGA GTX お よ び GTH ト ラ ン シーバーに
のみ適用で き ま す。 詳細は、 該当す る ト ラ ン シーバーのユー
ザー ガ イ ド を参照 し て く だ さ い。
入力
rxmonitorout[6:0] の信号を選択 し ます。
• 2'b00 : 予約済み
• 2'b01 : AGC ループ を選択
• 2'b10 : UT ループ を選択
• 2'b11 :VP ループを選択
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能で、 7 シ リ ーズ FPGA GTX お よ び GTH ト ラ ン シーバーに
のみ適用で き ま す。 詳細は、 該当す る ト ラ ン シーバーのユー
ザー ガ イ ド を参照 し て く だ さ い。
japan.xilinx.com
23
第 2 章 : 製品仕様
表 2-14 : ト ラ ン シーバーのポー ト (続き)
名前
gt<lane>_eyescanreset_in
gt<lane>_eyescandataerror_out
gt<lane>_eyescantrigger_in
gt<lane>_rxbyteisaligned_out
gt<lane>_rxcommadet_out
gt<lane>_rx_disp_err_out
gt<lane>_rx_not_in_table_out
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
方向
説明
入力
EYESCAN の リ セ ッ ト シーケ ン ス を開始す る ため、 こ のポー
ト は High 駆動 さ れた後デ ィ アサー ト さ れます。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
出力
COUNT ま たは ARMED ス テー ト の と き に (マ ス ク さ れていな
い) エ ラ ーが発生する と 、 rec_clk の 1 サ イ ク ル間 High にな
り ます。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
入力
ト リ ガー イ ベン ト を発生 さ せます。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
出力
カ ン マ検出お よ び リ ア ラ イ メ ン ト 回路か ら の信号であ り 、パ ラ
レル デー タ ス ト リ ームが、 カ ン マ検出に従っ てバ イ ト 境界に
適切に揃え ら れてい る と き に High にな り ます。
• 0 : パ ラ レル デー タ ス ト リ ームがバ イ ト 境界に揃え ら れて
いない
• 1 : パ ラ レル デー タ ス ト リ ームがバ イ ト 境界に揃え ら れて
いる
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
出力
カ ン マ ア ラ イ メ ン ト ブ ロ ッ ク で カ ン マ が検出 さ れ る と 、 ア
サー ト さ れます。 こ の信号は、 FPGA RX イ ン タ ーフ ェ イ ス で
カ ン マが使用可能 と な る 数サ イ ク ル前にアサー ト さ れます。
• 0 : カ ン マは未検出
• 1 : カ ン マ を検出
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
出力
High の場合、 rxdata の対応す る バ イ ト がデ ィ ス パ リ テ ィ エ
ラ ーであ る こ と を示 し ます。 ト ラ ン シーバーの rxdisperr ピ
ンが こ のポー ト に接続 さ れます。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
出力
High の場合、 rxdata の対応する バ イ ト が 8B/10B テーブルの
有効な キ ャ ラ ク タ ーでな か っ た こ と を示 し ま す。 ト ラ ン シー
バーの rxnotintable ピ ンが こ のポー ト に接続 さ れます。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
japan.xilinx.com
24
第 2 章 : 製品仕様
表 2-14 : ト ラ ン シーバーのポー ト (続き)
名前
gt<lane>_rx_realign_out
gt<lane>_rx_buf_err_out
gt0_pll0lock_out、
gt0_pll1lock_out
gt1_pll0lock_out、
gt1_pll1lock_out
gt<lane>_cplllock_out
gt<lane>_txprbsforceerr_in
gt<lane>_txprbssel_in
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
方向
説明
出力
カ ン マ検出お よ び リ ア ラ イ メ ン ト 回路か ら の信号で、カ ン マ検
出に よ っ て、
シ リ アル デー タ ス ト リ ーム内のバ イ ト ア ラ イ メ ン ト が変更 し
た こ と を示 し ます。
• 0 : バ イ ト ア ラ イ メ ン ト に変更な し
• 1 : バ イ ト ア ラ イ メ ン ト に変更あ り
ア ラ イ メ ン ト が起 こ る と デー タ の損失や重複が生 じ る 場合が
あ り 、 デー タ エ ラ ー (お よ び、 8B/10B デ コ ーダーの使用時は
デ ィ ス パ リ テ ィ エ ラ ー ) が発生す る 可能性があ り ます。
ト ラ ン シーバーの rxbyterealign ピ ン が こ のポー ト に接続
さ れます。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
出力
RX バ ッ フ ァ ーの ス テー タ ス です。 rxbufstatus[2] が こ の
ポー ト に接続 さ れます。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
出力
7 シ リ ーズ FPGA GTP ト ラ ン シーバーの COMMON ブ ロ ッ ク
の PLL0LOCK お よ び PLL1LOCK です。
コ アに有効な共有 ロ ジ ッ ク が含まれてい ます。
詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ ド を参照 し
て く だ さ い。
出力
7 シ リ ーズ FPGA GTP ト ラ ン シーバーの COMMON ブ ロ ッ ク
の PLL0LOCK お よ び PLL1LOCK です。
コ ア に有効 な共有 ロ ジ ッ ク が含 ま れ て お り 、 コ ア コ ン フ ィ
ギ ュ レーシ ョ ン中に 2 つの ク ワ ッ ド が選択 さ れます。
詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ ド を参照 し
て く だ さ い。
出力
High の場合、 こ の PLL 周波数 ロ ッ ク 信号は、 PLL 周波数があ
ら か じ め判断 し た耐性範囲内であ る こ と を示 し ます。こ の条件
が満た さ れ る ま で、 ト ラ ン シーバーお よ びその ク ロ ッ ク 出力は
信頼で き ません。
7 シ リ ーズ デバ イ ス の GTP ト ラ ン シーバーでは使用 さ れませ
ん。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ ド を参
照 し て く だ さ い。
入力
High に駆動 さ れ る と 、PRBS ト ラ ン ス ミ ッ タ ーでエ ラ ー挿入が
有効にな り ます。アサー ト 中は、出力デー タ パ タ ーンにエ ラ ー
が挿入 さ れます。txprbssel が 000 に設定 さ れてい る 場合は、
txdata への影響はあ り ません。
デ ュ プ レ ッ ク ス お よ び TX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
入力
ト ラ ン ス ミ ッ タ ー PRBS ジ ェ ネ レー タ ーのテ ス ト パ タ ーン を
制御 し ます。
デ ュ プ レ ッ ク ス お よ び TX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
japan.xilinx.com
25
第 2 章 : 製品仕様
表 2-14 : ト ラ ン シーバーのポー ト (続き)
名前
gt<lane>_txpcsreset_in
gt<lane>_txpmareset_in
gt<lane>_txresetdone_out
gt<lane>_txbufstatus_out
gt<lane>_rxresetdone_out
gt<lane>_rxbufstatus_out
gt<lane>_rxlpmhfovrden_in
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
方向
説明
入力
TX PCS の リ セ ッ ト に使用 し ます。PCS の リ セ ッ ト シーケ ン ス
を開始する ため、 こ のポー ト は High 駆動 さ れた後デ ィ アサー
ト さ れます。シーケ ン シ ャ ル モー ド の場合、こ のポー ト を High
駆動する と TX PCS のみ リ セ ッ ト さ れます。
デ ュ プ レ ッ ク ス お よ び TX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
入力
TX PMA の リ セ ッ ト に使用 し ます。 TX PMA の リ セ ッ ト シー
ケ ン ス を開始する ため、 こ のポー ト は High 駆動 さ れた後デ ィ
アサー ト さ れ ま す。 シーケ ン シ ャ ル モー ド の場合、 こ のポー
ト を High 駆動する と TX PMA と TX PCS の両方が リ セ ッ ト さ
れます。
デ ュ プ レ ッ ク ス お よ び TX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
出力
GTX/GTH ト ラ ン シーバーの TX が リ セ ッ ト を完了 し て使用可
能にな る と High にな り ます。gttxreset が High 駆動する と 、
こ のポー ト は Low に遷移 し 、 GTX/GTH ト ラ ン シーバーの TX
で txuserrdy 信号の High 駆動が検出 さ れ る ま で High にはな
り ません。
デ ュ プ レ ッ ク ス お よ び TX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
出力
TX バ ッ フ ァ ーの ス テー タ ス です。
デ ュ プ レ ッ ク ス お よ び TX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
出力
GTX/GTH ト ラ ン シーバーの RX が リ セ ッ ト を完了 し て使用可
能にな る と 、 High にな り ます。 シーケ ン シ ャ ル モー ド では、
gtrxreset が High の 場 合 に Low 駆 動 し ま す。 ま た、
rxuserrdy が High に遷移する ま で High 駆動 し ません。 シ ン
グル モー ド では、 RX リ セ ッ ト がア サー ト さ れた場合に Low
駆動 し ます。 こ の信号は、 すべての RX リ セ ッ ト がデ ィ アサー
ト さ れ、 rxuserrdy がアサー ト さ れ る ま でアサー ト さ れませ
ん。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
出力
RX バ ッ フ ァ ーの ス テー タ ス です。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
入力
1'b1 に設定する と 、 高周波ブース ト が RXLPM_HF_CFG 属性
に よ っ て制御 さ れます。
1'b0 に設定する と 、 高周波ブース ト が rxlpmhfhold 信号に
よ っ て制御 さ れます。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能で、 7 シ リ ーズ デバ イ ス の GTP ト ラ ン シーバーにのみ適
用で き ます。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ
イ ド を参照 し て く だ さ い。
japan.xilinx.com
26
第 2 章 : 製品仕様
表 2-14 : ト ラ ン シーバーのポー ト (続き)
名前
gt<lane>_rxlpmreset_in
gt<lane>_rxprbserr_out
gt<lane>_rxprbssel_in
gt<lane>_rxpcsreset_in
gt<lane>_rxpmareset_in
gt<lane>_rxpmaresetdone_out
gt<lane>_dmonitorout_out
gt<lane>_rxbufreset_in
方向
説明
入力
LPM 回路を リ セ ッ ト し ます。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能で、 7 シ リ ーズ デバ イ ス の GTP ト ラ ン シーバーにのみ適
用で き ます。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ
イ ド を参照 し て く だ さ い。
出力
PRBS エ ラ ーが発生 し た こ と を示すス テー タ ス出力です。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
入力
レ シーバーの PRBS チ ェ ッ カーのテ ス ト パ タ ーン を制御 し ま
す。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
入力
PCS の リ セ ッ ト シー ケ ン ス を 開始す る た め、 こ の ポー ト は
High 駆動 さ れた後デ ィ アサー ト さ れます。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
入力
RX PMA の リ セ ッ ト シーケ ン ス を開始す る ため、 こ のポー ト
は High 駆動 さ れた後デ ィ アサー ト さ れます。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
出力
GTH/GTP の RX PMA リ セ ッ ト が完了す る と High にな り ます。
gtrxreset ま た は rxpmareset が ア サー ト さ れ る と 、 Low
に駆動 し ます。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能で、 7 シ リ ーズ FPGA GTP お よ び GTH ト ラ ン シーバーに
のみ適用で き ま す。 詳細は、 該当す る ト ラ ン シーバーのユー
ザー ガ イ ド を参照 し て く だ さ い。
出力
デジ タ ル モニ タ ー出力バ ス です。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
入力
RX エ ラ ス テ ィ ッ ク バ ッ フ ァ ーの リ セ ッ ト シーケ ン ス を開始
する ため、 こ のポー ト は High 駆動 さ れた後デ ィ アサー ト さ れ
ます。 シ ン グル モー ド ま たはシーケ ン シ ャ ル モー ド のいずれ
か で rxbufreset を High 駆動す る と RX エ ラ ス テ ィ ッ ク
バ ッ フ ァ ーのみが リ セ ッ ト さ れます。
デ ュ プ レ ッ ク ス お よ び RX のみシ ン プ レ ッ ク ス の場合に利用
可能です。 詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ
ド を参照 し て く だ さ い。
注記 :
1. m は、 GTP、 GTX、 ま たは GTH ト ラ ン シーバーの数を表 し てい ます。
2. Vivado IDE で [Additional transceiver control and status ports] チ ェ ッ ク ボ ッ ク ス をオ ンに し た場合、 7 シ リ ーズお よ び Zynq-7000 デ
バ イ ス のデバ ッ グ ポー ト が有効にな り ます。
3. <lane> には、 0 か ら AURORA_LANES ま での値が入 り ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
27
第 2 章 : 製品仕様
表 2-15 : UltraScale アーキテ ク チ ャの ト ラ ン シーバー DRP ポー ト (1)
名前
方向
説明
gt<=: lane :>_drpaddr
入力
DRP ア ド レ ス バ ス です。
gt<=: lane :>_drpen
入力
DRP の イ ネーブル信号です。
gt<=: lane :>_drpdi
入力
FPGA ロ ジ ッ ク か ら ト ラ ン シーバーへ コ ン フ ィ ギ ュ レーシ ョ ン デー タ
を書き 込むためのデー タ バ ス です。
gt<=: lane :>_drpwe
入力
DRP の書 き 込み イ ネーブルです。
gt<=: lane :>_drprdy
出力
DRP 書 き 込み処理が完了 し 、 読み出 し デー タ が有効であ る こ と を示 し
ます。
gt<=: lane :>_drpdo
出力
ト ラ ン シーバーか ら FPGA ロ ジ ッ ク へ コ ン フ ィ ギ ュ レーシ ョ ン デー タ
を読み出すためのデー タ バ ス です。
gt_cplllock
出力
こ の PLL 周波数 ロ ッ ク 信号が High の場合、PLL 周波数があ ら か じ め判
断 し た耐性範囲内であ る こ と を示 し ます。 こ の条件が満た さ れ る ま で、
ト ラ ン シーバーお よ びその ク ロ ッ ク 出力は信頼で き ません。
gt_rxdfelpmreset
入力
DFE の リ セ ッ ト シーケ ン ス を開始す る ために、こ のポー ト は High 駆動
さ れた後デ ィ アサー ト さ れます。デュ プ レ ッ ク スお よ び RX のみシ ンプ
レ ッ ク ス の場合に利用可能です。
gt_rxlpmen
入力
RX デー タ パ ス
0 : DFE
1 : LPM
デ ュ プ レ ッ ク スお よ び RX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_rxcdrovrden
入力
予約済みです。
デ ュ プ レ ッ ク スお よ び RX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_rxcdrhold
入力
CDR 制御ループ を停止状態に保持 し ます。
デ ュ プ レ ッ ク スお よ び RX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_eyescanreset
入力
EYESCAN の リ セ ッ ト プ ロ セ ス を開始す る ため、 こ のポー ト は High 駆
動 さ れた後デ ィ アサー ト さ れます。
デ ュ プ レ ッ ク スお よ び RX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_eyescandataerror
出力
COUNT ま た は ARMED ス テ ー ト の と き に (マ ス ク さ れ て い な い) エ
ラ ーが発生する と 、 REC_CLK の 1 サ イ ク ル間 High にな り ます。
デ ュ プ レ ッ ク スお よ び RX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_eyescantrigger
入力
ト リ ガー イ ベン ト を発生 さ せます。
デ ュ プ レ ッ ク スお よ び RX のみシ ンプ レ ッ ク ス の場合に利用可能です。
入力
GTH ト ラ ン シーバー RX で有効な PLL 分周器の値を自動的に変更する
ダ イ ナ ミ ッ ク ピ ンです。
こ れ ら のポー ト は、 PCI® Express やその他の規格で使用 さ れます。
デ ュ プ レ ッ ク スお よ び RX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_rxcommadet
出力
カ ン マ ア ラ イ メ ン ト ブ ロ ッ ク でカ ン マが検出 さ れ る と 、 アサー ト さ れ
ます。 こ の信号は、 FPGA RX イ ン タ ーフ ェ イ ス でカ ン マが使用可能 と
な る 数サ イ ク ル前にアサー ト さ れます。
0 : カ ン マは未検出
1 : カ ン マ を検出
デ ュ プ レ ッ ク スお よ び RX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_rxdisperr
出力
RXCTRL1 ポー ト にマ ッ プ さ れます。
デ ュ プ レ ッ ク スお よ び RX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_rxrate
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
28
第 2 章 : 製品仕様
表 2-15 : UltraScale アーキテ ク チ ャの ト ラ ン シーバー DRP ポー ト (1) (続き)
名前
方向
説明
gt_rxnotintable
出力
RXCTRL3 ポー ト にマ ッ プ さ れます。
デ ュ プ レ ッ ク スお よ び RX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_rxprbserr
出力
PRBS エ ラ ーが発生 し た こ と を示すス テー タ ス出力です。
デ ュ プ レ ッ ク スお よ び RX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_rxprbssel
入力
レ シーバーの PRBS チ ェ ッ カーのテ ス ト パ タ ーン を制御 し ます。 次の
設定のみが有効です。
3’b000 : 標準動作モー ド (PRBS チ ェ ッ カーはオ フ)
3’b001 : PRBS-7
3’b010 : PRBS-9
3'b011 : PRBS- 15
3'b100 : PRBS-23
3’b101 : PRBS-31
パ タ ーンの変更後、RX パ タ ーン チ ェ ッ カーが取得 し た リ ン ク を再度確
立で き る よ う に、 RX の リ セ ッ ト (GTRXRESET、 RXPMARESET、 ま た
は RXPCSRESET) も し く は PRBS エ ラ ー カ ウ ン タ ー の リ セ ッ ト
(RXPRBSCNTRESET) を実行 し ます。
PRBS 以外のパ タ ーンに対 し てチ ェ ッ ク は実行 さ れません。
デ ュ プ レ ッ ク スお よ び RX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_rxprbscntreset
入力
PRBS エ ラ ー カ ウ ン タ ーを リ セ ッ ト し ます。
デ ュ プ レ ッ ク スお よ び RX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_rxpcsreset
入力
RX PCS の リ セ ッ ト プ ロ セ ス を開始す る ために、 こ のポー ト は High 駆
動 さ れてその後デ ィ アサー ト さ れます。
デ ュ プ レ ッ ク スお よ び RX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_rxpmareset
入力
RX PMA の リ セ ッ ト プ ロ セ ス を開始する ために、 こ のポー ト は High 駆
動 さ れてその後デ ィ アサー ト さ れます。
デ ュ プ レ ッ ク スお よ び RX のみシ ンプ レ ッ ク ス の場合に利用可能です。
入力
RX エ ラ ス テ ィ ッ ク バ ッ フ ァ ーの リ セ ッ ト プ ロ セ ス を開始する ために、
こ のポー ト は High 駆動 さ れた後デ ィ アサー ト さ れます。
シ ン グル モー ド ま たはシーケ ン シ ャ ル モー ド のいずれかで こ のポー ト
を High 駆動する と RX エ ラ ス テ ィ ッ ク バ ッ フ ァ ーのみが リ セ ッ ト さ れ
ます。
デ ュ プ レ ッ ク スお よ び RX のみシ ンプ レ ッ ク ス の場合に利用可能です。
出力
アサー ト さ れ る と 、 GTH ト ラ ン シーバー RX が リ セ ッ ト を完了 し て使
用可能にな っ た こ と を示す、 ア ク テ ィ ブ High にな り ます。
シーケ ン シ ャ ル モー ド では、GTRXRESET が High の場合に Low 駆動 し
ます。 ま た、 RXUSERRDY が High に遷移す る ま で High 駆動 し ません。
シ ン グル モー ド では、 RX リ セ ッ ト がアサー ト さ れた場合に Low 駆動
し ま す。 こ の 信号 は、 すべ て の RX リ セ ッ ト が デ ィ ア サ ー ト さ れ、
RXUSERRDY がアサー ト さ れ る ま でアサー ト さ れません。
デ ュ プ レ ッ ク スお よ び RX のみシ ンプ レ ッ ク ス の場合に利用可能です。
出力
RX PMA リ セ ッ ト が完了す る と 、 ア ク テ ィ ブ High にな り ます。
GTRXRESET ま たは RXPMARESET がアサー ト さ れ る と 、 Low に駆動 し
ます。
デ ュ プ レ ッ ク スお よ び RX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_rxbufreset
gt_rxresetdone
gt_rxpmaresetdone
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
29
第 2 章 : 製品仕様
表 2-15 : UltraScale アーキテ ク チ ャの ト ラ ン シーバー DRP ポー ト (1) (続き)
名前
方向
説明
gt_rxbufstatus
出力
RX バ ッ フ ァ ーの ス テー タ ス です。
000b : 通常条件
001b : バ ッ フ ァ ーのバ イ ト 数が CLK_COR_MIN_LAT 未満
010b : バ ッ フ ァ ーのバ イ ト 数が CLK_COR_MAX_LAT よ り 多い
101b : RX エ ラ ス テ ィ ッ ク バ ッ フ ァ ーがア ン ダーフ ロ ー
110b : RX エ ラ ス テ ィ ッ ク バ ッ フ ァ ーがオーバーフ ロ ー
デ ュ プ レ ッ ク スお よ び RX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_dmonitorout
出力
デジ タ ル モニ タ ー出力バス です。
デ ュ プ レ ッ ク スお よ び RX のみシ ンプ レ ッ ク ス の場合に利用可能です。
出力
GTH ト ラ ン シーバー TX が リ セ ッ ト を完了 し て使用可能にな る と ア ク
テ ィ ブ High にな り ます。 GTTXRESET が High 駆動す る と 、 こ のポー ト
は Low に遷移 し 、 GTH ト ラ ン シーバー TX で TXUSERRDY 信号の High
駆動が検出 さ れ る ま で High にな り ません。
デ ュ プ レ ッ ク スお よ び TX のみシ ンプ レ ッ ク ス の場合に利用可能です。
出力
TXBUFSTATUS は TX バ ッ フ ァ ー ま たは TX 非同期ギ ア ボ ッ ク ス の ス
テー タ ス を提供 し ま す。 TX 非同期ギ ア ボ ッ ク ス 使用時のポー ト の ス
テー タ ス は次の と お り です。
ビッ ト 1:
• 0 : TX 非同期ギ アボ ッ ク ス の FIFO オーバーフ ロ ーな し
• 1 : TX 非同期ギ アボ ッ ク ス の FIFO オーバーフ ロ ーが発生
ビッ ト 0:
• 0 : TX 非同期ギ アボ ッ ク ス の FIFO ア ン ダーフ ロ ーな し
• 1 : TX 非同期ギ アボ ッ ク ス の FIFO ア ン ダーフ ロ ーが発生
ポー ト は High にな る と 、 TX 非同期ギ ア ボ ッ ク ス が リ セ ッ ト さ れ る ま
で High が保持 さ れます。
デ ュ プ レ ッ ク スお よ び TX のみシ ンプ レ ッ ク ス の場合に利用可能です。
入力
High に駆動 さ れ る と 、PRBS ト ラ ン ス ミ ッ タ ーでエ ラ ー挿入が有効にな
り ます。
ア サ ー ト 中 は、 出力デー タ
パ タ ー ン に エ ラ ー が 挿入 さ れ ま す。
TXPRBSSEL が 4'b0000 に設定 さ れてい る 場合は、 TXDATA への影響
はあ り ません。
デ ュ プ レ ッ ク スお よ び TX のみシ ンプ レ ッ ク ス の場合に利用可能です。
入力
ト ラ ン ス ミ ッ タ ー PRBS ジ ェ ネ レー タ ーのテ ス ト パ タ ーン を制御 し ま
す。
4'b0000 : 標準動作モー ド (テ ス ト パ タ ーン生成はオ フ)
4'b0001 : PRBS-7
4'b0010 : PRBS-9
4'b0011 : PRBS-15
4'b0100 : PRBS-23
4'b0101 : PRBS-31
4'b1000 : PCI Express 準拠パ タ ーン。 内部デー タ 幅が 20 ビ ッ ト お よ び
40 ビ ッ ト のモー ド の と き にのみ有効。
4'b1001 : 2UI の方形波 (0 と 1 を交互に配列)
4'b1010 : 16UI、 20UI、 32UI、 ま たは 40UI の方形波 (内部デー タ 幅に
基づ く )
デ ュ プ レ ッ ク スお よ び TX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_txresetdone
gt_txbufstatus
gt_txprbsforceerr
gt_txprbssel
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
30
第 2 章 : 製品仕様
表 2-15 : UltraScale アーキテ ク チ ャの ト ラ ン シーバー DRP ポー ト (1) (続き)
名前
方向
説明
入力
TX PCS の リ セ ッ ト に使用 し ます。
PCS の リ セ ッ ト シーケ ン ス を開始する ため、 こ のポー ト は High 駆動 さ
れた後デ ィ アサー ト さ れます。 シーケ ン シ ャ ル モー ド の場合、
こ のポー ト を High 駆動す る と TX PCS のみ リ セ ッ ト さ れます。
デ ュ プ レ ッ ク スお よ び TX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_txpmareset
入力
TX PMA の リ セ ッ ト に使用 し ます。
TX PMA の リ セ ッ ト プ ロ セ ス を開始す る ため、 こ のポー ト は High 駆動
さ れた後デ ィ アサー ト さ れます。
シーケ ン シ ャ ル モー ド の場合、 こ のポー ト を High 駆動す る と TX PMA
と TX PCS の両方が リ セ ッ ト さ れます。
デ ュ プ レ ッ ク スお よ び TX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_txpostcursor
入力
ト ラ ン ス ミ ッ タ ーのポ ス ト カー ソ ル TX プ リ エ ン フ ァ シ ス を指定 し ま
す。 デフ ォ ル ト 値はユーザーが指定 し ます。
デ ュ プ レ ッ ク スお よ び TX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_txprecursor
入力
ト ラ ン ス ミ ッ タ ー プ リ カー ソ ルの TX プ リ エン フ ァ シ ス レベルを制御
し ます。 デフ ォ ル ト 値はユーザーが指定 し ます。
デ ュ プ レ ッ ク スお よ び TX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_txdiffctrl
入力
ド ラ イ バーの強度を制御し ます。デフ ォル ト 値はユーザーが指定し ます。
デ ュ プ レ ッ ク スお よ び TX のみシ ンプ レ ッ ク ス の場合に利用可能です。
入力
出力デー タ の極性を反転する ために TXPOLARITY を使用 し ます。
0 : 反転 し ない。 TXP は正、 TXN は負。
1 : 反転する 。 TXP は負、 TXN は正。
デ ュ プ レ ッ ク スお よ び TX のみシ ンプ レ ッ ク ス の場合に利用可能です。
gt_txpcsreset
gt_txpolarity
1. こ れ ら のデバ ッ グ ポー ト の詳細は、 『UltraScale FPGA GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG576) [参照 1] を参照 し て く だ
さ い。
ク ロ ッ ク イン ターフ ェ イス
こ の イ ン タ ーフ ェ イ ス は、正 し い Aurora 8B/10B コ ア動作を得る のに最 も 重要です。 ク ロ ッ ク イ ン タ ーフ ェ イ ス には、
GTP/GTX/GTH ト ラ ン シーバーを駆動す る 基準 ク ロ ッ ク 用のポー ト と 、 Aurora 8B/10B コ ア がア プ リ ケーシ ョ ン ロ
ジ ッ ク と 共有す る パ ラ レル ク ロ ッ ク 用のポー ト があ り ます。
表 2-16 では、 Aurora 8B/10B コ アの ク ロ ッ ク ポー ト について説明 し てい ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
31
第 2 章 : 製品仕様
表 2-16 : Aurora 8B/10B コ アのク ロ ッ ク ポー ト
ク ロ ッ ク ポー ト
pll_not_locked
user_clk
sync_clk
gt_refclk
方向
説明
入力
Aurora 8B/10B コ ア 用の ク ロ ッ ク 信号の生成に PLL を 使用す る 場
合、 pll_not_locked 信号を PLL の反転 さ れた ロ ッ ク 信号に接続
す る 必要が あ り ま す。 Aurora 8B/10B コ ア で提供 さ れてい る ク ロ ッ
ク モジ ュ ールは、 ク ロ ッ ク の分周に PLL を使用 し ます。 ク ロ ッ ク
モジ ュ ールか ら の pll_not_locked 信号は、Aurora 8B/10B コ アの
pll_not_locked 信号に接続す る 必要があ り ます。 Aurora 8B/10B
コ ア 用 の ク ロ ッ ク 信号 の 生成 に PLL を 使用 し な い 場合 は、
pll_not_locked を グ ラ ン ド に接続 し て く だ さ い。
入力
Aurora 8B/10B コ ア と ユーザー アプ リ ケーシ ョ ンで共有 さ れ る パ ラ
レ ル ク ロ ッ ク で す。 Aurora 8B/10B コ ア で は、 user_clk と
sync_clk は PLL ま た は BUFG の出力 と な り 、 こ れ ら の入力は
tx_out_clk
か ら 派生 し ま す。 こ れ ら の ク ロ ッ ク 生成 は、
<component name>_clock_module フ ァ イ ル で 対 応 し ま す。
user_clk は txusrclk2 と し て ト ラ ン シーバーへ入力 さ れます。詳細は、
該当する ト ラ ン シーバーのユーザー ガ イ ド を参照 し て く だ さ い。
入力
Aurora 8B/10B コ アの GTP/GTX/GTH ト ラ ン シーバーの内部同期 ロ
ジ ッ ク で使用 さ れ る パ ラ レ ル ク ロ ッ ク です。 txusrclk は sync_clk
と し て ト ラ ン シーバーへ入力 さ れ ま す。 詳細は、 該当す る ト ラ ン
シーバーのユーザー ガ イ ド を参照 し て く だ さ い。
入力
gt_refclk (clkp/clkn) ポー ト は、 オシ レー タ ーで生成 さ れた
専用外部 ク ロ ッ ク です。 こ の ク ロ ッ ク は、 IBUFDS を介 し て供給 さ
れます。オシ レー タ ー数を削減する ため、GTP/GTX/GTH ト ラ ン シー
バー アーキ テ ク チ ャ には、 clkp/clkn を使用す る 上下の ク ロ ッ ク
配線マ ト リ ク ス があ り ます。
ク ロ ッ ク 補正イ ン タ ー フ ェ イ ス
こ の イ ン タ ーフ ェ イ ス は、デー タ を送信す る モジ ュ ールに含まれていて、ク ロ ッ ク 補正の管理に使用 さ れます。do_cc
ポー ト が High に駆動 さ れ る たびに、 コ アはデー タ の フ ロ ーお よ びフ ロ ー制御 メ ッ セージ を停止 し 、 その後に ク ロ ッ
ク 補正シーケ ン ス を送信 し ます。 UFC や NFC があ る モジ ュ ールでは、 warn_cc ポー ト に よ っ て UFC メ ッ セージ と
ク ロ ッ ク 補正 (CC) シーケ ン ス の衝突を防ぐ こ と がで き ます。 各 Aurora 8B/10B コ アには、 『Aurora 8B/10B Protocol 仕
様 v2.2』 (SP002) [参照 4] に従っ て、 ク ロ ッ ク 補正 イ ン タ ーフ ェ イ ス の駆動に使用 さ れ る ク ロ ッ ク 補正管理モジ ュ ール
があ り ます。 チ ャ ネルの両側で同 じ 物理 ク ロ ッ ク を使用する 場合、 warn_cc と do_cc を Low に接続 し 、 ク ロ ッ ク 補
正機能を イ ンプ リ メ ン ト す る 必要はあ り ません。 ク ロ ッ ク 補正 イ ン タ ーフ ェ イ ス を無効にする 場合の詳細は、 第 4 章
の 「ホ ッ ト プ ラ グ ロ ジ ッ ク 」 を参照 し て く だ さ い。
表 2-17 では、 ク ロ ッ ク 補正 イ ン タ ーフ ェ イ ス ポー ト の機能について説明 し てい ます。
表 2-17 : ク ロ ッ ク補正 I/O ポー ト
名前
方向
説明
do_cc
入力
こ の信号がアサー ト さ れてい る 場合、Aurora 8B/10B コ アはすべての ク ロ ッ ク サ
イ ク ルですべ て の レ ー ン に CC シ ー ケ ン ス を 送信 し ま す。 CC モ ジ ュ ールの
do_cc 出力に接続 し ます、
warn_cc
入力
こ の信号がアサー ト さ れてい る 場合、 Aurora 8B/10B コ アは UFC リ ク エ ス ト を
肯定応答 し ません。 こ れは、 UFC メ ッ セージが CC イ ベン ト に接近 し て開始す
る こ と を防ぎ ます。 CC モジ ュ ールの warn_cc 出力に接続 し ます、
詳細は、 43 ページの 「 ク ロ ッ ク 補正 イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
32
第 3章
コ ア を使用するデザイ ン
こ の章では、 コ ア を使用 し て よ り 簡単に設計す る ためのガ イ ド ラ イ ンお よ び追加情報を紹介 し ます。
一般的なデザイ ン ガ イ ド ラ イ ン
こ のセ ク シ ョ ンでは、 ユーザー アプ リ ケーシ ョ ン ロ ジ ッ ク を使用 し て完全に機能する デザ イ ン と し て Aurora 8B/10B
コ ア を設計す る 手順を説明 し ます。 こ こ に記載す る すべての設計手順がすべての イ ンプ リ メ ン テーシ ョ ン で必要 と は
限 り ません。 こ のマニ ュ アルの ロ ジ ッ ク デザ イ ン ガ イ ド ラ イ ンに注意深 く 従っ て く だ さ い。
ス タ ーテ ィ ング ポ イ ン ト と し てサン プル デザイ ン を使用
作成す る Aurora 8B/10B コ アの各 イ ン ス タ ン スは、 シ ミ ュ レーシ ョ ンや FPGA に実装可能なサンプル デザ イ ン を使用
し て構築 し ます。 サンプル デザ イ ンは、 独自デザ イ ン を構築する ための ス タ ーテ ィ ン グ ポ イ ン ト と し て使用 し た り 、
必要に応 じ てユーザー アプ リ ケーシ ョ ンの問題を解決する ために使用で き ます。
難易度を把握
Aurora 8B/10B コ ア デザ イ ンは、 ど のテ ク ノ ロ ジに イ ンプ リ メ ン ト する 場合で も 困難であ り 、 その難易度は次の要素
に よ っ て異な り ます。
•
最大シ ス テ ム ク ロ ッ ク 周波数
•
タ ーゲ ッ ト デバ イ ス アーキ テ ク チ ャ
•
ユーザー アプ リ ケーシ ョ ンの性質
すべての Aurora 8B/10B コ アの イ ンプ リ メ ン テーシ ョ ンでは、 シ ス テ ム性能の要件に注意を払 う 必要があ り ます。 パ
イ プ ラ イ ン処理、 ロ ジ ッ ク マ ッ プ、 配置制約、 お よ び ロ ジ ッ ク 複製は、 シ ス テ ム性能を向上 さ せる 最適な手段です。
レ ジ ス タ の使用
FPGA デザ イ ンの タ イ ミ ン グ を シ ンプルに し た り 、 シ ス テ ム性能を向上 さ せ る には、 ユーザー アプ リ ケーシ ョ ン と コ
ア間のすべての入力 と 出力に レ ジ ス タ を使用 し て く だ さ い。 つま り 、 ユーザー アプ リ ケーシ ョ ンか ら のすべての入力
と 出力は フ リ ッ プ フ ロ ッ プ を介す こ と にな り ま す。 信号の レ ジ ス タ への格納はすべてのパ ス で可能 と は限 り ま せん
が、 そ う す る こ と に よ っ て タ イ ミ ン グ解析が容易にな り 、 ま たザ イ リ ン ク ス ツールでのデザ イ ンの配置配線 も 容易に
な り ます。
タ イ ミ ング ク リ テ ィ カルな信号を認識
コ アのサンプル デザ イ ンに付属す る XDC フ ァ イ ルは、 ク リ テ ィ カルな信号を識別 し て適用すべ き タ イ ミ ン グ制約を
特定す る のに役立ち ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
33
第 3 章 : コ ア を使用するデザイ ン
サポー ト さ れているデザイ ン フ ローを使用
コ アは ソ ー ス コ ー ド と し て提供 さ れ、 Vivado® 合成ツールを サポー ト し てい ま す。 ス ク リ プ ト は提供 さ れてい ま せ
ん。 その他の合成ツールを使用す る こ と も 可能です。
許可 さ れた変更のみ実行
Aurora 8B/10B コ アはユーザーが変更を加え る こ と がで き ません。 変更を加え る と シ ス テ ム の タ イ ミ ン グやプ ロ ト コ
ル適合性に悪影響 を 与 え る 可能性が あ り ま す。 Vivado 統合設計環境 (IDE) の オ プ シ ョ ン 選択 を 使用 し て、 Aurora
8B/10B コ アのサポー ト さ れたユーザー コ ン フ ィ ギ ュ レーシ ョ ンのみ利用で き ます。
共有ロ ジ ッ ク
コ アのバージ ョ ン 9.1 ま では、 RTL 階層が固定 さ れてい ま し た。 こ のため、 共有可能な ク ロ ッ キ ン グや リ セ ッ ト ロ
ジ ッ ク は コ アのサン プル デザ イ ンか ら 抽出 し てか ら コ アの単一/複数 イ ン ス タ ン ス で使用す る 必要があ り 、 難点があ
り ま し た。
共有 ロ ジ ッ ク は、 よ り 柔軟な アーキ テ ク チ ャ を提供する 新 し い機能であ り 、 ス タ ン ド ア ロ ン コ ア と し て、 ま たは 1 つ
以上の イ ン ス タ ン ス を含む よ り 大規模なデザ イ ンの一部 と し て使用 さ れ ます。 こ の機能は、 必要な HDL の変更を最
小限に抑え る と 同時に、 多 く の使用ケース に対応で き る 柔軟性を備え てい ます。
新 し い階層レベルは、 <component_name>_support と 呼ばれてい ます。 図 3-1 お よ び図 3-2 に、 共有 ロ ジ ッ ク ブ ロ ッ ク
が コ ア ま たはサンプル デザ イ ンに含まれ る 2 つの階層を示 し ます。 図中の <component_name> には生成 さ れた コ アの
名前が入 り ます。 こ の 2 つの階層の違いは、 コ アの境界線です。 こ れは、 Vivado IDE の [Shared Logic] を使用 し て指
定 し ます (図 5-3 参照)。
X-Ref Target - Figure 3-1
FRPSRQHQWBQDPH!BH[GHV
FRPSRQHQWBQDPH!VXSSRUW
FRPSRQHQWBQDPH!
6KDUHG/RJLF
FRPSRQHQWBQDPH!BFRUH
;
図 3-1 : コ アに含まれた共有ロ ジ ッ ク
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
34
第 3 章 : コ ア を使用するデザイ ン
注記 : 図 3-1 と 図 3-2 のグ レー表示 さ れたブ ロ ッ ク は IP コ ア を示 し てい ます。
X-Ref Target - Figure 3-2
FRPSRQHQWBQDPH!BH[GHV
FRPSRQHQWBQDPH!BVXSSRUW
FRPSRQHQWBQDPH!
6KDUHG/RJLF
FRPSRQHQWBQDPH!BFRUH
;
図 3-2 : サン プル デザイ ンに含まれた共有ロ ジ ッ ク
共有 ロ ジ ッ ク の コ ン テ ン ツは、 物理 イ ン タ ーフ ェ イ ス と タ ーゲ ッ ト デバ イ ス に よ っ て異な り ます。 共有 ロ ジ ッ ク に
は、 GT
差動バ ッ フ ァ ー
インスタンス
(IBUFDS_GTE2)、 サ ポ ー ト
リセッ ト
ロ ジ ッ ク、 お よ び
<=:USER_COMPONENT_NAME:>_CLOCK_MODULE の イ ン ス タ ン シエーシ ョ ンが含まれます。 こ れ ら のブ ロ ッ ク の
ほかに、 ト ラ ン シーバーの COMMON ブ ロ ッ ク イ ン ス タ ン ス も 含ま れます。 ト ラ ン シーバーの COMMON ブ ロ ッ ク
は、 選択 し た ト ラ ン シーバーの種類に基づいて イ ン ス タ ン シエー ト さ れ、 7 シ リ ーズ FPGA の GTP、 GTX、 お よ び
GTH ト ラ ン シーバーの場合は、 GTPE2_COMMON、 GTXE2_COMMON、 お よ び GTHE2_COMMON がそれぞれ イ ン
ス タ ン シエー ト さ れます。 サポー ト リ セ ッ ト ロ ジ ッ ク には、reset お よ び gt_reset ポー ト 用のデバ ウ ン ス ロ ジ ッ
ク が含まれます。
注記 : Aurora 8B/10B コ アは CPLL を使用 し 、 QPLL (つま り GTXE2_COMMON/GTHE2_COMMON) は使用 し ません。
QPLL は、 Zynq®-7000 お よ び 7 シ リ ーズ デバ イ ス で用い ら れ る ため、 その他のザ イ リ ン ク ス シ リ アル コ ネ ク テ ィ ビ
テ ィ コ ア と の一様性を保つために共有 ロ ジ ッ ク に イ ン ス タ ン シエー ト さ れます。
次の表は、 共有 さ れてい る リ ソ ース を フ ァ ミ リ 別に示 し てい ます。
表 3-1 : 各フ ァ ミ リ で共有 さ れている リ ソ ース
Aurora IP コ アで使用 さ れる
ト ラ ン シーバーの種類
リ ソ ース
2 バ イ ト モー ド の
Zynq-7000 お よ び 7 シ リ ー
ズ デバ イ ス の GTP ト ラ ン
シーバー
ト ラ ン シーバーの基準 ク ロ ッ ク 用の IBUFDS_GTE2、
ト ラ ン シーバーの ク ロ ッ キ ン グ用の GTPE2_COMMON、
ク ロ ッ キ ン グ用の BUFG、
init_clk 用の IBUFDS
4 バ イ ト モー ド の
Zynq-7000 お よ び 7 シ リ ー
ズ デバ イ ス の GTP ト ラ ン
シーバー
ト ラ ン シーバーの基準 ク ロ ッ ク 用の IBUFDS_GTE2、
ト ラ ン シーバーの ク ロ ッ キ ン グ用の GTPE2_COMMON、
ク ロ ッ キ ン グ用の MMCM と 2 つの BUFG、
init_clk 用の IBUFDS
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
備考
35
第 3 章 : コ ア を使用するデザイ ン
表 3-1 : 各フ ァ ミ リ で共有 さ れている リ ソ ース (続き)
Aurora IP コ アで使用 さ れる
ト ラ ン シーバーの種類
リ ソ ース
備考
Zynq-7000 お よ び 7 シ リ ー
ズ デバ イ ス の GTX ト ラ ン
シーバー
ト ラ ン シーバーの基準 ク ロ ッ ク 用の IBUFDS_GTE2、
GTX ト ラ ン シーバーの ク ロ ッ キ ン グ用の E2_COMMON、
ク ロ ッ キ ン グ用の BUFG、
init_clk 用の IBUFDS
GTXE2_COMMON
は使用 さ れません。
Zynq-7000 お よ び 7 シ リ ー
ズ デバ イ ス の GTH ト ラ ン
シーバー
ト ラ ン シーバーの基準 ク ロ ッ ク 用の IBUFDS_GTE2、
GTH ト ラ ン シーバーの ク ロ ッ キ ン グ用の E2_COMMON、
ク ロ ッ キ ン グ用の BUFG、
init_clk 用の IBUFDS
GTHE2_COMMON
は使用 さ れません。
UltraScale の GTH ト ラ ン
シーバー
ト ラ ン シーバーの基準 ク ロ ッ ク 用の IBUFDS_GTE3、
ク ロ ッ キ ン グ用の BUFG_GT
次の表では、 [Shared Logic] に よ る ポー ト 変更の詳細を説明 し てい ます。
表 3-2 : [Shared Logic] によ るポー ト 変更
名前
方向
説明
備考
gt_refclk1_p
gt_refclk1_n
入力
ト ラ ン シーバーの基準 ク ロ ッ ク 1
共有 ロ ジ ッ ク がサンプル デザ イ ン内
に含まれ る 場合に有効にな り ます。
gt_refclk2_p
gt_refclk2_n
入力
ト ラ ン シーバーの基準 ク ロ ッ ク 2
共有 ロ ジ ッ ク がサンプル デザ イ ン内
に含まれ る 場合に有効にな り ます。
gt_refclk1_out
出力
ト ラ ン シーバーの基準 ク ロ ッ ク 1 用 [Include Shared Logic in Core] が オ ン
の IBUFDS_GTE2 の出力
の場合に有効にな り ます。
gt_refclk2_out
出力
ト ラ ン シーバーの基準 ク ロ ッ ク 2 用 [Include Shared Logic in Core] が オ ン
の IBUFDS_GTE2 の出力
の場合に有効にな り ます。
user_clk_out
出力
Aurora 8B1/0B コ アで共有 さ れ る パ ラ
レル ク ロ ッ ク
[Include Shared Logic in Core] が オ ン
の場合に有効にな り ます。
sync_clk_out
出力
Artix®-7 デ バ イ ス GTP ト ラ ン シ ー
バー デザ イ ン用の txusrclk
[Include Shared Logic in Core] が オ ン
の場合に有効にな り ます。
sys_reset_out
出力
reset ポー ト 用デバ ウ ン ス ロ ジ ッ ク
の出力
[Include Shared Logic in Core] が オ ン
の場合に有効にな り ます。
gt_reset_out
出力
gt_reset ポ ー ト 用デ バ ウ ン ス ロ
ジ ッ ク の出力
[Include Shared Logic in Core] が オ ン
の場合に有効にな り ます。
init_clk_p
init_clk_n
入力
フ リ ー ラ ン ニ ン グのシ ス テ ム/ボー ド
クロック
[Include Shared Logic in Core] が オ ン
の場合に有効にな り ます。
init_clk_out
出力
シ ス テ ム ク ロ ッ ク の差動バ ッ フ ァ ー [Include Shared Logic in Core] が オ ン
の出力
の場合に有効にな り ます。
出力
GTPE2_COMMON の refclklost
ポー ト を示す
[Include Shared Logic in Core] が オ ン
の 場 合 に 有 効 に な り ま す。 Artix-7
FPGA GTP ト ラ ン シーバー デザ イ ン
に適用 さ れます。
出力
GTPE2_COMMON の PLL が ロ ッ ク を
達成 し た こ と を示す
[Include Shared Logic in Core] が オ ン
の 場 合 に 有 効 に な り ま す。 Artix-7
FPGA GTP ト ラ ン シーバー デザ イ ン
に適用 さ れます。
gt0_pll0refclklost_out
gt1_pll0refclklost_out
quad1_common_lock_out
quad2_common_lock_out
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
36
第 3 章 : コ ア を使用するデザイ ン
表 3-2 : [Shared Logic] によ るポー ト 変更 (続き)
名前
gt0_pll0outclk_out
gt0_pll1outclk_out
gt0_pll0outrefclk_out
gt0_pll1outrefclk_out
gt1_pll0outclk_out
gt1_pll1outclk_out
gt1_pll0outrefclk_out
gt1_pll1outrefclk_out
gt<quad>_qplllock_out
gt<quad>_qpllrefclklost_out
gt_qpllclk_quad<quad>_out
gt_qpllclk_quad<quad>_out
方向
説明
備考
出力
GTPE2_COMMON で 生 成 さ れ る ク
ロ ッ ク 出力
[Include Shared Logic in Core] が オ ン
の 場 合 に 有 効 に な り ま す。 Artix-7
FPGA GTP ト ラ ン シーバー デザ イ ン
に適用 さ れます。
出力
出力
出力
GTXE2_COMMON/GTHE2_COMMO
N の PLL が ロ ッ ク を達成 し た こ と を
示す
GTXE2_COMMON/GTHE2_
COMMON の refclklost ポー ト を
示す
[Include Shared Logic in Core] が オ ン
の場合に有効にな り ます。7 シ リ ーズ
FPGA GTX/GTH ト ラ ン シ ーバー デ
ザ イ ン に 適 用 さ れ ま す。 こ れ ら の
ポー ト は、 Vivado Design Suite で コ ア
コ ン フ ィ ギ ュ レーシ ョ ン中に Vivado
IDE で選択 し て ク ワ ッ ド ご と に有効
化 さ れます。
[Include Shared Logic in Core] が オ ン
の場合に有効にな り ます。7 シ リ ーズ
FPGA GTX/GTH ト ラ ン シ ーバー デ
ザ イ ン に 適 用 さ れ ま す。 こ れ ら の
ポー ト は、 Vivado Design Suite で コ ア
コ ン フ ィ ギ ュ レーシ ョ ン中に Vivado
IDE で選択 し て ク ワ ッ ド ご と に有効
化 さ れます。
[Include Shared Logic in Core] が オ ン
の場合に有効にな り ます。7 シ リ ーズ
G T X E 2 _ C O M M O N / G T H E 2 _ FPGA GTX/GTH ト ラ ン シ ーバー デ
COMMON で生成さ れる ク ロ ッ ク 出力 ザ イ ン に 適 用 さ れ ま す。 こ れ ら の
ポー ト は、 Vivado Design Suite で コ ア
コ ン フ ィ ギ ュ レーシ ョ ン中に Vivado
IDE で選択 し て ク ワ ッ ド ご と に有効
化 さ れます。
注記 : <quad> は 1 か ら 12 ま での ト ラ ン シーバーの ク ワ ッ ド を表 し ます。
gt_refclk1_out 信号 と gt_refclk2_out 信号は、 デザ イ ン内のほかの ト ラ ン シーバー と 共有で き 、 コ ネ ク テ ィ
ビ テ ィ お よ び ト ラ ン シーバー ク ワ ッ ド の近接性に関 し ては ト ラ ン シーバーの ク ロ ッ キ ン グ ガ イ ド ラ イ ンに従っ て く
だ さ い。
Artix®-7 デバ イ ス デザ イ ンの GTPE2_COMMON は こ の コ アで使用 さ れ、 同 じ ク ワ ッ ド に属す る 別の コ ア と 共有で き
ます。 init_clk_out ク ロ ッ ク は、 シ ス テ ム内のほかの コ アで使用で き ます。 user_clk_out は、 サンプル デザ イ
ンのモジ ュ ールで使用す る 必要があ り ます (frame_gen、 frame_check、 お よ び standard_cc_module)。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
37
第 3 章 : コ ア を使用するデザイ ン
シ リ アル ト ラ ン シーバーの基準ク ロ ッ ク イ ン タ ー
フ ェ イス
機能の説明
Aurora 8B/10B コ ア を正常に動作 さ せ る には、 最良の ク ロ ッ キ ン グが不可欠です。 コ アは、 GTP/GTX/GTH ト ラ ン シー
バーの高速 TX ク ロ ッ ク お よ び ク ロ ッ ク リ カバ リ 回路を駆動す る ために、高品質で低ジ ッ タ ーの基準 ク ロ ッ ク が必要
です。 ま た、 ユーザー アプ リ ケーシ ョ ン と の同期動作のために、 1 つ以上の周波数 ロ ッ ク さ れたパ ラ レル ク ロ ッ ク が
必要です。
UltraScale™、 7 シ リ ーズ、 お よ び Zynq-7000 デバ イ ス には、 1 つの ク ワ ッ ド に 4 つの GTP/GTX/GTH ト ラ ン シーバー
があ り ます。 UltraScale デバ イ ス の GTH ト ラ ン シーバーは、 各 ト ラ ン シーバーに 1 つの CPLL (Channel PLL) を備え、
各 ク ワ ッ ド に 2 つの QPLL (Quad PLL) を備え てい ます。 Zynq-7000、 Virtex-7、 お よ び Kintex-7 デバ イ ス の GTX/GTH
ト ラ ン シーバーは、各 ト ラ ン シーバーに 1 つのチ ャ ネル CPLL (Channel PLL) を備え、各 ク ワ ッ ド に 1 つの QPLL (Quad
PLL) を備えてい ます。 Artix-7 FPGA の GTP ト ラ ン シーバーは、 各 ク ワ ッ ド に 2 つの PLL (PLL0 お よ び PLL1) を備え
てお り 、 コ アは Artix-7 デバ イ ス の場合 PLL0 を コ ン フ ィ ギ ュ レ ーシ ョ ン し ま す。 UltraScale、 Virtex-7、 Kintex-7、 お
よ び Zynq®-7000 フ ァ ミ リ デザ イ ンの場合、 Aurora 8B/10B コ アは CPLL を コ ン フ ィ ギ ュ レーシ ョ ン し ます。
各 Aurora 8B/10B コ アは、aurora_example と い う デザ イ ン を含む <component name>_example デ ィ レ ク ト リ に生成
さ れます。 こ のサンプル デザ イ ンは生成 さ れた Aurora 8B/10B コ ア を イ ン ス タ ン シエー ト す る こ と で、 コ アで有効な
ク ロ ッ ク コ ン フ ィ ギ ュ レーシ ョ ン を実証 し ます。 Aurora コ ア を初めて使用す る 場合は、 サンプル デザ イ ン を検証 し
て、 ク ロ ッ ク イ ン タ ーフ ェ イ ス を接続する 際のテ ンプ レー ト と し て使用 し て く だ さ い。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
38
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-3
$XURUD%%0RGXOH
&RQWURO
6WDWXV
8VHU,QWHUIDFH
7;'DWD
5;'DWD
8)&7;'DWD
8)&7;5HT
8)&5;'DWD
8VHU)ORZ&RQWURO
8)&,QWHUIDFH
8)&5;6WDWXV&WUO
8)&7;$FN
8)&7;0HVVDJH6L]H
1)&5HT
1DWLYH)ORZ&RQWURO
1)&,QWHUIDFH
1)&$FN
1)&1XPEHURI,GOHV
&RQWURO
6WDWXV
*73*7;*7+
,QWHUIDFH
7;37;1
&ORFN0RGXOH
&ORFNLQJ
&ORFN
&RPSHQVDWLRQ
0RGXOH
:DUQ&&
5;35;1
&ORFN,QWHUIDFH
&ORFNLQJ
&ORFN
&RPSHQVDWLRQ
'R&&
図 3-3 : 最上位のク ロ ッ キン グ
Aurora コ アの ク ロ ッ ク イ ン タ ー フ ェ イ ス ポー ト
ク ロ ッ ク イ ン タ ーフ ェ イ ス におけ る ト ラ ン シーバーのポー ト については、 32 ページの表 2-16 を参照 し て く だ さ い。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
39
第 3 章 : コ ア を使用するデザイ ン
Virtex-7 お よび Kintex-7 FPGA デザイ ン での隣接する GTX/GTH ト ラ ン シーバーから
の ク ロ ッ キン グ
ザ イ リ ン ク ス の イ ンプ リ メ ン テーシ ョ ン ツールは、 上下配線への必要な調整を行い (41 ページの図 3-4)、 ま た必要に
応 じ て GTXE2/GTHE2 ト ラ ン シーバー ク ロ ッ ク 入力への ピ ン を切 り 替えて別の ク ワ ッ ド へ ク ロ ッ ク を配線 し ます。
重要 : 基準 ク ロ ッ ク を共有す る 場合、 こ の よ う な コ ン フ ィ ギ ュ レーシ ョ ン で発生す る ジ ッ タ ーを高速デザ イ ンのジ ッ
タ ー マージ ン要件内に抑え る には、 次の規則に従 う 必要があ り ます。
•
ソ ース と な っ てい る ク ワ ッ ド の上にあ る GTX/GTH ト ラ ン シーバー ク ワ ッ ド の数は最大 1 つま でです。
•
ソ ース と な っ てい る ク ワ ッ ド の下にあ る GTX/GTH ト ラ ン シーバー ク ワ ッ ド の数は最大 1 つま でです。
•
外部 ク ロ ッ ク ピ ン ペア (mgtrefclkn/mgtrefclkp) を ク ロ ッ ク ソ ース と す る GTX/GTH ト ラ ン シーバの ク
ワ ッ ド の合計数は最大 3 つま で (最大 12 GTXE2_CHANNEL/GTHE2_CHANNEL ト ラ ン シーバーま で) です。
1 組の ク ロ ッ ク ピ ン ペア では、 最大 12 の GTX/GTH ト ラ ン シーバーへ ク ロ ッ ク を供給で き ま す。 13 以上の ト ラ ン
シーバーを使用す る デザ イ ンでは、複数の外部 ク ロ ッ ク ピ ン を使用 し てジ ッ タ ー制御の規則を確実に満たす よ う に し
て く だ さ い。 複数の ク ロ ッ ク ピ ン を使用す る 場合、 こ れ ら の ピ ンは外部バ ッ フ ァ ーを使用 し て同 じ オシ レー タ ーか ら
駆動で き ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
40
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-4
4Q
*7;7UDQVFHLYHU
PJWUHIFONW[>@
PJWUHIFONU[>@
*7;7UDQVFHLYHU
PJWUHIFONW[>@
PJWUHIFONU[>@
*7;7UDQVFHLYHU
PJWUHIFONW[>@
PJWUHIFONU[>@
*7;7UDQVFHLYHU
PJWUHIFONW[>@
PJWUHIFONU[>@
4Q
,%8)'6B*7(
PJWUHIFONS
*7;7UDQVFHLYHU
,
PJWUHIFONW[>@
PJWUHIFONU[>@
2
PJWUHIFONQ
*7;7UDQVFHLYHU
PJWUHIFONW[>@
PJWUHIFONU[>@
,%
*7;7UDQVFHLYHU
PJWUHIFONW[>@
PJWUHIFONU[>@
*7;7UDQVFHLYHU
PJWUHIFONW[>@
PJWUHIFONU[>@
4Q
*7;7UDQVFHLYHU
PJWUHIFONW[>@
PJWUHIFONU[>@
*7;7UDQVFHLYHU
PJWUHIFONW[>@
PJWUHIFONU[>@
*7;7UDQVFHLYHU
PJWUHIFONW[>@
PJWUHIFONU[>@
*7;7UDQVFHLYHU
PJWUHIFONW[>@
PJWUHIFONU[>@
;
図 3-4 : Virtex-7 および Kintex-7 FPGA での上下配線への調整
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
41
第 3 章 : コ ア を使用するデザイ ン
UltraScale FPGA デザイ ン での隣接する GTH ト ラ ン シーバーから の ク ロ ッ キング
ザ イ リ ン ク ス の イ ンプ リ メ ン テーシ ョ ン ツールは、 上下配線への必要な調整を行い、 ま た必要に応 じ て GTHE3 ト ラ
ン シーバー ク ロ ッ ク 入力へのピ ン を切 り 替え て別の ク ワ ッ ド へ ク ロ ッ ク を配線 し ます。
1 組の ク ロ ッ ク ピ ン ペアでは、 最大 20 の GTH ト ラ ン シーバーへ ク ロ ッ ク を供給で き ます。
重要 : 基準 ク ロ ッ ク を共有す る 場合、 こ の よ う な コ ン フ ィ ギ ュ レーシ ョ ン で発生す る ジ ッ タ ーを高速デザ イ ンのジ ッ
タ ー マージ ン要件内に抑え る には、 次の規則に従 う 必要があ り ます。
ソ ース と な っ てい る ク ワ ッ ド の上にあ る GTH ト ラ ン シーバー ク ワ ッ ド の数は最大 2 つま でです。
ソ ース と な っ てい る ク ワ ッ ド の下にあ る GTX /GTH ト ラ ン シーバー ク ワ ッ ド の数は最大 2 つま でです。
GTP/GTX/GTH ト ラ ン シーバー デザイ ンの ク ロ ッ ク レー ト
GTP/GTX/GTH ト ラ ン シーバーは、 広範なシ リ アル レー ト をサポー ト し ます。 特定 ラ イ ン レー ト 用に Aurora 8B/10B
コ アの GTP/GTX/GTH ト ラ ン シーバーを コ ン フ ィ ギ ュ レーシ ョ ンす る ために使用す る 属性は、 シ ミ ュ レーシ ョ ン用の
<component name>_gt モジ ュ ール内に保管 さ れてい ます。こ れ ら の属性は、Vivado IDE の コ ン フ ィ ギ ュ レーシ ョ ン ウ ィ
ン ド ウ で コ ア用に選択 さ れた ラ イ ン レー ト と 基準 ク ロ ッ ク に基づいて、 IP カ タ ロ グで自動的に設定 さ れます。
重要 : こ れ ら の属性を手動で変更す る こ と は推奨 し てい ませんが、『UltraScale FPGA GTH ト ラ ン シーバー ユーザー ガ
イ ド 』 (UG576) [参照 1] お よ び 『7 シ リ ーズ FPGA GTX/GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG476) [参照 3]の
「チ ェ ッ ク 内容」 に従っ て変更で き ます。
ク ロ ッ ク 補正
ク ロ ッ ク 補正は、 Aurora 8B/10B チ ャ ネルの両側で使用 さ れ る 基準 ク ロ ッ ク 周波数を ±100ppm の精度で補正す る 機能
です。 こ の機能は、 チ ャ ネルで接続 さ れた各デバ イ ス に独立 し た基準 ク ロ ッ ク ソ ース を使用 し 、 デー タ の送受信に同
じ user_clk を使用す る シ ス テ ム で使用 さ れます。
Aurora 8B/10B コ アの ク ロ ッ ク 補正 イ ン タ ーフ ェ イ ス に よ っ て、 コ アの ク ロ ッ ク 補正機能全体が完全に制御 さ れます。
標準の ク ロ ッ ク 補正モジ ュ ールは コ ア と 共に生成 さ れ、 独立 し た基準 ク ロ ッ ク ソ ース を使用 し て Aurora 8B/10B 準拠
の ク ロ ッ ク 補正機能を シ ス テ ムに提供 し ます。 特殊な ク ロ ッ ク 補正要件があ る 場合は、 カ ス タ ム ロ ジ ッ ク を使用 し て
イ ン タ ーフ ェ イ ス を駆動で き ます。 チ ャ ネルの両側に対 し て同 じ 基準 ク ロ ッ ク ソ ース が使用 さ れ る 場合は、 イ ン タ ー
フ ェ イ ス を グ ラ ン ド に接続 し て ク ロ ッ ク 補正機能を無効にで き ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
42
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-5
$XURUD%%0RGXOH
6WDWXV
&RQWURO
8VHU,QWHUIDFH
7;'DWD
5;'DWD
8)&7;'DWD
8)&7;5HT
8)&5;'DWD
8VHU)ORZ&RQWURO
8)&,QWHUIDFH
8)&5;6WDWXV&WUO
8)&7;$FN
8)&7;0HVVDJH6L]H
1)&5HT
1DWLYH)ORZ&RQWURO
1)&,QWHUIDFH
1)&$FN
1)&1XPEHURI,GOHV
&RQWURO
6WDWXV
*73*7;,QWHUIDFH
7;37;1
&ORFN0RGXOH
&ORFNLQJ
&ORFN
&RPSHQVDWLRQ
0RGXOH
:DUQ&&
5;35;1
&ORFN,QWHUIDFH
&ORFNLQJ
&ORFN
&RPSHQVDWLRQ
'R&&
;
図 3-5 : 最上位のク ロ ッ ク補正
ク ロ ッ ク 補正イ ン タ ー フ ェ イ ス
すべての Aurora 8B/10B コ アには、 ク ロ ッ ク 補正シーケ ン ス の伝送を制御す る ク ロ ッ ク 補正 イ ン タ ーフ ェ イ ス があ り
ます。
図 3-6 お よ び図 3-7 は、 ク ロ ッ ク 補正信号の動作を示 し てい ます。
X-Ref Target - Figure 3-6
XVHUBFON
VBD[LBW[BWYDOLG
VBD[BW[BWUHDG\
GRBFF
VBD[LBW[BWGDWD>Q@
;
図 3-6 : ク ロ ッ ク補正シーケ ン スが挿入 さ れる ス ト リ ー ミ ング デー タ
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
43
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-7
XVHUBFON
PBD[LBW[BWYDOLG
WBD[LBW[BWGDWD>Q@
;
図 3-7 : ク ロ ッ ク補正によ っ て中断 さ れるデー タ 受信
Aurora 8B/10B プ ロ ト コ ルは、Aurora 8B/10B チ ャ ネルの各側におけ る 基準 ク ロ ッ ク の差を ± 100ppm 以内にす る ク ロ ッ
ク 補正 メ カ ニ ズ ム を規定 し てい ます。 Aurora 8B/10B 準拠の ク ロ ッ ク 補正機能を実行す る には、 すべての ク ロ ッ ク 補
正周期で do_cc を数サ イ ク ル間アサー ト す る 必要があ り ます。 do_cc がアサー ト さ れ る 時間 と 、 アサー ト 間の時間
は、 ト ラ ン シーバー デー タ イ ン タ ーフ ェ イ ス の幅に基づいて決定 さ れ ます。 do_cc がアサー ト さ れてい る 間、 TX
と 接続す る モジ ュ ールのユーザー イ ン タ ーフ ェ イ ス上で s_axi_tx_tready がデ ィ アサー ト さ れ る と 、 チ ャ ネル
は ク ロ ッ ク 補正シーケ ン ス を送信す る ために使用 さ れます。 表 3-3 に、 2 バ イ ト 幅 と 4 バ イ ト 幅レーンで必要な期間
お よ びサ イ ク ル数を示 し ます。
表 3-3 : ク ロ ッ ク 補正サイ ク ル
DO_CC 間の USER_CLK サイ クル数
DO_CC の期間
(USER_CLK サイ ク ル)
2
5000
6
4
2500
3
レーン幅
warn_cc 信号は、 ユーザー フ ロ ー制御 (UFC) やネ イ テ ィ ブ フ ロ ー制御 (NFC) 機能を備え た コ ア で使用 さ れ ま す。
do_cc が ア サー ト さ れ る 前に こ の信号が アサー ト さ れ る こ と に よ っ て、 UFC イ ン タ ー フ ェ イ ス が ク ロ ッ ク コ レ ク
シ ョ ン シーケ ン ス の間近に肯定応答 し た り 、 UFC メ ッ セージ を送信する こ と を回避で き ます。 CC シーケ ン ス と UFC
メ ッ セージが重複す る と デー タ が破損す る ため、 こ の予防策は不可欠です。 16 バ イ ト UFC メ ッ セージが ク ロ ッ ク 補
正シーケ ン ス に重な る こ と を回避す る ために必要なル ッ ク アヘ ッ ド サ イ ク ル数は、チ ャ ネル内の レーン数や各レーン
の幅に よ っ て異な り ます。 表 3-4 に、 レーン幅、 チ ャ ネル幅、 最大 UFC メ ッ セージ サ イ ズの各組み合わせで必要な
ル ッ ク アヘ ッ ド サ イ ク ル数を示 し ます。
表 3-4 : ル ッ ク アヘ ッ ド サイ ク ル数
デー タ イ ン タ ー フ ェ イ ス幅
UFC メ ッ セージ サイ ズ
WARN_CC ル ッ ク アヘ ッ ド
2
2
3
2
4
4
2
6
5
2
8
6
2
10
7
2
12
8
2
14
9
2
16
10
4
2–4
3
4
6–8
4
4
10–12
5
4
14–16
6
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
44
第 3 章 : コ ア を使用するデザイ ン
表 3-4 : ル ッ ク アヘ ッ ド サイ ク ル数 (続き)
デー タ イ ン タ ー フ ェ イ ス幅
UFC メ ッ セージ サイ ズ
WARN_CC ル ッ ク アヘ ッ ド
6
2–6
3
6
8–12
4
6
14–16
5
8
2–8
3
8
10–16
4
10
2–10
3
10
12–16
4
12
2–12
3
12
14–16
4
14
2–14
3
14
16
4
≥16
2–16
3
ネ イ テ ィ ブ フ ロ ー制御 メ ッ セージの リ ク エ ス ト は、warn_cc 信号お よ び do_cc 信号がアサー ト さ れてい る 間は肯定
応答 さ れません。 こ れに よ っ て、 NFC メ ッ セージ と ク ロ ッ ク 補正シーケ ン ス の重複が回避 さ れます。
Aurora 8B/10B コ アの適合性を容易にす る ために、Vivado 設計ツールで各 Aurora 8B/10B コ アが生成 さ れ る と 同時に標
準の ク ロ ッ ク 補正 (CC) モジ ュ ールが cc_manager サブデ ィ レ ク ト リ に生成 さ れ ま す。 こ のモジ ュ ールは、 do_cc
ポー ト に自動的にパルス を生成 し て Aurora 8B/10B 準拠の ク ロ ッ ク 補正シーケ ン ス を生成 し 、warn_cc ポー ト には早
期にパルス を生成 し て最大サ イ ズの UFC メ ッ セージにおけ る UFC 競合を回避 し ます。 こ のモジ ュ ールは、 特殊な場
合を除いて常に Aurora 8B/10B モジ ュ ールの ク ロ ッ ク 補正ポー ト に接続す る 必要があ り ます。 表 3-5 では標準 CC モ
ジ ュ ールのポー ト について説明 し てい ます。
表 3-5 : 標準の CC モ ジ ュ ールの I/O ポー ト
名前
方向
説明
warn_cc
出力
UFC を使用す る 場合は、 Aurora 8B/10B コ アの warn_cc 入力へ接続 し ます。
do_cc
出力
Aurora 8B/10B コ アの warn_cc 入力へ接続 し ます。
channel_up
入力
フルデュ プ レ ッ ク ス コ アの channel_up 出力へ、ま たはシ ンプ レ ッ ク ス TX ポー
ト の tx_channel_up 出力へ接続 し ます。
Aurora 8B/10B チ ャ ネルの両側が同 じ ク ロ ッ ク で駆動 さ れてい る 場合 (44 ページの図 3-7 参照)、 モジ ュ ールの両側で
基準 ク ロ ッ ク 周波数が ロ ッ ク さ れ る ため、 ク ロ ッ ク 補正は必要あ り ません。 こ の場合、 warn_cc と do_cc はグ ラ ン
ド に接続 し て く だ さ い。 さ ら に、 コ アの ト ラ ン シーバー イ ン タ ーフ ェ イ ス モジ ュ ールで clk_correct_use 属性を
FALSE に設定で き ます。 こ れに よ っ て、 シ ン グル レーン モジ ュ ールの場合にはレ イ テ ン シ を低減で き ます。
その他、 標準の ク ロ ッ ク 補正モジ ュ ールが適合 し ない特殊な例があ り ます。 その よ う な場合は do_cc ポー ト を使用
し て、 特定チ ャ ネルの要件を満たすために任意の タ イ ミ ン グ と 長 さ で ク ロ ッ ク 補正シーケ ン ス を送信す る こ と がで き
ます。 こ の機能の最 も 一般的な用法は、 フ レームの外、 そ し てデー タ フ ロ ーを中断 し ない よ う に ス ト リ ーム中の特定
時に、 ク ロ ッ ク 補正 イ ベン ト を生 じ さ せ る よ う ス ケ ジ ュ ー リ ン グす る こ と です。
重要 : 一般的に ク ロ ッ ク 補正 ロ ジ ッ ク を カ ス タ マ イ ズする こ と は推奨 し てい ませんが、カ ス タ マ イ ズが必要な場合は、
詳 し い解析 と テ ス ト を実施 し て次のガ イ ド ラ イ ンに従っ て注意深 く 設計す る 必要があ り ます。
•
ク ロ ッ ク 補正シーケ ン ス は、 すべての レ シーバーで確実に認識 さ れ る よ う に少な く と も 2 サ イ ク ル間アサー ト す
る 必要があ り ます。
•
使用す る ク ロ ッ ク 周波数の最大差を十分補正で き る 期間 と 周期が選択 さ れてい る 必要があ り ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
45
第 3 章 : コ ア を使用するデザイ ン
•
8 サ イ ク ル間に複数の ク ロ ッ ク コ レ ク シ ョ ン シーケ ン ス を続けて実行 し ないで く だ さ い。
•
12 サ イ ク ル よ り 長いア イ ド ル シーケ ン ス を CC シーケ ン ス に置き 換え る と 、 EMI を低減で き ます。
•
DO_CC は、 lane_up が終了す る ま で有効にな り ません。 つま り 初期化中は ク ロ ッ ク 補正を実行で き ないため、
lane_up の直後に do_cc を アサー ト す る 必要があ り ます。
ユーザー デー タ イ ン タ ー フ ェ イ ス
Aurora 8B/10B コ アは、フ レー ミ ン グ ま たは ス ト リ ー ミ ン グ ユーザー デー タ イ ン タ ーフ ェ イ ス のいずれかで生成で き
ます。 その他、 フ レー ミ ン グ イ ン タ ーフ ェ イ ス を使用す る デザ イ ン にはフ ロ ー制御オプシ ョ ンがあ り ます。 57 ペー
ジの 「フ ロ ー制御」 を参照 し て く だ さ い。
フ レ ー ミ ン グ ユーザー イ ン タ ーフ ェ イ ス は、 『AMBA® AXI4-Stream プ ロ ト コ ル仕様』 [参照 5] に準拠 し てお り 、 フ
レーム化 さ れたユーザー デー タ の送受信に必要な信号を構成 し ます。 ス ト リ ー ミ ン グ イ ン タ ーフ ェ イ ス では、 特殊
な フ レーム区切 り 文字を使用せずにデー タ を送信で き ます。 動作が単純で、 フ レー ミ ン グ イ ン タ ーフ ェ イ ス よ り 少な
い リ ソ ース を使用 し ます。
最上位アーキテ ク チ ャ
Aurora 8B/10B コ アの最上位 (ブ ロ ッ ク レベル) フ ァ イ ルでは、 Aurora 8B/10B レーン モジ ュ ール、 TX/RX AXI4-Stream
モジ ュ ール、 グ ロ ーバル ロ ジ ッ ク モジ ュ ール、 お よ び GTX/GTH ト ラ ン シーバー用 ラ ッ パーが イ ン ス タ ン シエー ト
さ れ ます。 こ の最上位 ラ ッ パー フ ァ イ ルは、 ク ロ ッ ク 、 リ セ ッ ト 回路、 お よ びフ レーム と チ ェ ッ カー モジ ュ ール と
共にサンプル デザ イ ン フ ァ イ ルに イ ン ス タ ン シエー ト さ れてい ます。
図 3-8 に、 デ ュ プ レ ッ ク ス コ ン フ ィ ギ ュ レーシ ョ ンでの Aurora 8B/10B コ アの最上位アーキ テ ク チ ャ を示 し ます。 こ
の最上位フ ァ イ ルは、 ユーザー デザ イ ンの基本 と な り ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
46
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-8
$XURUD%%7RS/HYHO
7;
$;,6WUHDP
7UDQVFHLYHU
:UDSSHU
7;
6WUHDP
7UDQVPLW8VHU,QWHUIDFH
*OREDO
/RJLF
*7;*7+
7UDQVFHLYHU
5;
$;,6WUHDP
5;
6WUHDP
5HFHLYH8VHU,QWHUIDFH
;
図 3-8 : 最上位アーキテ ク チ ャ
こ のセ ク シ ョ ン では、 ス ト リ ー ミ ン グ イ ン タ ーフ ェ イ ス と フ レー ミ ン グ イ ン タ ーフ ェ イ ス について詳 し く 説明 し ま
す。 ユーザー イ ン タ ーフ ェ イ ス ロ ジ ッ ク は、 こ こ で説明す る 各 イ ン タ ーフ ェ イ ス の タ イ ミ ン グ要件を満たす よ う に
設計す る 必要があ り ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
47
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-9
$XURUD%%0RGXOH
6WDWXV
&RQWURO
8VHU,QWHUIDFH
7;'DWD
5;'DWD
8)&7;'DWD
8)&7;5HT
8)&5;'DWD
8VHU)ORZ&RQWURO
8)&,QWHUIDFH
8)&5;6WDWXV&WUO
8)&7;$FN
8)&7;0HVVDJH6L]H
1)&5HT
1DWLYH)ORZ&RQWURO
1)&,QWHUIDFH
1)&$FN
1)&1XPEHURI,GOHV
&RQWURO
6WDWXV
*73*7;,QWHUIDFH
7;37;1
&ORFN0RGXOH
&ORFNLQJ
&ORFN
&RPSHQVDWLRQ
0RGXOH
:DUQ&&
5;35;1
&ORFN,QWHUIDFH
&ORFNLQJ
&ORFN
&RPSHQVDWLRQ
'R&&
;
図 3-9 : 最上位ユーザー イ ン タ ー フ ェ イ ス
注記 : ユーザー イ ン タ ーフ ェ イ ス信号は、 IP カ タ ロ グで Aurora 8B/10B コ ア を生成す る 際の選択項目に基づいて異な
り ます。
フ レー ミ ング イ ン タ ー フ ェ イ ス
図 3-10 に、 Aurora 8B/10B コ アの フ レー ミ ン グ ユーザー イ ン タ ーフ ェ イ ス と TX/RX デー タ 用の AXI4-Stream に準拠
す る ポー ト を示 し ます。
X-Ref Target - Figure 3-10
VBD[LBW[BWGDWD
VBD[LBW[BWNHHS
VBD[LBW[BWODVW
$;,7;
,QWHUIDFH
VBD[LBW[BWYDOLG
PBD[LBU[BWGDWD
PBD[LBU[BWNHHS
PBD[LBU[BWODVW
PBD[LBU[BWYDOLG
VBD[LBW[BWUHDG\
;
図 3-10 : Aurora 8B/10B コ アのフ レー ミ ング イ ン タ ー フ ェ イ ス (AXI4-Stream)
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
48
第 3 章 : コ ア を使用するデザイ ン
デー タ を送信す る 場合、 ユーザー アプ リ ケーシ ョ ンは制御信号を操作 し て コ アに次を実行 さ せます。
•
s_axi_tx_tvalid 信号 と s_axi_tx_tready 信号がアサー ト さ れ る と 、 ユーザー
s_axi_tx_tdata バス か ら デー タ を取得 し ます。
•
Aurora 8B/10B チ ャ ネルの複数レーンにデー タ を ス ト ラ イ ピ ン グ し ます。
•
s_axi_t_tvalid 信号を使用 し てデー タ を送信 し ます。 ユーザー アプ リ ケーシ ョ ンは s_axi_tx_tvalid 信
号をデ ィ アサー ト す る こ と に よ っ て、 ラ イ ンにア イ ド ルを挿入で き ます (停止/一時停止状態にす る )。
•
デー タ 送信を中断 し ます (つま り ア イ ド ルを挿入する ) (s_axi_tx_tvalid がデ ィ アサー ト さ れ る )。
•
s_axi_tx_tlast がアサー ト さ れ る と 、 最後の フ レーム を カプセル化 し ます。
イ ン タ ーフ ェ イ ス の
デー タ を受信す る 場合、 コ アは次を実行 し ます。
•
制御バ イ ト (ア イ ド ル、 ク ロ ッ ク 補正、 SCP (Start of Channel PDU)、 ECP (End of Channel PDU)) を検出 し て破棄 し
ます。
•
フ レー ミ ン グ信号 (m_axi_rx_tlast) を アサー ト し ます。
•
複数レーンか ら のデー タ を回復 し ます。
•
デー タ を構築 し 、 m_axi_rx_tvalid 信号を アサー ト し てユーザー イ ン タ ーフ ェ イ ス の m_axi_rx_tdata バ
ス に回復 し たデー タ を示 し ます。
AXI4-Stream のビ ッ ト 順
Aurora 8B/10B コ ア は昇順 を 適用 し ま す。 最上位バ イ ト の最上位 ビ ッ ト を 最初に送受信 し ま す。 図 3-11 に、 Aurora
8B/10B コ アの AXI4-Stream デー タ イ ン タ ーフ ェ イ ス の n バ イ ト の順序を示 し ます。
X-Ref Target - Figure 3-11
0RVW6LJQLILFDQW%\WH
/HDVW6LJQLILFDQW%\WH
%\WH
7;B'
%\WH
%\WHQ
Q Q Q Q Q Q Q Q
0RVWVLJQLILFDQWELWWUDQVPLWWHGILUVW /HDVWVLJQLILFDQWELWWUDQVPLWWHGODVW
;
図 3-11 : AXI4-Stream イ ン タ ー フ ェ イ スのビ ッ ト 順
デー タ 送信
AXI4-Stream は同期 イ ン タ ーフ ェ イ ス です。 Aurora 8B/10B コ アは、 s_axi_tx_tready と s_axi_tx_tvalid の両
方がアサー ト (High) さ れてい る サ イ ク ルで、user_clk の立ち上が り エ ッ ジでのみ イ ン タ ーフ ェ イ ス上のデー タ をサ
ンプル し ます。
AXI4-Stream 信号のサ ン プ リ ン グ では、 s_axi_tx_tvalid が アサー ト さ れてい る 場合のみ有効 と し てみな さ れ ま
す。 ユーザー アプ リ ケーシ ョ ンは任意の ク ロ ッ ク サ イ ク ルで s_axi_tx_tvalid 信号をデ ィ アサー ト で き ます。 こ
れに よ っ て、Aurora 8B/10B コ アはそのサ イ ク ルの AXI4-Stream 入力を無視 し ます。 フ レームの途中で こ の信号がデ ィ
アサー ト さ れ る と 、 ア イ ド ル シ ン ボルが Aurora 8B/10B チ ャ ネル経由で送信 さ れ、 結果 と し て RX ユーザー イ ン タ ー
フ ェ イ ス で受信 さ れ る フ レームの途中でア イ ド ル サ イ ク ルに遷移 し ます。
AXI4-Stream デー タ は、 フ レームの中に含ま れ る 場合のみ有効です。 フ レームの外にあ る デー タ は無視 さ れます。 フ
レーム送信を開始す る 場合、デー タ の最初の ワー ド が s_axi_tx_tdata ポー ト に現れ る 間に s_axi_tx_tvalid を
アサー ト し ます。 フ レーム送信を終了す る 場合、 デー タ の最後の ワ ー ド (ま たは一部の ワー ド ) が s_axi_tx_tdata
ポー ト に現れ る 間に s_axi_tx_tlast を アサー ト し ます。
注記 : フ レームの長 さ がシ ン グル ワー ド ま たはそれ以下の場合、s_axi_tx_tvalid と s_axi_tx_tlast が同時に
アサー ト さ れます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
49
第 3 章 : コ ア を使用するデザイ ン
最後のデー タ
AXI4-Stream では、 フ レームの最後の ワー ド は不完全な ワ ー ド にな る こ と があ り ます。 し たが っ て、 ワ ー ド サ イ ズ と
は関係な く 1 つの フ レームに任意のバ イ ト 数が含ま れます。 s_axi_tx_tkeep バ ス を使用 し て、 フ レームの最後の
ワ ー ド に含まれ る 有効なバ イ ト 数を示 し ます。 こ のバ ス は、 s_axi_tx_tlast がアサー ト さ れ る 場合のみ使用 さ れ
ます。
Aurora 8B/10B フ レーム
TX サブモジ ュ ールは、TX イ ン タ ーフ ェ イ ス か ら 受信 し た各ユーザー フ レーム を Aurora 8B/10B フ レームに変換 し ま
す。フ レームの開始を示すために フ レーム デー タ の始めには 2 バ イ ト の SCP コ ー ド グループが追加 さ れます。フ レー
ムの終わ り を示すために フ レーム の最後で 2 バ イ ト の ECP が送信 さ れ ます。 有効なデー タ がない場合は常にア イ ド
ル コ ー ド グループが挿入 さ れます。 コ ー ド グループは 8B/10B エン コ ー ド さ れたバ イ ト ペアです。 Aurora 8B/10B コ
アのすべてのデー タ は、 コ ー ド グループ と し て送信 さ れ る ため、 奇数のバ イ ト 数を含むユーザー フ レームには PAD
と 呼ばれ る 制御文字が フ レームの最後に追加 さ れます。 表 3-6 に、 偶数のバ イ ト 数を含む標準的な Aurora 8B/10B フ
レーム を示 し ます。
長さ
ユーザー アプ リ ケーシ ョ ン では、 s_axi_tx_tvalid お よ び s_axi_tx_tlast 信号を操作 し てチ ャ ネル フ レーム
の長 さ を制御 し ます。 それに対 し て Aurora 8B/10B コ アは、 順序セ ッ ト (フ レームの開始 (/SCP/) お よ びフ レームの終
了 (/ECP/)) で対応 し ます (表 3-6 参照)。
表 3-6 : 標準的なチ ャ ネル フ レーム
/SCP/1
/SCP/2
Data Byte 0
Data Byte 1 Data Byte 2
...
Data Byte
n–1
Data Byte n
/ECP/1
/ECP/2
例 A : シ ン プルなデー タ 送信
図 3-12 に、 AXI4-Stream イ ン タ ーフ ェ イ ス におけ る シ ン プルなデー タ 送信の例を示 し ま す (n バ イ ト 幅)。 こ の場合、
送信 さ れ る デー タ 数は 3n バ イ ト と な る ため、 3 デー タ ビー ト 必要です。 s_axi_tx_tready がアサー ト さ れ る と 、
AXI4-Stream イ ン タ ーフ ェ イ ス がデー タ 送信の準備が整っ た こ と を示 し ます。 Aurora 8B/10B コ アは、 デー タ を送信 し
ない間ア イ ド ル シーケ ン ス を送信 し ます。
デー タ 送信を開始す る には、 ユーザー アプ リ ケーシ ョ ンが s_axi_tx_tvalid と ユーザー フ レームの最初の n バ イ
ト を アサー ト し ます。 s_axi_tx_tready 信号はすでにアサー ト さ れてい る ため、 デー タ 送信は次の ク ロ ッ ク エ ッ
ジで開始 し ます。 チ ャ ネルの最初の 2 バ イ ト に /SCP/ 順序セ ッ ト が配置 さ れ、 フ レームの開始を示 し ます。 その後最
初の n–2 デー タ バ イ ト がチ ャ ネルに配置 さ れます。 /SCP/ のオ フ セ ッ ト に よ っ て、 各デー タ ビー ト の最後の 2 バ イ ト
が常に 1 サ イ ク ル遅延 さ れ、 チ ャ ネルの次のビー ト の最初の 2 バ イ ト で送信 さ れます。
デー タ 送信 を 終了す る に は、 ユ ー ザ ー ア プ リ ケ ー シ ョ ン が s_axi_tx_tlast と 共 に 最後 の デー タ バ イ ト と
s_axi_tx_tkeep バ ス上の適切な値を アサー ト し ます。 こ の例では、 s_axi_tx_tkeep が N に設定 さ れてすべて
のバ イ ト が最後のデー タ ビー ト で有効であ る こ と を示 し てい ます (デモ用の波形)。 s_axi_tx_tlast がアサー ト さ
れてか ら 1 ク ロ ッ ク サ イ ク ル後に AXI4-Stream イ ン タ ーフ ェ イ ス は s_axi_tx_tready をデ ィ アサー ト し 、 デー タ
フ ロ ー間のギ ャ ッ プ を使用 し て最後のオ フ セ ッ ト デー タ バ イ ト と /ECP/ (フ レーム の最後を示す順序セ ッ ト ) を送信
し ます。 次のサ イ ク ルで s_axi_tx_tready が再度アサー ト さ れ る ため、 よ り 多 く のデー タ 送信を継続で き ます。新
し いデー タ がなければ、 Aurora 8B/10B コ アはア イ ド ルを送信 し ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
50
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-12
XVHUBFON
VBD[LBW[BWYDOLG
VBD[LBW[BWUHDG\
VBD[LBW[BWODVW
VBD[LBW[BWGDWD>Q@
;
'DWD
VBD[LBW[BWNHHS>Q@
'DWD
'DWD
;
;
1
;
図 3-12 : シ ン プルなデー タ 送信
例 B : パ ッ ド を含むデー タ 送信
図 3-13 に、 パ ッ ド を使用す る 必要があ る (3n–1) バ イ ト のデー タ 送信例を示 し ま す。 デー タ バ イ ト 数が奇数で あ る
ため、 Aurora 8B/10B コ アは Aurora 8B/10B フ レーム の最後にパ ッ ド と い う 制御文字を追加 し ま す (プ ロ ト コ ルで規
定)。 3n–1 デー タ バ イ ト の送信には、 2 つの完全な n バ イ ト デー タ ワ ー ド と 1 つのパーシ ャ ル デー タ ワ ー ド が必要
です。 こ の例では、 s_axi_tx_tkeep が N–1 に設定 さ れて、 最後のデー タ ワ ー ド に n–1 と い う 有効なバ イ ト を示
し てい ます。
X-Ref Target - Figure 3-13
XVHUBFON
VBD[LBW[BWYDOLG
VBD[LBW[BWUHDG\
VBD[LBW[BWODVW
VBD[LBW[BWGDWD>Q@
VBD[LBW[BWNHHS>Q@
;
図 3-13 : パ ッ ド を含むデー タ 送信
例 C : ポーズ (中断) を含むデー タ 送信
図 3-14 は、 フ レ ーム転送中にユーザー イ ン タ ーフ ェ イ ス は ど の よ う にデー タ 送信を中断す る のか を示 し てい ま す。
こ の例では、 ユーザー アプ リ ケーシ ョ ンが 3n バ イ ト のデー タ を送信 し 、 最初の n バ イ ト の後でデー タ フ ロ ーを中断
し てい ます。 最初のデー タ ワー ド の後、 ユーザー アプ リ ケーシ ョ ンが s_axi_tx_tvalid をデ ィ アサー ト し 、 こ れ
に よ っ て TX Aurora 8B/10B コ アはバ ス上のすべてのデー タ を無視 し て、 その代わ り にア イ ド ル文字を送信 し ます。前
のサ イ ク ルの最初のデー タ ワ ー ド か ら のオ フ セ ッ ト デー タ が レーン 0 に ま だ送信 さ れてい ますが、 次のデー タ ワー
ド はア イ ド ル文字に置 き 換え ら れてい ます。 PAUSE (中断状態) は、 s_axi_tx_tvalid がデ ィ アサー ト さ れ る ま で
継続 し ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
51
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-14
XVHUBFON
VBD[LBW[BWYDOLG
VBD[LBW[BWUHDG\
VBD[LBW[BWODVW
VBD[LBW[BWGDWD>Q@
VBD[LBW[BWNHHS>Q@
;
図 3-14 : ポーズを含むデー タ 送信
例 D : ク ロ ッ ク 補正を含むデー タ 送信
ク ロ ッ ク 補正シーケ ン ス を送信す る 場合、Aurora 8B/10B コ アは自動的にデー タ 送信を中断 し ます。 ク ロ ッ ク 補正シー
ケ ン ス は、 10,000 バ イ ト ご と に各レーンに 12 バ イ ト のオーバーヘ ッ ド を課 し ます。
図 3-15 では、 ク ロ ッ ク 補正シーケ ン ス中に Aurora 8B/10B コ アはど の よ う に し てデー タ 送信を中断す る のか を示 し て
い ます。
X-Ref Target - Figure 3-15
XVHUBFON
VBD[LBW[BWYDOLG
VBD[LBW[BWUHDG\
VBD[LBW[BWODVW
VBD[LBW[BWGDWD>Q@
VBD[LBW[BWNHHS>Q@
;
図 3-15 : ク ロ ッ ク補正で中断 さ れるデー タ 送信
注記 : 10,000 バ イ ト ご と に各レーン に対 し て ク ロ ッ ク 補正が必要な ため (各レーン 2 バ イ ト のデザ イ ン では 5000 ク
ロ ッ ク 、 各レーン 4 バ イ ト のデザ イ ンでは 2500 ク ロ ッ ク )、 ユーザーが継続的にデー タ を送受信で き ません。 ク ロ ッ
ク 補正期間中、 デー タ 送信は 6 ま たは 6 ク ロ ッ ク 周期間中断 さ れます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
52
第 3 章 : コ ア を使用するデザイ ン
デー タ 受信
Aurora 8B/10B コ アが Aurora 8B/10B フ レ ーム を受信す る 場合、 フ レ ー ミ ン グ文字、 ア イ ド ル、 お よ び ク ロ ッ ク 補正
シーケ ン ス を排除 し た後に RX AXI4-Stream イ ン タ ーフ ェ イ ス を介 し てユーザー アプ リ ケーシ ョ ンに こ れ ら を表 し ま
す。
RX サブモジ ュ ールには、 ユーザー デー タ 用のビル ト イ ン エ ラ ス テ ィ ッ ク バ ッ フ ァ ーがあ り ません。 その結果、 RX
AXI4-Stream イ ン タ ー フ ェ イ ス には m_axi_rx_tready 信号が あ り ま せん。 ユーザー ア プ リ ケーシ ョ ン が Aurora
8B/10B チ ャ ネルか ら のデー タ フ ロ ーを制御す る 唯一の方法は、 オプシ ョ ン で コ アの フ ロ ー制御機能を使用す る こ と
です。 ほ と ん ど の場合は、 RX デー タ パ ス に FIFO を追加 し て、 フ ロ ー制御 メ ッ セージが送信 さ れてい る 間にデー タ
が失われない よ う に し ます。
Aurora 8B/10B コ アは、RX AXI4-Stream イ ン タ ーフ ェ イ ス の信号が有効の場合に m_axi_rx_tvalid 信号を アサー ト
し ます。 m_axi_rx_tvalid がデ ィ アサー ト (Low) さ れてい る 間に RX AXI4-Stream ポー ト でサンプル さ れた値は無
視 し ます。
m_axi_rx_tvalid 信号 は、 Aurora 8B/10B コ ア か ら の 各 フ レ ー ム の 最初 の ワ ー ド と 同時 に ア サ ー ト さ れ ま す。
m_axi_rx_tlast
は、 各 フ レ ー ム の 最後 の ワ ー ド ま た は 部分的 な ワ ー ド と 同時 に ア サ ー ト さ れ ま す。
m_axi_rx_tkeep ポー ト は、 各フ レームの最後の ワー ド に含まれ る 有効なバ イ ト 数を示 し ます。 m_axi_rx_tkeep
信号は、 m_axi_rx_tlast がアサー ト さ れてい る 場合のみ有効 と な り ます。
Aurora 8B/10B コ ア は、 フ レ ー ム の 途 中 で あ っ て も 常 に m_axi_rx_tvalid を デ ィ ア サ ー ト で き ま す。
m_axi_rx_tvalid をデ ィ アサー ト す る タ イ ミ ン グは、 デー タ の送信方法 と は無関係です。 も と も と フ レームが中断
な し で送信 さ れ る 場合で も 、 m_axi_rx_tvalid を任意の タ イ ミ ン グでデ ィ アサー ト で き ます。 こ の よ う な中断は、
コ アが各フ レーム を で き る だけ小 さ い レ イ テ ン シで処理 し よ う と し て、 フ レー ミ ン グ文字の ス ト ラ イ ピ ン グや左に揃
え る プ ロ セ ス を受けた結果 と な り ます。
「例 A : ポーズ (中断) を含むデー タ 受信」 では、 標準的な Aurora 8B/10B フ レームの受信について説明 し てい ます。
例 A : ポーズ (中断) を含むデー タ 受信
図 3-16 に、 中断 さ れ る 3n バ イ ト のデー タ 受信の例を示 し ます。 デー タ は、 m_axi_rx_tdata バ ス上に現れます。 こ
のバ ス に最初の n バ イ ト が配置 さ れ る と 、 m_axi_rx_tvalid がアサー ト さ れてユーザー アプ リ ケーシ ョ ン にデー
タ が有効で あ る こ と を示 し ま す。 最初のデー タ ビー ト の後の ク ロ ッ ク サ イ ク ルで、 コ アは m_axi_rx_tvalid を
デ ィ アサー ト し て、 デー タ フ ロ ーが中断 さ れ る こ と をユーザー アプ リ ケーシ ョ ンへ示 し ます。
中断後、 コ アは m_axi_rx_tvalid を アサー ト し て m_axi_rx_tdata バ ス上の残 り のデー タ を引 き 続 き 集めて処
理 し ます。 フ レームの最後で m_axi_rx_tlast を アサー ト し ます。 ま た、 コ アは m_axi_rx_tkeep の値 も 計算 し 、
フ レームの最後の ワー ド に含まれ る 有効なバ イ ト 数を考慮 し て、 それ ら を ユーザー アプ リ ケーシ ョ ンに提供 し ます。
X-Ref Target - Figure 3-16
XVHUBFON
U[BWYDOLG
3$86(
U[BWODVW
U[BWGDWD>Q@
U[BWVWUE>Q@
;
'DWD
;
;
'DWD
'DWD
;
1
;
図 3-16 : ポーズを含むデー タ 受信
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
53
第 3 章 : コ ア を使用するデザイ ン
フ レー ミ ングの効率性
Aurora 8B/10B コ アの フ レー ミ ン グの効率性に影響を与え る 要素は次の 2 つです。
•
フ レーム サ イ ズ
•
デー タ パ ス幅
10,000 バ イ ト ご と に各レーンで 12 バ イ ト を使用す る CC シーケ ン ス は、 総チ ャ ネル帯域幅の約 0.12% を使用 し ます。
Aurora 8B/10B コ ア のすべてのバ イ ト は、 2 バ イ ト コ ー ド グループで送信 さ れ ま す。 偶数のバ イ ト 数を含む Aurora
8B/10B フ レームには、 4 バ イ ト のオーバーヘ ッ ド 、 SCP (フ レームの開始) 用の 2 バ イ ト 、 お よ び ECP (フ レームの終
わ り ) 用の 2 バ イ ト があ り ます。 奇数のバ イ ト 数を含む Aurora 8B/10B フ レームには、 5 バ イ ト のオーバーヘ ッ ド と 4
バ イ ト の フ レー ミ ン グ オーバーヘ ッ ド があ り 、その他に も 、フ レームに最後のバ イ ト デー タ を伝搬す る 2 つ目の コ ー
ド グループ バ イ ト を埋め る ために送信 さ れ る パ ッ ド バ イ ト 用の追加バ イ ト があ り ます。
コ アは、 チ ャ ネルの特定レーンにのみフ レーム区切 り 文字を送信 し ます。 SCP は、 最 も 左 (最上位) の レーンにのみ送
信 さ れ、 ECP は最 も 右 (最下位) の レーンにのみ送信 さ れます。 デー タ を含む最後の コ ー ド グループ と ECP コ ー ド グ
ループ間のチ ャ ネル空間はア イ ド ルで埋め ら れます。 その結果、 最小限の スループ ッ ト 損失で、 デザ イ ンの リ ソ ース
コ ス ト が削減 さ れ ます。 スループ ッ ト 向上に向けて SCP と ECP を最適化で き ますが、 ユーザー イ ン タ ーフ ェ イ ス が
課す各サ イ ク ルに対 し て 1 フ レーム と い う 制限に よ り 、 通常 こ の方法は使用に適 し ません。
式 3-1 を使用 し て、 いずれ も 任意の、 レーン数、 イ ン タ ーフ ェ イ ス幅、 バ イ ト 数を含むフ レーム でデザ イ ンの効率性
を計算で き ます。
注記 : こ の式には、 ク ロ ッ ク 補正のオーバーヘ ッ ド が含まれます。
100n
E = -------------------------------------------------------------------12n
n + 4 + 0.5 + IDLEs + -----------9988
説明 :
°
E = 指定 し た PDU の平均効率
°
n = ユーザー デー タ バ イ ト 数
°
12n/9988 = ク ロ ッ ク コ レ ク シ ョ ンのオーバーヘ ッ ド
°
4 = SCP と ECP のオーバーヘ ッ ド
°
0.5 = 平均の PAD オーバーヘ ッ ド
°
IDLEs = IDLE のオーバーヘ ッ ド = (w/2) – 1
°
w = イ ン タ ーフ ェ イ ス幅
式 3-1
例
表 3-7 に、 式 3-1 を使用 し て計算 し た例を示 し ます。 こ の例では、 8 バ イ ト 、 4 レーン チ ャ ネルの効率性を示 し てお
り 、 チ ャ ネル フ レームの長 さ が増加す る と 効率性が増加する こ と を示 し てい ます。
表 3-7 : 効率性の例
ユーザー デー タ バイ ト
効率
100
92.92%
1,000
99.14%
10,000
99.81%
表 3-8 は、4 レーンで 256 バ イ ト の フ レーム デー タ を送信す る 際の 8 バ イ ト 4 レーン チ ャ ネルでのオーバーヘ ッ ド を
示 し てい ます。 最終的なデー タ 単位は、 開始 と 終了のキ ャ ラ ク タ ーが追加 さ れて 264 バ イ ト にな り ます。 こ の値は、
ト ラ ン ス ミ ッ タ ーのオーバーヘ ッ ド の 3.03% に相当 し ます。 その他、 12 バ イ ト の ク ロ ッ ク 補正シーケ ン ス が 10,000
バ イ ト ご と に各レーンで生 じ る ため、 こ れ よ り わずかにオーバーヘ ッ ド が追加 さ れます。 レ シーバーにはア イ ド ル パ
タ ーンが必要ないため、 多少効率の良いデー タ ス ト リ ーム を扱 う こ と がで き ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
54
第 3 章 : コ ア を使用するデザイ ン
表 3-8 : 256 デー タ バイ ト を送信する場合の標準的なオーバーヘ ッ ド
レーン
クロッ ク
0
1
1
キ ャ ラ ク タ ー /デー タ バイ ト
機能
バイ ト 1
バイ ト 2
チ ャ ネル フ レームの開始
/SCP/1
/SCP/2
1
チ ャ ネル フ レーム デー タ
D0
D1
2
1
チ ャ ネル フ レーム デー タ
D2
D3
3
1
チ ャ ネル フ レーム デー タ
D4
D5
D254
D255
.
.
.
0
33
チ ャ ネル フ レーム デー タ
1
33
ア イ ド ルを送信
/I/
/I/
2
33
ア イ ド ルを送信
/I/
/I/
3
33
チ ャ ネル フ レームの終わ り
/ECP/1
/ECP/2
表 3-9 に、 s_axi_tx_tkeep の各値で生 じ る オーバーヘ ッ ド を示 し ます。
表 3-9 : s_axi_tx_tkeep 値およびそれに対応する オーバーヘ ッ ド と な るバイ ト
s_axi_tx_tkeep バスの値
(バイ ナ リ )
SCP
パッ ド
1000_0000
1
1100_0000
0
1110_0000
1
1111_0000
1111_1000
2
0
1
1111_1100
0
1111_1110
1
1111_1111
0
ECP
アイ ドル
合計
11
6
10
9
4
8
2
7
2
6
5
0
4
注記 : Vivado IDE で [Little Endian Support] がオンの場合は、s_axi_tx_tkeep のビ ッ ト 順が MSB か ら LSB へ変更 し
ます。
ス ト リ ー ミ ング イ ン タ ー フ ェ イ ス
図 3-17 に、 ス ト リ ー ミ ン グ ユーザー イ ン タ ーフ ェ イ ス で構成 さ れた Aurora 8B/10B コ アの例を示 し ます。
X-Ref Target - Figure 3-17
VBD[LBW[BWGDWD
VBD[LBW[BWYDOLG
PBD[LBU[BWGDWD
6WUHDPLQJ
,QWHUIDFH
VBD[LBW[BWUHDG\
PBD[LBU[BWYDOLG
;
図 3-17 : Aurora 8B/10B コ アのス ト リ ー ミ ング ユーザー イ ン タ ー フ ェ イ ス
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
55
第 3 章 : コ ア を使用するデザイ ン
デー タ の送受信
ス ト リ ー ミ ン グ イ ン タ ーフ ェ イ ス では、 Aurora 8B/10B チ ャ ネルをパ イ プ と し て使用で き ます。 チ ャ ネルの TX 側に
書 き 込ま れた ワ ー ド は、 RX 側へ順番に送 ら れ ます (レ イ テ ン シが生 じ る )。 初期化後、 チ ャ ネルは常に書 き 込み可能
な状態ですが、 do_cc 信号がアサー ト さ れて ク ロ ッ ク 補正シーケ ン ス が送信 さ れ る 場合は例外です。 アプ リ ケーシ ョ
ンは、 s_axi_tx_tdata ポー ト を介 し てデー タ を送信 し 、 s_axi_tx_tvalid ポー ト を使用 し てデー タ が有効であ
る こ と を 示 し ま す (High に ア サー ト )。 Aurora 8B/10B コ ア は、 チ ャ ネ ルがデー タ を 受信で き る 状態で な い場合に
s_axi_tx_tready をデ ィ アサー ト (Low) し ます。 それ以外の場合、 s_axi_tx_tready はアサー ト さ れた ま ま と
な り ます。
s_axi_tx_tvalid がデ ィ アサー ト さ れ る と 、 ワー ド 間にギ ャ ッ プが生 じ ます。 こ れ ら のギ ャ ッ プは、 ク ロ ッ ク 補正
シーケ ン ス が送信 さ れ る 場合以外はその ま ま残 さ れ ます。 Aurora 8B/10B チ ャ ネルの両側におけ る 周波数差を補正す
る た めに、 GTP/GTX ト ラ ン シーバーに よ っ て ク ロ ッ ク 補正シーケ ン ス が複製 ま た は削除 さ れ ま す。 こ れに よ り 、
do_cc のアサー ト に よ っ てで き た ギ ャ ッ プが縮小/拡大 し ます。 do_cc 信号の詳細は、 42 ページの 「 ク ロ ッ ク 補正」
を参照 し て く だ さ い。
Aurora 8B/10B チ ャ ネルの RX 側にデー タ が到達す る と 、 m_axi_rx_tdata バ ス上に現れて m_axi_rx_tvalid が
アサー ト さ れ ます。 こ のデー タ はす ぐ に読み出 さ れなければ失われ ます。 こ れが不可能な場合は、 RX イ ン タ ーフ ェ
イ ス にバ ッ フ ァ ーを接続 し て、 読み出 し 可能にな る ま でデー タ を保持す る 必要があ り ます。
図 3-18 に、 ス ト リ ー ミ ン グ デー タ の標準的な例を示 し ます。 こ の例は、 いずれの READY 信号 も アサー ト さ れてい
ない状態、 つま り ユーザー ロ ジ ッ ク と Aurora 8B/10B コ アの両方 と も デー タ 転送の準備が整っ ていない状態で開始 さ
れてい ます。 次の ク ロ ッ ク サ イ ク ルで、 Aurora 8B/10B コ アは s_axi_tx_tready を アサー ト し 、 デー タ を転送で き
る 状態を示 し てい ます。 その 1 サ イ ク ル後、 ユーザー ロ ジ ッ ク は s_axi_tx_tdata バ ス と s_axi_tx_tvalid 信
号を アサー ト し 、 デー タ を転送で き る 状態を示 し てい ます。 こ れで両方の READY 信号がアサー ト さ れてい る こ と に
な り 、 デー タ D0 はユーザー ロ ジ ッ ク か ら Aurora 8B/10B コ アへ転送 さ れ ます。 次の ク ロ ッ ク サ イ ク ルでデー タ D1
が 転 送 さ れ ま す。 こ の 例 で は、 Aurora 8B/10B コ ア が READY 信号 の s_axi_tx_tready を デ ィ ア サ ー ト し 、
s_axi_tx_tready 信号が再びアサー ト さ れ る 次の ク ロ ッ ク サ イ ク ル ま でデー タ は転送 さ れ ません。 そ し て、 次の
ク ロ ッ ク サ イ ク ルでユーザーが s_axi_tx_tvalid をデ ィ アサー ト し 、 両方の READY 信号がアサー ト さ れ る ま で
デー タ は転送 さ れません。
X-Ref Target - Figure 3-18
XVHUBFON
VBD[LBW[BWYDOLG
VBD[LBW[BWUHDG\
GRBFF
VBD[LBW[BWGDWD>Q@
;
図 3-18 : 標準的なス ト リ ー ミ ン グ デー タ 転送
図 3-19 は、 図 3-18 に示 し たデー タ 転送の受信側を示 し てい ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
56
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-19
XVHUBFON
PBD[LBU[BWYDOLG
PBD[LBU[BWGDWD>Q@
;
図 3-19 : 標準的なデー タ 受信
フ ロー制御
こ のセ ク シ ョ ンでは、 Aurora 8B/10B コ アの フ ロ ー制御方法について説明 し ます。 フ レー ミ ン グ イ ン タ ーフ ェ イ ス を
使用す る コ アの場合、 オプシ ョ ン で 2 つの フ ロ ー制御 イ ン タ ーフ ェ イ ス があ り ま す。 ネ イ テ ィ ブ フ ロ ー制御 (NFC)
は、 受信側の フルデ ュ プ レ ッ ク ス チ ャ ネルでデー タ 転送レー ト を制御す る 場合に使用 さ れ ます。 ユーザー フ ロ ー制
御 (UFC) は、 動作を制御す る 際に優先順位の高い メ ッ セージに対応す る ために使用 さ れます。
X-Ref Target - Figure 3-20
$XURUD%0RGXOH
6WDWXV
&RQWURO
8VHU,QWHUIDFH
7;'DWD
5;'DWD
8)&7;'DWD
8)&7;5HT
8)&5;'DWD
8VHU)ORZ&RQWURO
8)&,QWHUIDFH
8)&5;6WDWXV&WUO
8)&7;$FN
8)&7;0HVVDJH6L]H
1)&5HT
1DWLYH)ORZ&RQWURO
1)&,QWHUIDFH
1)&$FN
1)&1XPEHURI,GOHV
&RQWURO
6WDWXV
7UDQVFHLYHU,QWHUIDFH
7;37;1
&ORFN0RGXOH
&ORFNLQJ
&ORFN
&RPSHQVDWLRQ
0RGXOH
:DUQ&&
5;35;1
&ORFN,QWHUIDFH
&ORFNLQJ
&ORFN
&RPSHQVDWLRQ
'R&&
;
図 3-20 : 最上位のフ ロー制御
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
57
第 3 章 : コ ア を使用するデザイ ン
ネ イ テ ィ ブ フ ロー制御
表 3-10 にネ イ テ ィ ブ フ ロ ー制御 (NFC) の コ ー ド を示 し ます。 こ れ ら の値は、 Big Endian 形式の場合はビ ッ ト [0:3] で
駆動 さ れ、 Little Endian 形式の場合は [3:0] で駆動 さ れます。
表 3-10 : NFC の コ ー ド
S_AXI_NFC_NB
要求 さ れる ア イ ド ル サイ ク ル
0000
0 (XON)
0001
2
0010
4
0011
8
0100
16
0101
32
0110
64
0111
128
1000
256
1001 ~ 1110
予約済み
1111
無制限 (XOFF)
Aurora 8B/10B プ ロ ト コ ルに含 ま れ る ネ イ テ ィ ブ フ ロ ー制御 (NFC) では、 デー タ ス ト リ ーム内に挿入す る ア イ ド ル
デー タ ビー ト を指定す る こ と に よ っ て、 レ シーバー側でデー タ 送信 さ れ る レー ト を制御で き ます。 ト ラ ン ス ミ ッ タ ー
に一時的に ア イ ド ルのみ を 送信す る よ う に要求す る こ と で、 デー タ フ ロ ー を 完全に無効にす る こ と も で き ま す
(XOFF)。通常、NFC は FIFO のオーバーフ ロ ーを防ぐ ために使用 さ れます。 NFC 動作 と NFC コ ー ド の詳細は、『Aurora
8B/10B 製品仕様 v2.2』 [参照 4] を参照 し て く だ さ い。
NFC メ ッ セージ をチ ャ ネル パー ト ナーへ送信する には、 ユーザー アプ リ ケーシ ョ ンが s_axi_nfc_req を アサー ト
し て NFC コ ー ド を s_axi_nfc_nb に書 き 込みます。 NFC コ ー ド は、 チ ャ ネル パー ト ナーが TX デー タ ス ト リ ーム
内 に 挿入すべ き ア イ ド ル サ イ ク ル の 最少数 を 示 し ま す。 ユ ー ザ ー ア プ リ ケ ー シ ョ ン は、 s_axi_nfc_ack が
user_clk の立ち上が り エ ッ ジでアサー ト さ れ、 Aurora 8B/10B コ アが NFC メ ッ セージ を送信す る こ と を示すま で、
s_axi_nfc_req と s_axi_nfc_nb を ホール ド し ま す。 Aurora 8B/10B コ アは、 NFC メ ッ セージ を送信 し てい る 間
は、 デー タ を送信で き ません。 s_axi_tx_tready は、 s_axi_nfc_ack のアサー ト 後のサ イ ク ルで常にデ ィ アサー
ト さ れます。
例 A : NFC メ ッ セージの送信
図 3-21 は、ユーザー アプ リ ケーシ ョ ンがチ ャ ネル パー ト ナーへ NFC メ ッ セージ を送信す る 際の タ イ ミ ン グの例を し
てい ます。
注記 : s_axi_tx_tready 信号が 1 サ イ ク ル間デ ィ アサー ト さ れ (n が 2 以上の場合)、 デー タ フ ロ ーにギ ャ ッ プが生
じ てい ます。 こ の と き 、 NFC メ ッ セージが送信 さ れます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
58
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-21
XVHUBFON
VBD[LBW[BWNHHS>Q@
VBD[LBW[BWODVW
VBD[LBW[BWYDOLG
VBD[LBW[BWUHDG\
VBD[LBQIFBUHT
VBD[LBQIFBDFN
VBD[LBQIFBQE>@
VBD[LBW[BWGDWD>Q@
;
図 3-21 : NFC メ ッ セージの送信
例 B : NFC ア イ ド ルが挿入 さ れた メ ッ セージの受信
図 3-22 は、 NFC メ ッ セージが受信 さ れ る TX ユーザー イ ン タ ーフ ェ イ ス での信号の例を示 し てい ま す。 こ の場合、
NFC メ ッ セージには 2 デー タ ビー ト のア イ ド ルを要求す る 0001 コ ー ド が含ま れてい ます。 コ アは、 要求を満たす
のに十分なア イ ド ルが送信 さ れ る ま で、 ユーザー イ ン タ ーフ ェ イ ス上で s_axi_tx_tready 信号をデ ィ アサー ト し
ます。 こ の例では、 コ アは Immediate NFC モー ド で動作 し てい ます。 Completion モー ド での動作 も 可能ですが、 こ の
場合、 NFC ア イ ド ルの挿入はフ レーム と フ レームの間のみ と な り ます。 Completion モー ド で フ レーム送信中に コ アが
NFC メ ッ セージ を受信す る 場合は、 フ レームの送信を終了 し た後に s_axi_tx_tready をデ ィ アサー ト し て ア イ ド
ルを挿入 し ます。
X-Ref Target - Figure 3-22
XVHUBFON
VBD[LBW[BWNHHS>Q@
VBD[LBW[BWODVW
VBD[LBW[BWYDOLG
VBD[LBW[BWUHDG\
VBD[LBW[BWGDWD>Q@
;
図 3-22 : NFC ア イ ド ルが挿入 さ れた メ ッ セージの送信
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
59
第 3 章 : コ ア を使用するデザイ ン
ユーザー フ ロー制御
Aurora 8B/10B コ アには、 チ ャ ネル パー ト ナーが独立 し た イ ンバン ド チ ャ ネルを使用 し て制御情報を送信で き る よ う
にす る ユーザー フ ロ ー制御があ り ます。 動作中の フ レームの最後が現れ る ま で待機 し な く て も 、 コ ア チ ャ ネル パー
ト ナーへ短い UFC メ ッ セージ を送信で き ます。 UFC メ ッ セージは、 標準の フ レーム デー タ と チ ャ ネルを共有 し ます
が、 高い優先順位で処理 さ れます。
UFC メ ッ セージの送信
UFC メ ッ セ ー ジ は、 2 ~ 16 ま で の 偶 数 バ イ ト 数 の デー タ を 伝搬 で き ま す。 ユ ー ザ ー ア プ リ ケ ー シ ョ ン は、
s_axi_ufc_tx_ms ポー ト で SIZE コ ー ド を駆動 し て メ ッ セージの長 さ を指定 し ます。 表 3-11 に UFC の有効な SIZE
コ ー ド 値を示 し ます。
表 3-11 : SIZE エ ン コ ー ド
SIZE フ ィ ール ド の内容
UFC メ ッ セージ サイ ズ
000
2 バイ ト
001
4 バイ ト
010
6 バイ ト
011
8 バイ ト
100
10 バ イ ト
101
12 バ イ ト
110
14 バ イ ト
111
16 バ イ ト
UFC メ ッ セージ を送信す る ため、 任意の SIZE コ ー ド で s_axi_ufc_tx_ms ポー ト を駆動 し てい る 間、 ユーザー ア
プ リ ケーシ ョ ンが s_axi_ufc_tx_req を アサー ト し ます。s_axi_ufc_tx_req 信号は、Aurora 8B/10B コ アが UFC
メ ッ セージの送信準備が整っ た こ と を示す s_axi_ufc_tx_ack 信号を アサー ト す る ま で、アサー ト 状態を保持す る
必要が あ り ま す。 UFC メ ッ セージのデー タ は、 デー タ イ ン タ ーフ ェ イ ス の s_axi_tx_tdata ポー ト に配置 さ れ、
s_axi_ufc_tx_ack がアサー ト さ れた後の最初のサ イ ク ルで送信 さ れます。 s_axi_tx_tdata ポー ト が UFC デー
タ 用に使用 さ れてい る 間、 コ アは s_axi_tx_tready をデ ィ アサー ト し ます。
注記 : UFC 要求は、現在の UFC 要求が完了 し た後にのみ与え ら れ る べき で、連続する UFC 要求は IP で受信 さ れない
可能性があ り ます。
図 3-23 に通常デー タ の送信か ら UFC デー タ の送信へ TX_D を切 り 換え る 回路を示 し てい ます。
X-Ref Target - Figure 3-23
$XURUD%%&RUH
5HJXODU'DWD
VBD[LBW[BWGDWD
8)&'DWD
'DWD,QWHUIDFH
VBD[LBW[BWUHDG\
8)&,QWHUIDFH
;
図 3-23 : デー タ 切 り 換え回路
61 ページの表 3-12 は、 AXI4-Stream デー タ イ ン タ ーフ ェ イ ス の幅に応 じ て異な る サ イ ズの UFC メ ッ セージ を送信す
る ために必要なサ イ ク ル数を示 し てい ます。 すべての メ ッ セージ デー タ の準備が整 う ま で UFC メ ッ セージ を開始 し
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
60
第 3 章 : コ ア を使用するデザイ ン
てはいけ ません。 通常デー タ と は異な り 、 s_axi_ufc_tx_ack がアサー ト さ れた後に UFC メ ッ セージ を中断す る
こ と はで き ません。
表 3-12 : UFC メ ッ セージの送信に必要なデー タ ビー ト 数
AXI4 イ ン タ ー
フ ェ イ ス幅
UFC メ ッ セージ
S_AXI_UFC_TX_MS 値
2 バイ ト
0
1
4 バイ ト
1
2
6 バイ ト
2
3
8 バイ ト
3
10 バ イ ト
4
12 バ イ ト
5
6
14 バ イ ト
6
7
16 バ イ ト
7
8
2 バイ ト
0
4 バイ ト
1
6 バイ ト
2
8 バイ ト
3
10 バ イ ト
4
12 バ イ ト
5
14 バ イ ト
6
16 バ イ ト
7
2 バイ ト
0
4 バイ ト
1
6 バイ ト
2
8 バイ ト
3
10 バ イ ト
4
12 バ イ ト
5
14 バ イ ト
6
16 バ イ ト
7
2 バイ ト
0
4 バイ ト
1
6 バイ ト
2
8 バイ ト
3
10 バ イ ト
4
12 バ イ ト
5
14 バ イ ト
6
16 バ イ ト
7
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
デー タ ビー ト 数
2 バイ ト
4
5
AXI4 イ ン タ ー
フ ェ イ ス幅
デー タ ビー ト 数
1
10 バ イ ト
2
1
2
4 バイ ト
1
12 バ イ ト
3
4
2
1
6 バイ ト
2
14 バ イ ト
3
1
2
1
8 バイ ト
16 バ イ ト 以上
1
2
japan.xilinx.com
61
第 3 章 : コ ア を使用するデザイ ン
例 A : シ ングル サイ ク ル UFC メ ッ セージの送信
図 3-24 に、 シ ン グル サ イ ク ル UFC メ ッ セージの送信プ ロ セ ス を示 し ます。 こ の場合、 4 バ イ ト の イ ン タ ーフ ェ イ ス
に 4 バ イ ト の メ ッ セージが送信 さ れてい ます。
注記 : s_axi_tx_tready 信号が 2 サ イ ク ル間デ ィ アサー ト さ れてい ます。 Aurora 8B/10B コ アは、 デー タ フ ロ ー内
の こ のギ ャ ッ プ を使用 し て UFC ヘ ッ ダー と メ ッ セージ デー タ を送信 し ます。
X-Ref Target - Figure 3-24
XVHUBFON
VBD[LBXIFBW[BUHT
VBD[LBXIFBW[BDFN
VBD[LBXIFBW[BPV>@
VBD[LBW[BWUHDG\
VBD[LBW[BWGDWD>Q@
;
図 3-24 : シ ングル サイ クル UFC メ ッ セージの送信
例 B : 複数サイ クルの UFC メ ッ セージの送信
図 3-25 に、 2 サ イ ク ルの UFC メ ッ セージ送信プ ロ セ ス を示 し ます。 こ の場合、 ユーザー アプ リ ケーシ ョ ンは 2 バ イ
ト の イ ン タ ーフ ェ イ ス を使用 し て 4 バ イ ト の メ ッ セージ を送信 し てい ます。s_axi_tx_tready 信号が 3 サ イ ク ル間
アサー ト さ れてい ます。s_axi_ufc_tx_ack がアサー ト さ れてい る サ イ ク ルで送信 さ れ る UFC ヘ ッ ダー用に 1 サ イ
ク ルあ り 、 その他 UFC デー タ 用に 2 サ イ ク ルあ り ます。
X-Ref Target - Figure 3-25
XVHUBFON
VBD[LBXIFBW[BUHT
VBD[LBXIFBW[BDFN
VBD[LBXIFBW[BPV>@
VBD[LBW[BWUHDG\
VBD[LBW[BWGDWD>Q@
;
図 3-25 : 複数サイ クルの UFC メ ッ セージの送信
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
62
第 3 章 : コ ア を使用するデザイ ン
ユーザー フ ロー制御 メ ッ セージの受信
Aurora 8B/10B コ アが UFC メ ッ セージ を受信する 場合、 専用の UFC AXI4-Stream イ ン タ ーフ ェ イ ス経由で メ ッ セージ
デ ー タ を ユ ー ザ ー ア プ リ ケ ー シ ョ ン へ渡 し ま す。 デー タ は m_axi_ufc_rx_tdata ポ ー ト に 現 れ ま す。
m_axi_ufc_rx_tvalid が メ ッ セ ー ジ デー タ の 開始 を 示 し 、 m_axi_ufc_rx_tlast が 終 わ り を 示 し ま す。
m_axi_ufc_rx_tkeep を使用 し て、 メ ッ セージの最後のサ イ ク ル (例 : m_axi_ufc_rx_tlast がアサー ト さ れて
い る 間) に m_axi_ufc_rx_tdata 上で有効 と な る バ イ ト 数を表 し ます。 m_axi_ufc_rx AXI4-Stream イ ン タ ーフ ェ
イ ス上の信号は、 m_axi_ufc_rx_tvalid がアサー ト さ れてい る 場合のみ有効です。
例 C : シ ン グル サイ クルの UFC メ ッ セージの受信
図 3-26 は、 4 バ イ ト の UFC メ ッ セージ を受信する 4 バ イ ト デー タ イ ン タ ーフ ェ イ ス の Aurora 8B/10B コ ア を示 し て
い ます。 コ アは、 m_axi_ufc_rx_tvalid と m_axi_ufc_rx_tlast を アサー ト し て シ ン グル サ イ ク ル フ レーム
であ る こ と を示 し 、 ユーザー アプ リ ケーシ ョ ンに こ のデー タ を送信 し てい ます。 m_axi_ufc_rx_tkeep は 4'hF に
設定 さ れ、 イ ン タ ーフ ェ イ ス の最高位バ イ ト 4 つのみが有効であ る こ と を示 し てい ます。
X-Ref Target - Figure 3-26
XVHUBFON
PBD[LBXIFBU[BWYDOLG
PBD[LBXIFBU[BWODVW
PBD[LBXIFBU[BWNHHS>Q@
PBD[LBXIFBU[BWGDWD>Q@
;
図 3-26 : シ ン グル サイ クルの UFC メ ッ セージの受信
例 D : 複数サイ クルの UFC メ ッ セージの受信
図 3-27 は、 8 バ イ ト の UFC メ ッ セージ を受信する 4 バ イ ト デー タ イ ン タ ーフ ェ イ ス の Aurora 8B/10B コ ア を示 し て
い ます。
注記 : 最終的な フ レームの長 さ は 2 サ イ ク ル分 と な り 、 2 つ目のサ イ ク ルで m_axi_ufc_rx_tkeep が 4'hF に設定
さ れ、 デー タ イ ン タ ーフ ェ イ ス の 4 バ イ ト すべてのデー タ が有効であ る こ と を示 し てい ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
63
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-27
XVHUBFON
VBD[LBXIFBW[BUHT
VBD[LBXIFBW[BDFN
VBD[LBXIFBW[BPV>@
VBD[LBW[BWUHDG\
VBD[LBW[BWGDWD>Q@
;
図 3-27 : 複数サイ ク ルの UFC メ ッ セージの受信
ス テー タ ス、 制御、 および ト ラ ン シーバー イ ン タ ー
フ ェ イス
Aurora 8B/10B コ アの ス テー タ スお よ び制御ポー ト に よ っ て、 ユーザー アプ リ ケーシ ョ ンは Aurora 8B/10B チ ャ ネル
を モニ タ ーで き 、 ま た GTP/GTX/GTH ト ラ ン シーバーのビル ト イ ン機能を使用で き る よ う にな り ます。 Aurora 8B/10B
コ アは、 フルデ ュ プ レ ッ ク ス ま たはシ ンプ レ ッ ク ス モジ ュ ール と し て構成で き ます。
フルデ ュ プ レ ッ ク ス モジ ュ ールは高速の TX お よ び RX リ ン ク を提供 し ます。シ ンプ レ ッ ク ス モジ ュ ールは単方向の
みの リ ン ク を提供 し 、 サ イ ド バン ド ポー ト を使用 し て初期化ま たはビル ト イ ン タ イ マーで初期化 さ れ ます。 こ のセ
ク シ ョ ン では、 Aurora 8B/10B コ アの ス テー タ スお よ び制御 イ ン タ ーフ ェ イ ス の図を示 し 、 それ ら のポー ト について
説明 し ます。 ま た、 シ ンプ レ ッ ク ス モジ ュ ールでのみ使用 さ れ る GTP/GTX/GTH ト ラ ン シーバーのシ リ アル I/O イ ン
タ ーフ ェ イ スお よ びサ イ ド バン ド 初期化ポー ト について説明 し ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
64
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-28
$XURUD%%0RGXOH
6WDWXV
&RQWURO
8VHU,QWHUIDFH
&KDSWHU
7;'DWD
5;'DWD
8)&7;'DWD
8)&7;5HT
8)&5;'DWD
8VHU)ORZ&RQWURO
8)&,QWHUIDFH
8)&5;6WDWXV&WUO
&KDSWHU
8)&7;$FN
8)&7;0HVVDJH6L]H
1)&5HT
1DWLYH)ORZ&RQWURO
1)&,QWHUIDFH
1)&1XPEHURI,GOHV
1)&$FN
&KDSWHU
&RQWURO
6WDWXV
7UDQVFHLYHU,QWHUIDFH
*73,QWHUIDFH
7;37;1
&ORFN0RGXOH
&KDSWHU
&ORFN
&RPSHQVDWLRQ
0RGXOH
&KDSWHU
&ORFNLQJ
:DUQ&&
'R&&
&KDSWHU
&ORFN,QWHUIDFH
&KDSWHU
5;35;1
&ORFNLQJ
&ORFN
&RPSHQVDWLRQ
&KDSWHU
図 3-28 : 最上位 ト ラ ン シーバー イ ン タ ー フ ェ イ ス
フ ルデ ュ プ レ ッ ク ス コ ア
フ ルデ ュ プ レ ッ ク スのス テー タ スお よび制御ポー ト
フルデ ュ プ レ ッ ク ス コ アには、 送信お よ び受信用の Aurora 8B/10B チ ャ ネル接続があ り ます。 図 3-29 は、 フルデ ュ プ
レ ッ ク ス Aurora 8B/10B コ アの ス テー タ スお よ び制御 イ ン タ ーフ ェ イ ス を示 し てい ます。
X-Ref Target - Figure 3-29
ORRSEDFN
KDUGBHUU
SRZHUBGRZQ
UHVHW
JWBUHVHW
LQLWBFON
VRIWBHUU
)XOO'XSOH[
6WDWXVDQG
&RQWURO
,QWHUIDFH
U[S
U[Q
IUDPHBHUU
FKDQQHOBXS
ODQHBXS
W[S
W[Q
;
図 3-29 : フル デ ュ プ レ ッ ク ス コ アのス テー タ スおよび制御イ ン タ ー フ ェ イ ス
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
65
第 3 章 : コ ア を使用するデザイ ン
フ ルデ ュ プ レ ッ ク ス コ アのエ ラ ー信号
装置の問題やチ ャ ネル ノ イ ズが原因 と な り 、Aurora 8B/10B チ ャ ネル動作中にエ ラ ーが生 じ る 場合があ り ます。8B/10B
エン コー ド に よ っ て、 Aurora 8B/10B コ アはチ ャ ネル内で生 じ たすべてのシ ン グル ビ ッ ト エ ラ ーお よ びマルチビ ッ ト
エ ラ ーを検出で き ます。 コ アは、 検出 し たすべてのサ イ ク ルで soft_err 信号を アサー ト し て、 こ れ ら のエ ラ ーを
レ ポー ト し ます。
ま た、 バ ッ フ ァ ーのオーバーフ ロ ー /ア ン ダーフ ロ ーや ロ ッ ク の損失な ど、 各 ト ラ ン シーバーを モニ タ ー し てハー ド
ウ ェ ア エ ラ ーを検出 し ます。 こ の場合、 hard_err 信号を アサー ト し てハー ド ウ ェ ア エ ラ ーを レ ポー ト し ます。 多
数の ソ フ ト エ ラ ーが生 じ た場合 も 致命的なハー ド ウ ェ ア エ ラ ー と な り ます。 コ アは、 Aurora 8B/10B プ ロ ト コ ル仕様
に定義 さ れ て い る リ ー キ ー バ ケ ッ ト ア ル ゴ リ ズ ム を 使用 し て短い期間に生 じ た 多数の ソ フ ト エ ラ ー を 検出 し 、
hard_err 信号を アサー ト し ます。
ハー ド エ ラ ーが検出 さ れ る と 常に、 Aurora 8B/10B コ アが自動的に リ セ ッ ト を ト リ ガー し て再初期化を行い ます。 通
常、 こ のプ ロ セ ス に よ っ てハー ド エ ラ ーの原因が修正 さ れ る と すぐ に Aurora 8B/10B チ ャ ネルが再構築 さ れます。 ソ
フ ト エ ラ ーの場合は、 短期間に多数のエ ラ ーが生 じ て Aurora 8B/10B コ アが リ ーキー バケ ッ ト アルゴ リ ズ ム を使用
し なければな ら ない状況でない限 り 、 リ セ ッ ト さ れません。
AXI4-Stream デー タ イ ン タ ーフ ェ イ ス を使用する Aurora 8B/10B コ アは、Aurora 8B/10B フ レーム内のエ ラ ー も 検出で
き ます。 こ のエ ラ ーには、 フ レームにデー タ がない、 フ レーム内に連続 し て Start of Frame シ ン ボルや End of Frame シ
ン ボルが存在す る な ど が あ り ま す。 コ アは フ レ ーム エ ラ ーを検出す る と 、 frame_err 信号を ア サー ト し ま す。 フ
レーム エ ラ ーの主な原因は ソ フ ト エ ラ ーであ る ため、 通常 こ の信号には soft_err 信号のアサー ト が伴い ます。
表 3-13 では、 Aurora 8B/10B コ アが検出で き る エ ラ ー状況、 お よ びユーザー アプ リ ケーシ ョ ンへの警告に使用 さ れ る
エ ラ ー信号を示 し てい ます。
表 3-13 : フ ルデ ュ プ レ ッ ク ス コ アのエ ラ ー信号
信号
説明
hard_err
TX のオーバーフ ロ ー /ア ン ダーフ ロ ー : TX デー タ 用のエ ラ ス テ ィ ッ ク バ ッ フ ァ ーのオー
バーフ ロ ー /ア ン ダーフ ロ ーを示 し てい ます。 こ れは、 ユーザー ク ロ ッ ク と 基準 ク ロ ッ ク の
ソ ース が同 じ 周波数で動作 し ていない場合に生 じ ます。
RX のオーバーフ ロ ー /ア ン ダーフ ロ ー : RX デー タ 用のエ ラ ス テ ィ ッ ク バ ッ フ ァ ーのオー
バーフ ロ ー /ア ン ダーフ ロ ーを示 し てい ます。 こ れは、 2 つのチ ャ ネル パー ト ナーの ク ロ ッ
ク ソ ース周波数が ±100ppm 以内でない場合に生 じ ます。
不正な制御文字 : プ ロ ト コ ル エ ン ジ ン が不正な制御文字を送信 し よ う と し てい ます。 こ れ
は、 デザ イ ンの破損や致命的なエ ラ ーを示 し てい ます。
ソ フ ト エ ラ ー : 短い期間に多数の ソ フ ト エ ラ ーが生 じ ます。 Aurora 8B/10B プ ロ ト コ ルは、
一定期間内に許容可能な ソ フ ト エ ラ ー数を判断す る ために リ ーキー バケ ッ ト アルゴ リ ズ
ム を定義 し てい ます。 こ の数を超え る と 、 現在の電圧幅 と プ リ エ ン フ ァ シ ス の設定では通
信用の物理的な接続性が低下する 可能性があ り ます。
soft_err
無効 コ ー ド : チ ャ ネル パー ト ナーか ら 受信 し た 10 ビ ッ ト コ ー ド が 8B/10B テーブルの有効
な コ ー ド でない こ と を示 し ま す。 つま り 、 伝搬中に ビ ッ ト が破損 し 、 正 し い コ ー ド が認識
不可能にな っ た こ と を意味 し ます。 通常、 こ れは結果的に フ レーム エ ラ ーや現在のチ ャ ネ
ル フ レームの破損に も つなが り ます。
デ ィ ス パ リ テ ィ エ ラ ー : チ ャ ネル パー ト ナーか ら 受信 し た 10 ビ ッ ト コ ー ド に適切なデ ィ
ス パ リ テ ィ がなか っ た こ と を示 し ま す。 こ のエ ラ ーは、 正 し い コ ー ド が伝搬中に破損 し た
こ と で生 じ る 場合 も あ り 、 結果的に フ レーム エ ラ ー、 ま たはフ レーム送信中に生 じ た場合
は不正デー タ が生 じ る 可能性があ り ます。
frame_err
不完全な フ レ ーム : 前のチ ャ ネル フ レ ーム が終了す る 前に新 し いチ ャ ネル フ レ ー ム が開
始 さ れた り 、 チ ャ ネル フ レ ーム が開始 さ れてい ないのに終了 し た こ と を 示 し ま す。
無効な制御文字 : プ ロ ト コ ル エ ン ジ ン が、 認識で き ない制御文字 を 受信 し た こ と を示 し
ま す。
デー タ な し フ レ ー ム : デー タ を 含 ま な い チ ャ ネ ル フ レ ー ム が受信 さ れ た こ と を 示 し ま
す。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
66
第 3 章 : コ ア を使用するデザイ ン
フ ルデ ュ プ レ ッ ク スの初期化
フルデ ュ プ レ ッ ク ス コ アは、 電源投入後、 リ セ ッ ト 後、 ま たはハー ド エ ラ ー発生後に自動的に初期化を実行 し ます。
チ ャ ネルの両側の フ ルデ ュ プ レ ッ ク ス モジ ュ ールが、 チ ャ ネルの使用準備が整 う ま で Aurora 8B/10B の初期化プ ロ
シージ ャ を実行 し ます。 lane_up バ ス は、 チ ャ ネル内の ど の レーンが初期化プ ロ シージ ャ の レーン初期化プ ロ セ ス
を完了 し たか を示 し ます。こ の信号は、複数レーン チ ャ ネルで装置問題をデバ ッ グする 際に役立ち ます。channel_up
は、 コ アがすべての初期化プ ロ シージ ャ を完了 し た場合のみアサー ト さ れます。
Aurora 8B/10B コ アは、 channel_up がアサー ト さ れ る ま でデー タ を受信で き ません。 入力 さ れ る デー タ の適性判断
には、 ユーザー イ ン タ ーフ ェ イ ス の m_axi_rx_tvalid 信号のみ使用 さ れ ます。 channel_up がアサー ト さ れ る
ま でデー タ 転送は行われないため、 channel_up 信号を反転 さ せて使用 し 、 フ ルデ ュ プ レ ッ ク ス チ ャ ネルの TX 側
を駆動す る モジ ュ ールを リ セ ッ ト で き ます。 デー タ を受信す る 前にユーザー アプ リ ケーシ ョ ン モジ ュ ールを リ セ ッ
ト す る 必要があ る 場合は、 いずれかの lane_up 信号を反転 し て使用で き ます。 デー タ は、 すべての lane_up 信号
がアサー ト さ れ る ま で受信 さ れません。
注記 : チ ャ ネルの初期化プ ロ セ ス で使用す る ウ オ ッ チ ド ッ グ タ イ マーを制御す る WATCHDOG_TIMEOUT パ ラ メ ー
タ ーは channel_init_sm モジ ュ ールにあ り ます。
シンプレ ッ クス コア
シ ン プ レ ッ ク ス TX のス テー タ スおよび制御ポー ト
シ ンプ レ ッ ク ス TX コ アに よ っ て、 ユーザー アプ リ ケーシ ョ ンはシ ンプ レ ッ ク ス RX コ アへデー タ を送信で き る よ う
にな り ます。 こ の コ アには RX 接続があ り ません。 図 3-30 は、 シ ンプ レ ッ ク ス TX コ アの ス テー タ スお よ び制御 イ ン
タ ーフ ェ イ ス を示 し てい ます。
X-Ref Target - Figure 3-30
W[BKDUGBHUU
SRZHUBGRZQ
W[BV\VWHPBUHVHW
W[BDOLJQHG
W[BERQGHG
W[BYHULI\
6LPSOH[7;
6WDWXVDQG
&RQWURO
,QWHUIDFH
W[BFKDQQHOBXS
W[BODQHBXS
W[S
W[Q
W[BUHVHW
;
図 3-30 : シ ン プ レ ッ ク ス TX コ アのス テー タ スお よび制御イ ン タ ー フ ェ イ ス
シ ン プ レ ッ ク ス RX のス テー タ スおよび制御ポー ト
シ ンプ レ ッ ク ス RX コ アに よ っ て、 ユーザー アプ リ ケーシ ョ ンはシ ンプ レ ッ ク ス TX コ アか ら デー タ を受信で き る よ
う にな り ます。 図 3-31 は、 シ ンプ レ ッ ク ス RX コ アの ス テー タ スお よ び制御 イ ン タ ーフ ェ イ ス を示 し てい ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
67
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-31
SRZHUBGRZQ
U[BKDUGBHUU
U[BV\VWHPBUHVHW
VRIWBHUU
IUDPHBHUU
6LPSOH[5;
6WDWXVDQG
&RQWURO
,QWHUIDFH
U[BFKDQQHOBXS
U[BODQHBXS
U[BDOLJQHG
U[BERQGHG
U[S
U[BYHULI\
U[Q
U[BUHVHW
;
図 3-31 : シ ン プ レ ッ ク ス RX コ アのス テー タ スおよび制御イ ン タ ー フ ェ イ ス
シ ン プ レ ッ ク ス コ アのエ ラ ー信号
8B/10B エン コ ー ド に よ っ て、 RX シ ンプ レ ッ ク ス コ アはシ ンプ レ ッ ク ス チ ャ ネル内で生 じ たすべてのシ ン グル ビ ッ
ト エ ラ ー と ほ と ん ど のマルチビ ッ ト エ ラ ーを検出で き ます。 コ アは、 検出 し たすべてのサ イ ク ルで soft_err 信号
を アサー ト し て、 こ れ ら のエ ラ ーを レ ポー ト し ま す。 TX シ ン プ レ ッ ク ス コ アには soft_err ポー ト が含ま れ ま せ
ん。 装置の問題が生 じ ていない限 り 、 送信時のすべての送信デー タ は正 し い値であ る と 考え ら れます。
ま た、 すべ て の シ ン プ レ ッ ク ス コ ア は、 バ ッ フ ァ ーの オーバー フ ロ ー / ア ン ダー フ ロ ーや ロ ッ ク の損失な ど、 各
GTP/GTX ト ラ ン シーバーを モニ タ ー し てハー ド ウ ェ ア エ ラ ーを検出 し ます。 チ ャ ネルの TX 側でのハー ド ウ ェ ア エ
ラ ーは、tx_hard_err 信号のアサー ト で レ ポー ト さ れます。RX 側のハー ド エ ラ ーは、rx_hard_err 信号のアサー
ト で レ ポー ト さ れます。 シ ンプ レ ッ ク ス RX コ アは、 多数の ソ フ ト エ ラ ーを検証す る ために Aurora 8B/10B プ ロ ト コ
ルの リ ーキー バケ ッ ト アルゴ リ ズ ム を使用 し ます。 短期間に多数の ソ フ ト エ ラ ーが生 じ た場合は、 rx_hard_err
がアサー ト さ れます。
ハー ド エ ラ ーが検出 さ れ る と 常に、 Aurora 8B/10B コ アが自動的に リ セ ッ ト を ト リ ガー し て再初期化を行い ます。 通
常、 こ のプ ロ セ ス に よ っ てハー ド エ ラ ーの原因が修正 さ れ る と すぐ に Aurora 8B/10B チ ャ ネルが再構築 さ れます。 ソ
フ ト エ ラ ーの場合は、 短期間に多数のエ ラ ーが生 じ て Aurora 8B/10B コ アが リ ーキー バケ ッ ト アルゴ リ ズ ム を使用
し なければな ら ない状況でない限 り 、 リ セ ッ ト さ れません。
AXI4-Stream デー タ イ ン タ ーフ ェ イ ス を使用す る シ ンプ レ ッ ク ス RX コ アは、 受信時に Aurora 8B/10B フ レーム内の
エ ラ ー も 検出で き ます。 こ のエ ラ ーには、 フ レームにデー タ がない、 フ レーム内に連続 し て Start of Frame シ ン ボルや
End of Frame シ ン ボルが存在す る な ど があ り ます。 コ アはフ レーム エ ラ ーを検出す る と 、 frame_err 信号を アサー
ト し ます。 フ レーム エ ラ ーの主な原因は ソ フ ト エ ラ ーであ る ため、 通常 こ の信号には soft_err 信号のアサー ト が
伴い ます。 シ ンプ レ ッ ク ス TX モジ ュ ールは、 frame_err ポー ト を使用 し ません。
表 3-14 では、 シ ンプ レ ッ ク ス Aurora 8B/10B コ アが検出で き る エ ラ ー状況、お よ びユーザー アプ リ ケーシ ョ ンへの警
告に使用 さ れ る エ ラ ー信号を示 し てい ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
68
第 3 章 : コ ア を使用するデザイ ン
表 3-14 : シ ン プ レ ッ ク ス コ アのエ ラ ー信号
信号
TX
説明
TX のオーバー フ ロ ー /ア ン ダー フ ロ ー : TX デー タ 用のエ ラ ス テ ィ ッ ク バ ッ フ ァ ーのオー
バーフ ロ ー /ア ン ダーフ ロ ーを示 し てい ます。 こ れは、 ユーザー ク ロ ッ ク と 基準 ク ロ ッ ク の
ソ ース が同 じ 周波数で動作 し ていない場合に生 じ ます。
x
RX のオーバー フ ロ ー /ア ン ダー フ ロ ー : RX デー タ 用のエ ラ ス テ ィ ッ ク バ ッ フ ァ ーのオー
バーフ ロ ー /ア ン ダーフ ロ ーを示 し てい ます。 こ れは、 2 つのチ ャ ネル パー ト ナーの ク ロ ッ ク
ソ ース周波数が ±100ppm 以内でない場合に生 じ ます。
hard_err
不正な制御文字 : プ ロ ト コ ル エン ジ ンが不正な制御文字を送信 し よ う と し てい ます。こ れは、
デザ イ ンの破損や致命的なエ ラ ーを示 し てい ます。
soft_err
x
x
ソ フ ト エ ラ ー : 短い期間に多数の ソ フ ト エ ラ ーが生 じ てい ます。 Aurora 8B/10B プ ロ ト コ ル
で リ ーキー バケ ッ ト アルゴ リ ズ ムが定義 さ れ、 一定期間内に許容 さ れ る ソ フ ト エ ラ ー数が
判断 さ れます。 こ の数を超え る と 、 通信において現在の電圧幅 と プ リ エン フ ァ シ ス の設定で
は物理的に接続の品質が低下す る 可能性があ り ます。
x
無効 コ ー ド : チ ャ ネル パー ト ナーか ら 受信 し た 10 ビ ッ ト コ ー ド が 8B/10B テーブルの有効な
コ ー ド でない こ と を示 し ます。 つま り 、 伝搬中に ビ ッ ト が破損 し 、 正 し い コ ー ド が認識不可
能にな っ た こ と を意味 し てい ます。 通常、 こ れは結果的にフ レーム エ ラ ーや現在のチ ャ ネル
フ レームの破損に も つなが り ます。
x
デ ィ ス パ リ テ ィ エ ラ ー : チ ャ ネル パー ト ナーか ら 受信 し た 10 ビ ッ ト コ ー ド に適切なデ ィ ス
パ リ テ ィ がなか っ た こ と を示 し ます。 こ のエ ラ ーは、 正 し い コ ー ド が伝搬中に破損 し た こ と
で生 じ る 場合 も あ り 、 結果的に フ レーム エ ラ ー、 ま たはフ レーム送信中に生 じ た場合は不正
デー タ が生 じ る 可能性があ り ます。
x
デー タ な し フ レーム : デー タ を含ま ないチ ャ ネル フ レームが受信 さ れた こ と を示 し ます。
x
不完全な フ レ ー ム : 前のチ ャ ネル フ レーム が終了す る 前に新 し いチ ャ ネル フ レーム が開始
さ れた り 、 チ ャ ネル フ レームが開始 さ れていないのに終了 し た こ と を示 し ます。
frame_err
RX
x
無効な制御文字 : プ ロ ト コ ル エン ジ ンが、認識で き ない制御文字を受信 し た こ と を示 し ます。
x
無効な UFC メ ッ セージの長 さ : 受信 し た UFC メ ッ セージの長 さ が無効で あ る こ と を示 し
ま す。
x
シ ン プ レ ッ ク スの初期化
シ ンプ レ ッ ク ス コ アの初期化動作は、Aurora 8B/10B チ ャ ネルか ら の信号を使用 し ません。その代わ り に、シ ンプ レ ッ
ク ス チ ャ ネルの TX お よ び RX 側がサ イ ド バン ド 初期化信号セ ッ ト を使用 し て、 それぞれの初期化ス テー ト に対応 し
ます。 こ れ ら の初期化ポー ト は、 aligned、 bonded、 verify、 お よ び reset です。 TX 側の信号セ ッ ト には TX_
prefix が付 き 、 RX 側の信号セ ッ ト には RX_ prefix が付 き ます。 bonded ポー ト は、 マルチ レーン コ アでのみ使用 さ れ
ます。
サ イ ド バン ド 初期化信号を使用す る シ ンプ レ ッ ク ス モジ ュ ールの初期化方法には 2 と お り あ り ます。
•
RX サ イ ド バン ド 初期化ポー ト か ら TX サ イ ド バン ド 初期化ポー ト へ情報を送信する
•
一定の初期化 イ ン タ ーバルを使用 し て、 RX サ イ ド バン ド 初期化ポー ト と は無関係に TX サ イ ド バン ド 初期化
ポー ト を駆動す る
こ れ ら の初期化方法については、 次のセ ク シ ョ ンで説明 し ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
69
第 3 章 : コ ア を使用するデザイ ン
バ ッ ク チ ャ ネルの使用
RX 側か ら TX 側への通信チ ャ ネルがない場合は、 バ ッ ク チ ャ ネルを使用 し てシ ンプ レ ッ ク ス チ ャ ネルの初期化お よ
び保持を行 う 方法が最 も 安全です。 バ ッ ク チ ャ ネルにおいてはほ と ん ど要件があ り ません。 信号が変化す る 際に ど ち
ら のサ イ ド バン ド 初期化信号がアサー ト さ れ る かを示す メ ッ セージ を TX 側へ送信す る こ と だけが要件 と な り ます。
example_design デ ィ レ ク ト リ にあ る シ ンプ レ ッ ク ス Aurora 8B/10B コ ア を使用す る Aurora サンプル デザ イ ンでは、 デ
バ イ ス上の 3 つま たは 4 つの I/O ピ ン を使用する シ ンプルなサ イ ド チ ャ ネルを示 し てい ます。
タ イ マーの使用
一部のシ ス テ ムではバ ッ ク チ ャ ネルを使用で き ません。 こ の よ う な場合は、 タ イ マーを使用 し て TX シ ンプ レ ッ ク ス
の初期化 ロ ジ ッ ク を駆動す る こ と で、 シ リ アル チ ャ ネルを初期化で き ます。 初期化に必要な平均時間は、 ク ロ ッ ク
レー ト 、 チ ャ ネル レ イ テ ン シ、 レーン間の ス キ ュ ー、 ノ イ ズ な ど チ ャ ネル特有の さ ま ざ ま な条件に よ っ て異な る た
め、 シ ス テ ム の 要件 を 満 た す よ う に 十分配慮 し て タ イ マ ー を 設計す る 必要 が あ り ま す。 C_ALIGNED_TIMER、
C_BONDED_TIMER、 お よ び C_VERIFY_TIMER を使用 し て、 tx_aligned、 tx_bonded、 お よ び tx_verify 信号
を それぞれ ア サー ト し ま す。 こ れ ら の タ イ マーは、 厳 し い条件の論理シ ミ ュ レ ーシ ョ ン で取得 し た 値 を 使用 し 、
<component name>_core モジ ュ ールに イ ンプ リ メ ン ト さ れます。
注記 : こ れ ら の信号は、 チ ャ ネルの実際の ス テー ト でア ッ プデー ト さ れ る のではな く 、 タ イ マー終了後にア ッ プデー
ト さ れます。
Aurora 8B/10B モジ ュ ールの一部の初期化 ロ ジ ッ ク は、 ウ ォ ッ チ ド ッ グ タ イ マーを使用 し てデ ッ ド ロ ッ ク を回避 し ま
す。 こ れ ら の ウ ォ ッ チ ド ッ グ タ イ マーはチ ャ ネルの RX 側で使用 さ れ、 TX 初期化 タ イ マーの正常動作に干渉す る 可
能性があ り ます。 RX シ ンプ レ ッ ク ス モジ ュ ールが aligned、 bonded、 ま たは verify か ら reset へ遷移す る 場
合、 その理由が TX ロ ジ ッ ク が こ れ ら のいずれかの ス テー ト に時間を費や し すぎ る ためではない こ と を確認 し て く だ
さ い。 シ ス テ ム要件を満たすために著 し く 長い タ イ マーが必要な場合は、 モジ ュ ールを編集す る こ と で ウ ォ ッ チ ド ッ
グ タ イ マーを調整で き ます。 通常、 こ の方法はほ と ん ど必要な く 、 推奨 さ れてい ません。
通常、 Aurora 8B/10B チ ャ ネルの再初期化は、 エ ラ ー時にのみ実行 さ れ ます。 通常、 エ ラ ーを検出す る のは RX 側で
あ り 、 それに対応す る のが TX 側 と な る ため、 バ ッ ク チ ャ ネルがない場合は、 ほ と ん ど のエ ラ ーに対 し て イ ベン ト 駆
動の再初期化が不可能です。 こ の問題の解決方法 と し て、 タ イ マー駆動型 TX シ ンプ レ ッ ク ス モジ ュ ールを使用 し て
定期的に再初期化を行 う 方法があ り ます。 万が一、 致命的なエ ラ ーが生 じ た場合で も 、 次の再初期化周期でチ ャ ネル
が リ セ ッ ト さ れて再び動作 し ます。 シ ス テ ム設計者は、 シ ス テ ムがチ ャ ネルの動作不能状態を許容で き る 最大時間に
対 し 、再初期化に必要な平均時間のバ ラ ン ス を考慮 し て、シ ス テ ムの最適な再初期化周期を決定す る 必要があ り ます。
注記 : チ ャ ネルの初期化プ ロ セ ス で使用す る ウ ォ ッ チ ド ッ グ タ イ マーを制御す る WATCHDOG_TIMEOUT パ ラ メ ー
タ ーは、 tx_channel_init_sm/rx_channel_init_sm モジ ュ ールにあ り ます。
リ セ ッ ト およびパワー ダウ ン
リセッ ト
制御お よ びス テー タ ス イ ン タ ーフ ェ イ ス の リ セ ッ ト 信号を使用 し て、 Aurora 8B/10B コ ア を既知のデフ ォ ル ト 状態に
設定 し ます。 コ ア を リ セ ッ ト す る と 、 現在動作 し てい る すべてのチ ャ ネルが停止 し ます。 リ セ ッ ト 後、 コ アはチ ャ ネ
ルを初期化 し ます。
フルデ ュ プ レ ッ ク ス モジ ュ ールの場合、 user_clk の立ち上が り エ ッ ジで RESET 信号がアサー ト さ れ る と 、 チ ャ ネ
ルの両側 (TX お よ び RX) が リ セ ッ ト さ れます。 シ ンプ レ ッ ク ス モジ ュ ールの場合、 TX チ ャ ネル と RX チ ャ ネルの リ
セ ッ ト 信号は独立 し てい ます。 tx_system_reset が TX チ ャ ネルを リ セ ッ ト し 、 rx_system_reset が RX チ ャ ネ
ル を リ セ ッ ト し ま す。 tx_system_reset は、 シ ン プ レ ッ ク ス の サ イ ド バ ン ド イ ン タ ー フ ェ イ ス で使用 さ れ る
tx_reset お よ び rx_reset 信号 と は分け て考え ら れ ます。 gt_reset 信号は、 ト ラ ン シーバーを リ セ ッ ト し 、 最
終的に Aurora コ ア を リ セ ッ ト し ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
70
第 3 章 : コ ア を使用するデザイ ン
リセッ ト
使用ケース 1 : デ ュ プ レ ッ ク ス コ アにおけ る リ セ ッ ト のアサー ト
デ ュ プ レ ッ ク ス コ アでの reset 信号のアサー ト は、 少な く と も user_clk 信号の 6 サ イ ク ル分必要です。 こ れを受
けて、 図 3-32 に示す よ う に、 user_clk の 3 サ イ ク ル後に channel_up がデ ィ アサー ト さ れます。
X-Ref Target - Figure 3-32
XVHUBFON
UHVHW
FKDQQHOBXS
図 3-32 : デ ュ プ レ ッ ク ス コ アにおける RESET のアサー ト
使用ケース 2 : デ ュ プ レ ッ ク ス コ アにおけ る gt_reset のアサー ト
図 3-33 では、 デ ュ プ レ ッ ク ス コ アにおけ る gt_reset 信号のアサー ト を示 し てい ます。 こ の信号のアサー ト は、 少
な く と も init_clk の 6 サ イ ク ル分必要です。その結果、ト ラ ン シーバーか ら の txoutclk がな く な り 、channel_up
がデ ィ アサー ト さ れ る ため、 数 ク ロ ッ ク サ イ ク ル後には user_clk が停止 し ます。
X-Ref Target - Figure 3-33
LQLWBFON
JWBUHVHW
XVHUBFON
FKDQQHOBXS
図 3-33 : デ ュ プ レ ッ ク ス コ アにおける gt_reset のアサー ト
使用ケース 3 : シ ン プ レ ッ ク ス コ アにおけ る tx_system_reset および
rx_system_reset のアサー ト
X-Ref Target - Figure 3-34
dyͺ/W
Zyͺ/W
^ŝŵƉůĞdžͲdy
ŽƌĞ
^ŝŵƉůĞdžͲZy
ŽƌĞ
Zyͺ/WͺƐLJƐƚĞŵͺƌĞƐĞƚ
ƌdžͺƐLJƐƚĞŵͺƌĞƐĞƚ
図 3-34 : シ ン プ レ ッ ク ス コ ア を含むシ ス テム
図 3-34 は、 シ ス テ ム内で接続 さ れた シ ン プ レ ッ ク ス TX コ ア と シ ン プ レ ッ ク ス RX コ ア を示 し てい ま す。 TX_IP と
RX_IP は、 1 つの同 じ デバ イ ス ま たは複数のデバ イ ス内に含め る こ と がで き ます。
図 3-35 では、シ ンプ レ ッ ク ス コ アにおけ る tx_system_reset 信号 と rx_system_reset 信号の推奨 さ れ る アサー
ト プ ロ セ ス を示 し てい ます。
1.
tx_system_reset と rx_system_reset が少な く と も user_clk の 6 サ イ ク ル分アサー ト さ れます。
2.
3 ク ロ ッ ク サ イ ク ル後に tx_channel_up と rx_channel_up がデ ィ アサー ト さ れます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
71
第 3 章 : コ ア を使用するデザイ ン
3.
tx_system_reset がデ ィ アサー ト さ れた後に rx_system_reset がデ ィ アサー ト ( リ リ ース) さ れます。 こ れ
で、 シ ンプ レ ッ ク ス TX コ アの ト ラ ン シーバーが初期化デー タ の送信を十分早 く 開始で き る よ う にな り 、 シ ンプ
レ ッ ク ス RX コ アが正 し いデー タ シーケ ン ス に揃 う 可能性が高ま り ます。
4.
tx_channel_up がアサー ト さ れ る 前に rx_channel_up がアサー ト さ れます。 こ の条件は、 シ ンプ レ ッ ク ス
RX コ ア で満た さ れな ければな ら ないため、 シ ン プ レ ッ ク ス TX コ アのシ ン プ レ ッ ク ス タ イ マー パ ラ メ ー タ ー
(C_ALIGNED_TIMER、 C_BONDED_TIMER、 お よ び C_VERIFY_TIMER) を調整 し て こ の条件を満たす必要があ
り ます。
5.
シ ンプ レ ッ ク ス
TX
コ アが
Aurora
チ ャ ネル初期化シーケ ン ス の送信を設定 さ れた時間で完了す る と 、
tx_channel_up がアサー ト さ れます。 tx_channel_up が最後にアサー ト さ れ る こ と に よ っ て、 シ ンプ レ ッ ク
ス RX コ アの準備が整っ た状態でシ ンプ レ ッ ク ス TX コ アが確実に Aurora 初期化シーケ ン ス を送信 し ます。
X-Ref Target - Figure 3-35
XVHUBFON
W[BV\VWHPBUHVHW
U[BV\VWHPBUHVHW
W[BFKDQQHOBXS
U[BFKDQQHOBXS
図 3-35 : シ ン プ レ ッ ク ス コ アにおける tx_system_reset および rx_system_reset のアサー ト
推奨 さ れる Aurora コ アの リ セ ッ ト シーケ ン ス :
図 3-36 に、 推奨 さ れ る リ セ ッ ト シーケ ン ス を示 し ます。
X-Ref Target - Figure 3-36
86(5B&/.
5(6(7
*7B5(6(7
図 3-36 : 推奨 さ れる リ セ ッ ト シーケ ン ス
1.
reset 信号は、 少な く と も user_clk の 6 サ イ ク ル分アサー ト さ れます。
2.
reset がデ ィ アサー ト さ れた後に gt_reset 信号がアサー ト さ れます。
こ のシーケ ン ス を行 う こ と に よ っ て、 user_clk が失われ る 前に フ ァ ブ リ ッ ク ロ ジ ッ ク に リ セ ッ ト が適用 さ れ る よ
う にな り ます。
パワーダウン
こ れはア ク テ ィ ブ High の信号です。 powerdown がアサー ト さ れ る と 、 Aurora 8B/10B コ アの GTX/GTH/GTP ト ラ ン
シーバーの電源が切断 さ れ、 非動作の低電力モー ド に切 り 替わ り ます。 powerdown がデ ィ アサー ト さ れ る と 、 コ ア
は自動的に リ セ ッ ト を実行 し ます。 powerdown がデ ィ アサー ト さ れた後、 ト ラ ン シーバー ユーザー ガ イ ド に従っ て
gtreset を アサー ト す る 必要があ り ます。
注意 : tx_out_clk を使用す る コ アで こ の信号を アサー ト す る 場合には注意が必要です (38 ページの 「シ リ アル ト
ラ ン シーバーの基準 ク ロ ッ ク イ ン タ ーフ ェ イ ス」 参照)。 GTP、 GTX、 お よ び GTH ト ラ ン シーバーの電源が切断 さ れ
る と 、 tx_out_clk 信号は停止 し ま す。 詳細は、 『7 シ リ ー ズ FPGA GTX/GTH ト ラ ン シ ーバー ユーザー ガ イ ド 』
(UG476) [参照 3] お よ び 『UltraScale アーキ テ ク チ ャ GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG576) [参照 1] を参照
し て く だ さ い。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
72
第 4章
コ アの機能
ス ク ラ ン ブ ラ ー /デス ク ラ ン ブ ラ ーの使用
デ ー タ 用 に イ ン プ リ メ ン ト さ れ て い る 16 ビ ッ ト の 付加 ス ク ラ ン ブ ラ ー /デ ス ク ラ ン ブ ラ ー が <component
name>_scrambler.v[hd] モジ ュ ールにあ り ます。 ス ク ラ ンブ ラ ーは、 次の多項式を実行 し ます。
G(x) = X16 + X5 + X4 + X3 + 1
こ れで、 長期間にわた っ て反復的なデー タ が現れ る こ と はあ り ません。 ス ク ラ ンブ ラ ー /デス ク ラ ンブ ラ ーは、 ク ロ ッ
ク 補正文字の送信/受信にそれぞれ同期 し ます。ス ク ラ ンブ ラ ー と デス ク ラ ンブ ラ ーのシー ド 値を同時に ロ ー ド す る た
めに、 DO_CC を送信す る 必要があ り ます。 し たが っ て、 Vivado® IDE で [Scrambler/Descrambler] がオ ン の場合には、
Aurora サンプル デザ イ ンに付属す る standard_cc_module を必ず使用 し て く だ さ い。
CRC の使用
ユーザー
デー タ 用に イ ン プ リ メ ン ト さ れ て い る
16
ビ ッ ト ま たは
32
ビッ トの
CRC
が
<component name>_crc_top.v[hd] モジ ュ ールにあ り ます。 2 バ イ ト デザ イ ン用には CRC16 が生成 さ れ、 4 バ
イ ト デザ イ ン用には CRC32 が生成 さ れ ます。 crc_valid 信号 と crc_pass_fail_n 信号が、 受信 し た CRC と 送
信 し た CRC の結果を示 し ます (表 4-1 参照)。
表 4-1 : CRC モ ジ ュ ールのポー ト
ポー ト 名
方向
説明
crc_valid
出力
crc_pass_fail_n 信号をサンプルする ア ク テ ィ ブ High 信号です。
出力
受信 し た
CRC
が 送信 し た
CRC
と 一致す る 場合 に、
crc_pass_fail_n がアサー ト さ れ ます。 受信 し た CRC が送信 し
た CRC と 一致 し な い 場合、 こ の 信号 は ア サ ー ト さ れ ま せ ん。
crc_pass_fail_n 信号は、 常に crc_valid 信号を使用 し てサン
プル さ れます。
crc_pass_fail_n
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
73
第 4 章 : コ アの機能
Vivado ラ ボ ツールの使用
Vivado® ラ ボ ツールの ICON コ アお よび VIO (Virtual Input Output) コ アは、 ボー ド のデザ イ ン をデバ ッ グお よび検証す
る のに役立ち ます。 こ れ ら の コ アは、 Aurora 8B/10B コ ア と 共に提供 さ れます。 コ アの Vivado IDE 環境で [Vivado Lab
Tools] チ ェ ッ ク ボ ッ ク ス をオンに し て 、 サンプル デザ イ ンの一部 と し て含め ます。 あ る いは、 イ ンプ リ メ ン テーシ ョ
ン を実行する前に <component name>_exdes モジ ュールの USE_CHIPSCOPE パ ラ メ ータ ーを 1 に設定 し ます。
ホ ッ ト プラグ ロジ ッ ク
Aurora 8B/10B のホ ッ ト プ ラ グ ロ ジ ッ ク は、 受信 し た ク ロ ッ ク 補正文字に基づき ます。 Aurora の RX イ ン タ ーフ ェ イ
ス で ク ロ ッ ク 補正文字を受信す る と い う こ と は、 通信チ ャ ネルが有効つま り 破損 し ていない こ と を意味 し ます。 あ ら
か じ め指定 し た時間に ク ロ ッ ク 補正文字が受信 さ れない場合は、ホ ッ ト プ ラ グ ロ ジ ッ ク が コ ア と ト ラ ン シーバーを リ
セ ッ ト し ます。 Aurora 8B/10B デザ イ ンでは、 ク ロ ッ ク 補正モジ ュ ールを使用する 必要があ り ます。 ホ ッ ト プ ラ グ ロ
ジ ッ ク を 無効にす る 場合は、 <component name>_hotplug.v[hd] モ ジ ュ ールの ENABLE_HOTPLUG パ ラ メ ー
タ ーを 0 に設定 し て く だ さ い。 こ れに よ り 、 受信デー タ に ク ロ ッ ク 補正文字がない場合に、 ホ ッ ト プ ラ グ ロ ジ ッ ク に
よ っ て コ アが繰 り 返 し リ セ ッ ト さ れ る こ と はあ り ません。
ホ ッ ト プ ラ グ回路は、 フ リ ー ラ ン ニ ン グ ク ロ ッ ク の init_clk で ク ロ ッ ク 供給 さ れます。 init_clk の周波数について
は、 init_clk_in ポー ト の説明を参照 し て く だ さ い。 RX_CC は USER_CLK ド メ イ ンで生成 さ れ、 USER_CLK 周波
数は Aurora コ ア コ ン フ ィ ギ ュ レーシ ョ ンの ラ イ ン レー ト お よ びレーン幅に よ っ て異な り ます。 こ の多様な周波数に
対応す る ため、 ホ ッ ト プ ラ グ ロ ジ ッ ク は、 USER_CLK ド メ イ ンで RX_CC を 8 ク ロ ッ ク 周期単位で拡張 し ます。
[Little Endian Support] の使用
Aurora IP コ アは、 デフ ォ ル ト でビ ッ グ エンデ ィ ア ン形式のユーザー イ ン タ ーフ ェ イ ス をサポー ト し てい ます。 オプ
シ ョ ン で リ ト ル エンデ ィ ア ン形式 も サポー ト し 、 AXI4-Stream 準拠の IP コ アにシーム レ ス に接続 し ます。 リ ト ル エ
ンデ ィ ア ン形式を指定す る 場合は、 Vivado IDE で [Little Endian Support] をオンに し ます。 こ の設定は、 ユーザー デー
タ イ ン タ ーフ ェ イ ス、 UFC イ ン タ ーフ ェ イ ス、 お よ び NFC イ ン タ ーフ ェ イ ス に適用 さ れます。 ポー ト の変更につい
ては、 該当す る イ ン タ ーフ ェ イ ス を参照 し て く だ さ い。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
74
第 5章
デザイ ン フ ローの手順
こ の章では、 Aurora コ アのカ ス タ マ イ ズ と 生成、 制約、 お よ びシ ミ ュ レーシ ョ ン/合成/ イ ンプ リ メ ン テーシ ョ ン手順
について説明 し ます。一般的な IP イ ン テ グ レー タ ーの Vivado® デザ イ ン フ ロ ーについては、次の Vivado Design Suite
ユーザー ガ イ ド を参照 し て く だ さ い。
•
『Vivado Design Suite ユーザー ガ イ ド : IP イ ン テ グ レー タ ーを使用 し た IP サブシ ス テ ムの設計』 (UG994) [参照 6]
•
『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896) [参照 7]
•
『Vivado Design Suite ユーザー ガ イ ド : 入門』 (UG910) [参照 8]
•
『Vivado Design Suite ユーザー ガ イ ド : ロ ジ ッ ク シ ミ ュ レーシ ョ ン』 (UG900) [参照 9]
コ アのカ ス タ マ イ ズおよび生成
こ のセ ク シ ョ ンでは、 Vivado® Design Suite 環境で Aurora 8B/10B コ ア を カ ス タ マ イ ズお よ び生成す る ための、 ザ イ リ
ン ク ス ツールの使用方法について説明 し ます。
注記 : こ の コ アは、 IP イ ン テ グ レー タ ーをサポー ト し てい ますが、 グ レーア ウ ト 表示 さ れてい る パ ラ メ ー タ ーはあ り
ません。 IP イ ン テ グ レー タ ーでは、 すべてのダ イ ア ロ グ ボ ッ ク ス オプシ ョ ンが表示 さ れてお り 、 ユーザーが選択で
き ます。
Vivado IP イ ン テ グ レー タ ーで コ ア を カ ス タ マ イ ズお よ び生成す る 場合は、『Vivado Design Suite ユーザー ガ イ ド : IP イ
ン テ グ レー タ ーを使用 し た IP サブシ ス テ ム の設計』 (UG994) [参照 6] を参照 し て く だ さ い。 IP イ ン テ グ レー タ ーは、
デザ イ ンの検証ま たは生成時に一部の コ ン フ ィ ギ ュ レーシ ョ ン値を自動的に計算す る 場合があ り ます。 値が変更 さ れ
る か否か を確認す る には、 こ の章のパ ラ メ ー タ ーの説明を参照 し て く だ さ い。 ま たパ ラ メ ー タ ー値を確認す る には、
Tcl コ ン ソ ールで validate_bd_design コ マ ン ド を実行 し ます。
Vivado 統合設計環境 (IDE) では、 IP コ アに関連付け ら れてい る さ ま ざ ま なパ ラ メ ー タ ーの値を指定 し 、 デザ イ ン で使
用す る ために IP を カ ス タ マ イ ズで き ます。 カ ス タ マ イ ズは次の手順に従っ て行い ます。
1.
IP カ タ ロ グか ら IP を選択 し ます。
2.
選択 し た IP を ダブル ク リ ッ ク す る か、 ツールバーま たは右 ク リ ッ ク メ ニ ュ ーで、 [Customize IP] コ マ ン ド を選択
し ます。
詳細は、 『Vivado Design Suite ユーザー ガ イ ド : Designing with IP』 (UG896) [参照 7] お よ び 『Vivado Design Suite ユー
ザー ガ イ ド : 入門』 (UG910) [参照 8] を参照 し て く だ さ い。
注記 : こ の章の図には Vivado IDE の ス ク リ ーン シ ョ ッ ト が使用 さ れてい ますが、現在のバージ ョ ン と はレ イ ア ウ ト が
異な る 場合があ り ます。
Vivado 統合設計環境 (IDE)
Aurora 8B/10B コ アは、 IP カ タ ロ グ ツールを使用 し て、 さ ま ざ ま な要件に対応す る よ う にカ ス タ マ イ ズで き ます。 こ
の章では、カ ス タ マ イ ズで き る パ ラ メ ー タ ーについて説明 し 、ま た [Customize IP] ウ ィ ン ド ウ で こ れ ら のパ ラ メ ー タ ー
を指定す る 方法を説明 し ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
75
第 5 章 : デザイ ン フ ローの手順
IP カ タ ログの使用
IP カ タ ロ グで Aurora 8B/10B コ ア を選択す る と 、 Aurora 8B/10B IP のカ ス タ マ イ ズ画面が表示 さ れます。 IP カ タ ロ グ
の使用に関す る 詳細は、 Vivado デザ イ ン ツールの資料を参照 し て く だ さ い。
IP のカ ス タ マ イ ズ
図 5-1 は、 デフ ォ ル ト オプシ ョ ンのカ ス タ マ イ ズ画面を示 し てい ます。 画面左側には、 設定 さ れた Aurora 8B/10B コ
アのブ ロ ッ ク 図が表示 さ れます。 右側には、 ユーザーが指定で き る パ ラ メ ー タ ーが表示 さ れます。
81 ページの図 5-4 には、Virtex®-7 お よ び Kintex®-7 FPGA GTX/GTH ト ラ ン シーバーを タ ーゲ ッ ト と す る Vivado 統合
設計環境 (IDE) の 2 つ目の タ ブ [GT Selections] を示 し てい ます。
X-Ref Target - Figure 5-1
図 5-1 : Zynq-7000 および 7 シ リ ーズ デバイ ス用の Aurora 8B/10B コ アのカ ス タ マ イ ズ オプ シ ョ ン
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
76
第 5 章 : デザイ ン フ ローの手順
X-Ref Target - Figure 5-2
図 5-2 : UltraScale 用の Aurora 8B/10B コ アのカ ス タ マ イ ズ オプ シ ョ ン
[Component Name]
こ のテ キ ス ト ボ ッ ク ス には、 コ アの最上位の名前を入力 し ます。 規則外の名前が入力 さ れ る と 、 修正 さ れ る ま で赤色
表示 さ れます。
デフ ォ ル ト : aurora_8b10b_0
[Lane Width]
コ アで使用 さ れ る GTX/GTH/GTP ト ラ ン シーバーのバ イ ト 幅を選択 し ます。
こ のパ ラ メ ー タ ーは、 ト ラ ン シーバーの TXDATA/RXDATA 幅を定義す る と 同時に、 ユーザー イ ン タ ー フ ェ イ ス の
デー タ バ ス幅を定義 し ます。 有効な値は、 2 と 4 です。
デフ ォ ル ト : 2
[Line Rate]
0.5 (Gb/s) ~ 6.6 (Gb/s) の浮動小数点値を入力 し ます。
こ れに よ っ て、 シ リ アル リ ン ク にデー タ が転送 さ れ る 際のエン コ ー ド さ れない ビ ッ ト レー ト が決定 し ます。 コ アの
合計デー タ レー ト は、 (0.8 x ラ イ ン レー ト ) x Aurora 8B/10B レーンで求め る こ と がで き ます。 ラ イ ン レー ト は、 選択
し たデバ イ ス の ス ピー ド グ レー ド と パ ッ ケージに基づいて制限 さ れます。
最大レー ト 値については、各 FPGA デバ イ ス のデー タ シー ト を参照 し て く だ さ い。Aurora 8B/10B コ アは、最大 6.6Gb/s
ま での ラ イ ン レー ト をサポー ト し ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
77
第 5 章 : デザイ ン フ ローの手順
デフ ォ ル ト : 3.125Gb/s
[GT Refclk (MHz)]
ド ロ ッ プダ ウ ン リ ス ト か ら ト ラ ン シーバーの基準 ク ロ ッ ク の周波数を選択 し ます。こ れ ら の基準 ク ロ ッ ク 周波数は メ
ガヘルツ (MHz) 単位で表示 さ れ、 選択 し た ラ イ ン レー ト よ っ て異な り ます。 最良の結果を得 る には、 タ ーゲ ッ ト デ
バ イ ス の基準 ク ロ ッ ク 入力に実際に適用で き る 最大レー ト を選択 し ます。
デフ ォ ル ト : 125.000MHz
[Dataflow Mode]
Aurora 8B/10B コ アがサポー ト す る チ ャ ネルの方向を選択 し ます。 シ ンプ レ ッ ク ス Aurora 8B/10B コ アには、 相補関係
にあ る シ ンプ レ ッ ク ス 8B/10B コ アに接続する 単方向のシ ン グル シ リ アル ポー ト があ り ます。 有効なオプシ ョ ンは、
[RX-only Simplex]、 [TX-only Simplex]、 お よ び [Duplex] です。 詳細は、 64 ページの 「ス テー タ ス、 制御、 お よ び ト ラ
ン シーバー イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
デフ ォ ル ト : Duplex
[Interface]
コ ア に使用 さ れ る デー タ パ ス イ ン タ ー フ ェ イ ス の種類を選択 し ま す。 任意の長 さ のデー タ フ レ ーム を 送信で き る
AXI4-Stream イ ン タ ーフ ェ イ ス を使用す る 場合は、[Framing] を選択 し ます。デー タ 有効信号を使用 し て Aurora 8B/10B
チ ャ ネルを介 し てデー タ を転送す る シ ンプルな ワー ド ベース の イ ン タ ーフ ェ イ ス を使用する 場合は、[Streaming] を選
択 し ます。 詳細は、 46 ページの 「ユーザー デー タ イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
デフ ォ ル ト : Framing
[Flow Control]
必要なオプシ ョ ン を選択 し て、 コ アに フ ロ ーの制御を追加 し ます。 ユーザー フ ロ ー制御 (UFC) の場合は、 アプ リ ケー
シ ョ ン は Aurora 8B/10B チ ャ ネル を 介 し て高優先順位の短い メ ッ セージ を 送信で き ま す。 ネ イ テ ィ ブ フ ロ ー制御
(NFC) の場合は、 フ ルデ ュ プ レ ッ ク ス レ シ ーバーが送信 さ れ る デー タ の レ ー ト を 調節 で き る よ う に な り ま す。
[Immediate Mode] の場合は、 デー タ フ レーム の途中にア イ ド ル コ ー ド を挿入で き ますが、 [Completion Mode] の場合
は完了 し たデー タ フ レーム間にのみア イ ド ル コ ー ド を挿入で き ます。
使用可能なオプシ ョ ンは次の と お り です (詳細は 78 ページの 「[Flow Control]」 を参照)。
•
None
•
UFC
•
Immediate Mode – NFC
•
Completion Mode – NFC
•
UFC + Immediate Mode – NFC
•
UFC + Completion Mode – NFC
デフ ォ ル ト : None
[Back Channel]
シ ン プ レ ッ ク ス Aurora コ アの場合にのみ [Back Channel] を選択で き ます。 デ ュ プ レ ッ ク ス Aurora コ アの場合、 こ の
オプシ ョ ンは不要です。 使用可能なオプシ ョ ンは次の と お り です。
•
Sidebands
•
Timer
デフ ォ ル ト : Sidebands
注記 : [Sidebands] を使用す る RX のみのシ ンプ レ ッ ク ス デザ イ ン と [Timer] を使用する RX のみのシ ンプ レ ッ ク ス デ
ザ イ ンの機能的な違いはあ り ません。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
78
第 5 章 : デザイ ン フ ローの手順
[Scrambler/Descrambler]
Aurora 8B/10B デザ イ ン に 16 ビ ッ ト の付加ス ク ラ ン ブ ラ ー /デス ク ラ ン ブ ラ ーを追加す る 場合には、 こ のオプシ ョ ン
を オンに し ます。 詳細は、 第 4 章の 「ス ク ラ ンブ ラ ー /デス ク ラ ンブ ラ ーの使用」 を参照 し て く だ さ い。
デフ ォ ル ト : 未選択 (オ フ)
[Little Endian Support]
すべての イ ン タ ーフ ェ イ ス を リ ト ル エンデ ィ ア ン形式に変更する 場合は、 こ のオプシ ョ ン をオンに し ます。 詳細は、
第 4 章の 「[Little Endian Support] の使用」 を参照 し て く だ さ い。 コ アは、 デフ ォ ル ト で ビ ッ グ エンデ ィ ア ン形式を使
用 し ます。
デフ ォ ル ト : 未選択 (オ フ)
[Vivado Lab Tools]
Aurora 8B/10B コ ア に Vivado ラ ボ ツ ール を 追加す る 場合は、 こ の オ プ シ ョ ン を オ ン に し ま す。 詳細は、 第 4 章の
「Vivado ラ ボ ツールの使用」 を参照 し て く だ さ い。 こ のオプシ ョ ンに よ っ てデバ ッ グ イ ン タ ーフ ェ イ ス が提供 さ れ、
Vivado Logic Analyzer に コ アの ス テー タ ス信号が表示 さ れます。
デフ ォ ル ト : 未選択 (オ フ)
[CRC]
ユーザー デー タ に CRC を使用す る 場合は、 こ のオプシ ョ ン を オ ンに し ます。 レーン幅 (2 ま たは 4) に応 じ て、 コ ア
が CRC16 ま たは CRC32 のいずれか を イ ンプ リ メ ン ト し ます。詳細は、第 4 章の 「CRC の使用」 を参照 し て く だ さ い。
デフ ォ ル ト : 未選択 (オ フ)
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
79
第 5 章 : デザイ ン フ ローの手順
[Shared Logic]
X-Ref Target - Figure 5-3
図 5-3 : [Shared Logic] タ ブ
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
80
第 5 章 : デザイ ン フ ローの手順
ト ラ ン シーバー共有 PLL ブ ロ ッ ク と その ロ ジ ッ ク を IP コ ア ま たはサンプル デザ イ ンに含め る 場合、 こ のオプシ ョ ン
を オンに し ます。
使用可能なオプシ ョ ン :
•
[Include Shared Logic in Core]
•
[include shared logic in example design]
デフ ォ ル ト : [include shared logic in example design]
[Additional transceiver control and status ports]
コ アの最上位に ト ラ ン シーバーの制御ポー ト と ス テー タ ス ポー ト を含め る 場合には、 こ のオプシ ョ ン を オ ン に し ま
す。
デフ ォ ル ト : 未選択 (オ フ)
X-Ref Target - Figure 5-4
図 5-4 : Zynq-7000、 Virtex-7、 お よび Kintex-7 デバイ スの GTX ト ラ ン シーバーの [GT Selections] タ ブ
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
81
第 5 章 : デザイ ン フ ローの手順
X-Ref Target - Figure 5-5
図 5-5 : Virtex-7 FPGA GTH ト ラ ン シーバーの [GT Selections] タ ブ
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
82
第 5 章 : デザイ ン フ ローの手順
X-Ref Target - Figure 5-6
図 5-6 : Artix-7 FPGA GTP ト ラ ン シーバーの [GT Selections] タ ブ
[Column Used]
ド ロ ッ プダ ウ ン リ ス ト か ら 、 使用す る ト ラ ン シーバーの適切な列を選択 し ます。 こ のオプシ ョ ンは、 Virtex-7 お よ び
Kintex-7 デバ イ ス に対 し てのみ有効で、 その他のデバ イ ス では無効 と な り ます。
デフ ォ ル ト : left
[Rows]
ド ロ ッ プダ ウ ン リ ス ト か ら 、 使用す る ト ラ ン シーバーの適切な行を選択 し ます。 こ のオプシ ョ ンは、 Artix®-7 デバ イ
ス に対 し てのみ有効で、 その他のデバ イ ス では無効 と な り ます。
デフ ォ ル ト : top
[Lanes]
コ ア で使用 さ れ る レ ーン数 (GTP、 GTX、 ま たは GTH) を選択 し ま す。 有効は範囲は 1 ~ 16 で あ り 、 選択 し た タ ー
ゲ ッ ト デバ イ ス に よ っ て異な り ます。
デフ ォ ル ト : 1
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
83
第 5 章 : デザイ ン フ ローの手順
[Lane Assignment]
図 5-4 の イ ン フ ォ メ ーシ ョ ン エ リ ア (右側) の図を参照 し て く だ さ い。2 つの行 (4 つのボ ッ ク ス か ら 成 る) は、Virtex-7、
Kintex-7 FPGA、 お よ び Artix-7 FPGA におけ る ク ワ ッ ド を表 し てい ます。 それぞれのア ク テ ィ ブ ボ ッ ク ス には、 有効
な GTX、 GTH、 ま たは GTP ト ラ ン シーバーが示 さ れてい ます。 ツールチ ッ プが表示 さ れ、 ハー ド ウ ェ アに イ ンプ リ
メ ン ト さ れてい る ト ラ ン シーバーを確認で き ます。
Aurora 8B/10B コ アは、 あ ら か じ め定義 さ れた方法で ト ラ ン シーバーを配置 し ます。 ま た、 ト ラ ン シーバーの配置制
約 (LOC) を昇順に生成 し ます。 マ ウ ス でカー ソ ルを移動 し て、 7 シ リ ーズお よ び Zynq-7000 フ ァ ミ リ ベース のデザ イ
ンで選択 さ れてい る ト ラ ン シーバーを確認で き ます。 レーン選択で ど の よ う に番号が入力 さ れ る かに よ っ て、 ト ラ ン
シーバーの LOC やコ アの イ ンプ リ メ ン テーシ ョ ンが変更 さ れ る こ と はあ り ません。
推奨 : [Lane Assignment] は、 UltraScale アーキ テ ク チ ャ ベース のデザ イ ンにはあ り ません。 タ イ ミ ン グ ク ロ ージ ャ を
達成す る には、 連続的な レーン選択にす る こ と を強 く 推奨 し ます。
[GT Refclk1] および [GT Refclk2]
注記 : UltraScale デバ イ ス の場合、 [GT selections] タ ブはあ り ません。 Aurora IP コ アは、 あ ら か じ め判断 さ れた GT 選
択に基づいて生成 し ます。 デザ イ ン要件に応 じ て、 XDC で ト ラ ン シーバーの ロ ケーシ ョ ン を変更す る 必要があ り ま
す。
ド ロ ッ プダ ウ ン リ ス ト か ら GTP、 GTX、 ま たは GTH ク ワ ッ ド 用の基準 ク ロ ッ ク ソ ース を選択 し ます。
•
デフ ォル ト 設定 : Artix-7 FPGA GTP ト ラ ンシーバーの場合 は、 [GT Refclk1] – GTPQ0、 [GT Refclk2] - None
•
デフ ォル ト 設定 : Virtex-7 および Kintex-7 FPGA GTX ト ラ ンシーバーの場合は、 [GT Refclk1] – GTXQ0、 [GT Refclk2] - None
•
デフ ォル ト 設定 : Virtex-7 および Kintex-7 FPGA GTH ト ラ ンシーバーの場合は、 [GT Refclk1] – GTHQ0、 [GT Refclk2] - None
•
GTXQ<n>/GTHQ<n>/GTPQ<n> は、 選択 し たデバ イ スお よ びパ ッ ケージに基づいて異な り ます。
[Core Generation]
[OK] を ク リ ッ ク し て コ ア を生成 し ます。 Aurora 8B/10B コ アのモジ ュ ールは、 コ アの最上位 と 同 じ 名前で Vivado デザ
イ ン ツールのプ ロ ジ ェ ク ト デ ィ レ ク ト リ に書 き 込まれます。 example_design デ ィ レ ク ト リ お よ びフ ァ イ ルの詳細は、
84 ページの 「出力生成」 を参照 し て く だ さ い。
出力生成
カ ス タ マ イ ズ し た Aurora 8B/10B コ アは、 Vivado デザ イ ン ツールのプ ロ ジ ェ ク ト で選択 し た言語で HDL ソ ー ス モ
ジ ュ ール セ ッ ト と し てサポー ト フ ァ イ ル と 共に提供 さ れ ます。 こ れ ら の フ ァ イ ルは、 あ ら か じ め定義 さ れたデ ィ レ
ク ト リ 構造の中に配置 さ れます。 プ ロ ジ ェ ク ト デ ィ レ ク ト リ 名は、 こ のセ ク シ ョ ン で説明 し た と お り にプ ロ ジ ェ ク ト
作成時に IP カ タ ロ グで入力 し ます。 VHDL 言語を選択 し た場合、 Zynq-7000 お よ び 7 シ リ ーズ デバ イ ス では VHDL
ソ ース フ ァ イ ルが生成 さ れます。 一方、 UltraScale デバ イ ス をベース と す る デザ イ ンの場合、 IP コ アの上位 ラ ッ パー
フ ァ イ ルは VHDL で、 下位の ソ ース フ ァ イ ルは Verilog と な り ます。
詳細は、 『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896) [参照 7] を参照 し て く だ さ い。
コ アへの制約
こ こ では、 Vivado Design Suite 環境での Aurora コ ア制約について説明 し ます。
必要な制約
こ のセ ク シ ョ ンは、 こ の IP コ アには適用 さ れません。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
84
第 5 章 : デザイ ン フ ローの手順
デバイ ス、 パ ッ ケージ、 ス ピー ド グ レー ド の選択
こ のセ ク シ ョ ンは、 こ の IP コ アには適用 さ れません。
ク ロ ッ ク 周波数
Aurora 8B/10B コ アのサンプル デザ イ ンの ク ロ ッ ク 制約は、 次の 3 つのカ テ ゴ リ に分類 さ れます。
•
GT 基準 ク ロ ッ ク の制約
Aurora 8B/10B コ アは、 デザ イ ンに最小値の基準 ク ロ ッ ク を 1 つ と 最大値の基準 ク ロ ッ ク を 2 つ使用 し ます。 GT
基準 ク ロ ッ ク の数は、 ト ラ ン シーバーの選択に基づい て決定 さ れ ま す (Vivado IDE の 2 ページ目に あ る [Lane
Assignment])。 Vivado IDE の 1 ページ目で選択 し た GT REFCLK 値を使用 し て、 GT 基準 ク ロ ッ ク に制約を与え ま
す。 GT 基準 ク ロ ッ ク の制約には create_clock XDC コ マ ン ド が使用 さ れます。
•
TXOUTCLK ク ロ ッ ク の制約
TXOUTCLK は、GT ト ラ ン シーバーの適用 さ れ る 基準 ク ロ ッ ク と 分周値に基づいて GT ト ラ ン シーバーで生成 さ
れ ま す。 ラ イ ン レ ー ト と レ ー ン 幅 に 基 づ い て、 Aurora 8B/10B コ ア が TXOUTCLK 周 波 数 を 算出 し ま す。
TXOUTCLK の制約には、 create_clock XDC コ マ ン ド が使用 さ れます。
•
init_clk の制約
Aurora 8B/10B サンプル デザ イ ンは、 デバ ウ ン ス回路を使用 し て、 シ ス テ ム ク ロ ッ ク に よ っ て非同期で ク ロ ッ ク
供給 さ れ る GT_RESET 信号をサンプル し ます。
ザ イ リ ン ク ス では、 シ ス テ ム ク ロ ッ ク 周波数を GT 基準 ク ロ ッ ク 周波数 よ り 低 く する こ と を推奨 し てい ます。 シ
ス テ ム ク ロ ッ ク の制約には、 create_clock XDC コ マ ン ド が使用 さ れます。
ク ロ ッ ク 管理
該当な し
ク ロ ッ ク 配置
該当な し
バンキング
該当な し
ト ラ ン シーバーの配置
GT ト ラ ン シーバーの配置制約には、 set_property XDC コ マ ン ド が使用 さ れます。 Vivado IDE の 2 つ目のページに ツー
ルチ ッ プ と し て表示 さ れます。 参照用にサンプル XDC が提供 さ れてい ます。
I/O 規格および配置
正側の差動 ク ロ ッ ク 入力 ピ ン (末尾に _P が付 く ) と 負側の差動 ク ロ ッ ク 入力ピ ン (末尾に _N が付 く ) が GT 基準 ク
ロ ッ ク と し て使用 さ れます。 GT 基準 ク ロ ッ ク ピ ンの制約には、 set_property XDC コ マ ン ド が使用 さ れます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
85
第 5 章 : デザイ ン フ ローの手順
フ ォ ルス パス
init_clk と ユーザー ク ロ ッ ク には相互関係があ り ま せん。 こ れ ら の 2 つの ク ロ ッ ク 間には位相関係 も 存在 し ま せん。
こ れ ら の ク ロ ッ ク ド メ イ ン は、 フ ォ ル ス パ ス と し て 設定す る 必要 が あ り ま す。 フ ォ ル ス パ ス の 制約 に は、
set_false_path XDC コ マ ン ド が使用 さ れます。
サン プル デザイ ン XDC
生成 さ れた verilog サンプル デザ イ ンは、 2 バ イ ト レーン幅、 6.6Gb/s ラ イ ン レー ト 、 お よ び 660.0MHz の基準 ク ロ ッ
ク と し て コ ン フ ィ ギ ュ レーシ ョ ン さ れてい ます。XC7VX690T-FFG1761-2 デバ イ ス用に生成 さ れた XDC フ ァ イ ルは次
の と お り です。
----------------------## XDC generated for xc7vx690t-ffg1761-2 device
# 660.0MHz GT Reference clock constraint
create_clock -name GT_REFCLK1 -period 1.515 [get_pins IBUFDS_GTE2_CLK1/O]
####################### GT reference clock LOC #######################
set_property LOC AW9 [get_ports GTHQ1_N]
set_property LOC AW10 [get_ports GTHQ1_P]
# TXOUTCLK Constraint:Value is selected based on the line rate (6.6 Gb/s) and lane
width (2-Byte)
create_clock -name tx_out_clk_i -period 3.03 [get_pins
aurora_module_i/gt_wrapper_i/GTE2_INST/gthe2_i/TXOUTCLK]
# USER_CLK Constraint:Value is selected based on the line rate (6.6 Gb/s) and lane
width (2-Byte)
create_clock -name user_clk_i -period 3.03 [get_pins
clock_module_i/user_clk_buf_i/O]
# 50 MHz Board Clock Constraint
create_clock -name init_clk_i -period 20.000 [get_pins
reset_logic_i/init_clk_ibufg_i/O]
###### No cross clock domain analysis.Domains are not related ##############
set_false_path -from [get_clocks init_clk_i] -to [get_clocks user_clk_i]
set_false_path -from [get_clocks user_clk_i] -to [get_clocks init_clk_i]
set_false_path -from [get_clocks init_clk_i] -to [get_clocks tx_out_clk_i]
set_false_path -from [get_clocks tx_out_clk_i] -to [get_clocks init_clk_i]
############################### GT LOC ###################################
set_property LOC GTHE2_CHANNEL_X1Y4 [get_cells
aurora_module_i/inst/gt_wrapper_i/aurora_8b10b_v9_0_0_multi_gt_i/gt0_aurora_8b10b_v
9_0_0_i/gthe2_i]
上記の XDC は参照用です。 Vivado デザ イ ン ツールで コ アが生成 さ れ る 際にサンプル デザ イ ンの XDC が自動生成 さ
れます。
シ ミ ュ レーシ ョ ン
こ のセ ク シ ョ ンでは、 Vivado Design Suite 環境での IP シ ミ ュ レーシ ョ ンについて説明 し ます。 Vivado シ ミ ュ レーシ ョ
ン コ ン ポーネ ン ト について、 ま たサー ド パーテ ィ ツールでサポー ト さ れてい る も のについては、『Vivado Design Suite
ユーザー ガ イ ド : ロ ジ ッ ク シ ミ ュ レーシ ョ ン』 (UG900) [参照 9] を参照 し て く だ さ い。
Aurora IP コ アは、 サ ン プル デザ イ ン用のデモ テ ス ト ベン チ を提供 し ま す。 シ ミ ュ レ ーシ ョ ン ス テー タ ス は、 メ ッ
セージ で レ ポー ト さ れ ま す。 「TEST COMPLETED SUCCESSFULLY」 と い う メ ッ セージは、 サ ン プル デザ イ ン のシ
ミ ュ レーシ ョ ンが完了 し た こ と を示 し ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
86
第 5 章 : デザイ ン フ ローの手順
注記 : 「Reached max. simulation time limit」 と い う メ ッ セージは、シ ミ ュ レーシ ョ ンが正常に完了 し なか っ
た こ と を意味 し ます。 詳細は、 付録 C 「デバ ッ グ」 を参照 し て く だ さ い。
デ ュ プ レ ッ ク ス コ アのシ ミ ュ レーシ ョ ンは、 サンプル デザ イ ン生成後にシ ン グル ス テ ッ プで実行で き ます。 シ ンプ
レ ッ ク ス コ アのシ ミ ュ レーシ ョ ンには、 パー ト ナー コ アの生成が必要です。 パー ト ナー コ アは自動生成 さ れ、 [Open
IP Example Design] を ク リ ッ ク す る と 、 シ ミ ュ レーシ ョ ン フ ァ イ ル セ ッ ト の下に合成済みネ ッ ト リ ス ト が生成 さ れま
す。 シ ンプ レ ッ ク ス コ アのサンプル デザ イ ン を開 く 場合、 パー ト ナー コ ア を合成す る 必要があ る ため、 デ ュ プ レ ッ
ク ス サンプル デザ イ ンの生成 よ り も 多少時間がかか り ます。
注記 : シ ミ ュ レーシ ョ ン を実行す る 場合は、 [Lab Tools] を オンにす る 必要があ り ます。
詳細は、 『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896) [参照 7] を参照 し て く だ さ い。
合成および イ ン プ リ メ ン テーシ ョ ン
こ のセ ク シ ョ ンでは、Vivado® Design Suite 環境での合成お よ び イ ンプ リ メ ン テーシ ョ ンについて説明 し ます。合成お
よ び イ ン プ リ メ ン テーシ ョ ン の詳細は、 『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896) [参照 7]
を参照 し て く だ さ い。
イ ン プ リ メ ン テーシ ョ ン
概要
ク イ ッ ク ス タ ー ト サンプルには、 次の コ ン ポーネ ン ト が含まれます。
•
•
デフ ォ ル ト パ ラ メ ー タ ーを使用 し て生成 さ れた Aurora 8B/10B コ アの イ ン ス タ ン ス
°
単一 GTP ま たは GTX ト ラ ン シーバーを使用する フルデュ プ レ ッ ク ス
°
AXI4-Stream イ ン タ ーフ ェ イ ス
サンプル デザ イ ンの 2 つの イ ン ス タ ン ス を シ ミ ュ レーシ ョ ンす る ためのデモ用テ ス ト ベンチ
Aurora 8B/10B サンプル デザ イ ンは、 合成に関 し ては Vivado Design Suite で検証 さ れ、 シ ミ ュ レーシ ョ ンに関 し ては
Mentor Graphics Questa® で検証 さ れてい ます。
コ アの生成
Vivado デザ イ ン ツールを使用 し て、 デフ ォ ル ト 値で Aurora 8B/10B コ ア を生成す る 場合の手順は次の と お り です。
1.
作業デ ィ レ ク ト リ か ら Vivado ツールを起動 し ます。 Vivado デザ イ ン ツールの使用方法については、 『Vivado
Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896) [参照 7] を参照 し て く だ さ い。
2.
[Create New Project] → [New Project] ページで [Next] を ク リ ッ ク し ます。
3.
新 し いプ ロ ジ ェ ク ト 名 と プ ロ ジ ェ ク ト の場所を入力 し ます。
4.
[Project Type] に [RTL Project] を選択 し て、 [Next] を ク リ ッ ク し ます。
5.
デバ イ ス には、 [xc7vx485tffg1157-1] を選択 し ます。
6.
プ ロ ジ ェ ク ト 作成後、 [Project Manager] パネルで [IP catalog] を ク リ ッ ク し ます。
7.
/Communication_&_Networking/Serial_Interfaces の下にあ る IP カ タ ロ グで Aurora 8B/10B v10.2 コ ア を指定 し ます。
8.
コ ア を ダブル ク リ ッ ク し ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
87
第 5 章 : デザイ ン フ ローの手順
9.
[OK] を ク リ ッ ク し ます。
X-Ref Target - Figure 5-7
図 5-7 : Vivado IDE の [Customize IP] ページ
サン プル デザイ ンの実装
サンプル デザ イ ンは、 IP コ アか ら 生成する 必要があ り ます。 こ れを行 う には、 生成 し た IP を右 ク リ ッ ク し ます。 右
ク リ ッ ク メ ニ ュ ーか ら [Open Example Design] を ク リ ッ ク し ます。 こ れで、 生成 し た IP コ ア用のサンプル デザ イ ンが
開 き ます。[Run Implementation] を ク リ ッ ク す る と 、合成 と イ ンプ リ メ ン テーシ ョ ン を実行で き ます。その他、[Generate
Bitstream] を ク リ ッ ク し て、 ビ ッ ト ス ト リ ーム を生成で き ます。
注記 : XDC でデザ イ ンのすべての入力お よ び出力ポー ト に LOC お よ び IO 規格を指定す る 必要があ り ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
88
第 6章
サン プル デザイ ンの詳細
こ の章では、 Vivado® Design Suite 環境で提供 さ れてい る サンプル デザ イ ンについて説明 し ます。
デ ィ レ ク ト リ と フ ァ イルの内容
サンプル デザ イ ンのデ ィ レ ク ト リ 構造お よ びフ ァ イ ル内容の詳細は、 84 ページの 「出力生成」 を参照 し て く だ さ い。
サン プル デザイ ン
各 Aurora 8B/10B コ ア に は、 シ ン プ ル な デー タ 転送 シ ス テ ム を 使用す る サ ン プ ル デ ザ イ ン (<component
name>_exdes) が含まれてい ます。 サンプル デザ イ ンの詳細は、 84 ページの 「出力生成」 を参照 し て く だ さ い。
図 6-1 に、フルデ ュ プ レ ッ ク ス コ アのサンプル デザ イ ン ブ ロ ッ ク 図を示 し ます。表 6-1 は、サンプル デザ イ ンのポー
ト について説明 し てい ます。
X-Ref Target - Figure 6-1
$XURUD([DPSOH'HVLJQ
)5$0(B*(1
)5$0(B&+(&.
$XURUD 7;
%%
5;
'HPRQVWUDWLRQ
7HVW%HQFK
$XURUD([DPSOH'HVLJQ
7;
$XURUD
%%
5IJTGJHVSFJTTBNFBTVH@@
)5$0(B&+(&.
5;
)5$0(B*(1
;
図 6-1 : サン プル デザイ ン
サンプル デザ イ ンは、 コ アのすべての イ ン タ ーフ ェ イ ス を使用 し ます。 TX ま たは RX イ ン タ ーフ ェ イ ス のないシ ン
プ レ ッ ク ス コ ア には、 FRAME_GEN ま たは FRAME_CHECK ブ ロ ッ ク があ り ま せん。 フ レ ーム ジ ェ ネ レ ー タ ーが、
ス ト リ ー ミ ン グ イ ン タ ーフ ェ イ ス を使用 し て コ アに一定のデー タ ス ト リ ーム を生成 し ます。
サンプル デザ イ ン を利用す る こ と に よ っ て、 Aurora 8B/10B デザ イ ン を素早 く 構築 し 、 ボー ド 上で動作 さ せた り 、 モ
ジ ュ ールのシ ミ ュ レーシ ョ ン を実行で き ます。 ま た、 サ ン プル デザ イ ン を参照用 と し て利用 し 、 ク ロ ッ キ ン グ イ ン
タ ーフ ェ イ ス な ど Aurora 8B/10B コ アの難 し い イ ン タ ーフ ェ イ ス接続を容易に行 う こ と がで き ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
89
第 6 章 : サン プル デザイ ンの詳細
ボー ド 上でサンプル デザ イ ン を使用す る 場合は、<component name>_exdes.xdc フ ァ イ ルで適切な ピ ンの割 り 当
てや ク ロ ッ ク 制約を作成す る 必要があ り ます。
表 6-1 : サン プル デザイ ンの I/O ポー ト
ポー ト
方向
説明
rxn[0:m–1]
入力
差動シ リ アル デー タ 入力ピ ンの負側です。
rxp[0:m–1]
入力
差動シ リ アル デー タ 入力ピ ンの正側です。
txn[0:m–1]
出力
差動シ リ アル デー タ 出力ピ ンの負側です。
txp[0:m–1]
出力
差動シ リ アル デー タ 出力ピ ンの正側です。
err_count[0:7]
出力
予想値 と 異な る 、 フ レ ーム チ ェ ッ カーが受信 し たデー タ ワ ー ド 数
を示 し ます。
reset
入力
サンプル デザ イ ンの リ セ ッ ト 信号です。 こ の信号は、 基準 ク ロ ッ ク
入力か ら 生成 さ れ る user_clk 信号を使用 し てデバ ウ ン ス 処理 さ
れます。
<reference clock(s)>
入力
Aurora 8B/10B コ アの基準 ク ロ ッ ク は、 サン プル デザ イ ン の最上位
に配線 さ れてい ます。 基準 ク ロ ッ ク の詳細は、 38 ページの 「機能の
説明」 を参照 し て く だ さ い。
出力
Aurora 8B/10B コ アの Status お よ び Control イ ン タ ーフ ェ イ ス か ら の
エ ラ ー信号は、 サンプル デザ イ ンの最上位に現れ、 レ ジ ス タ に格納
さ れます。 詳細は、 64 ページの 「ス テー タ ス、 制御、 お よ び ト ラ ン
シーバー イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
出力
コ アのチ ャ ネル ア ッ プ ス テー タ ス信号は、 サンプル デザ イ ンの最
上位に現れ、 レ ジ ス タ に格納 さ れます。 フルデュ プ レ ッ ク ス コ アに
は、 1 つのチ ャ ネル ア ッ プ信号があ り 、 シ ンプ レ ッ ク ス コ アにはサ
ポー ト さ れ る 各方向に 1 つのチ ャ ネル ア ッ プ信号があ り ます。詳細
は、 64 ページの 「ス テー タ ス、 制御、 お よ び ト ラ ン シーバー イ ン
タ ーフ ェ イ ス」 を参照 し て く だ さ い。
<core lane up signals>
出力
コ アの レーン ア ッ プ ス テー タ ス信号は、 サンプル デザ イ ンの最上
位に現れ、レ ジ ス タ に格納 さ れます。コ アには、使用す る 各 GTP/GTX
ト ラ ン シーバーに 1 つの レーン ア ッ プ信号があ り ます。 シ ンプ レ ッ
ク ス コ アの場合、サポー ト さ れ る チ ャ ネル方向で使用す る それぞれ
の GTP/GTX ト ラ ン シーバーに対 し て 1 つの レーン ア ッ プ信号があ
り ま す。 詳細は、 64 ページ の 「ス テー タ ス、 制御、 お よ び ト ラ ン
シーバー イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
<simplex initialization signals>
入力/
出力
シ ンプ レ ッ ク ス コ アの場合、サ イ ド バン ド 初期化ポー ト はレ ジ ス タ
を介 し 、 サ ン プル デザ イ ン の最上位に現れ ま す。 詳細は、 64 ペー
ジ の 「ス テー タ ス、 制御、 お よ び ト ラ ン シーバー イ ン タ ー フ ェ イ
ス」 を参照 し て く だ さ い。
<core error signals>
<core channel up signals>
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
90
第 7章
テ ス ト ベン チ
Aurora IP コ アは、 サンプル デザ イ ン用のデモ テ ス ト ベンチを提供 し ます。 こ の章では、 Aurora テ ス ト ベンチお よ び
その機能について説明 し ます。 テ ス ト ベンチには、 次のモジ ュ ールが含まれます。
•
被試験デバ イ ス (DUT)
•
ク ロ ッ ク お よ び リ セ ッ ト ジ ェ ネ レー タ ー
•
ス テー タ ス モニ タ ー
Aurora テ ス ト ベンチの コ ン ポーネ ン ト は、 選択 し た Aurora コ ア コ ン フ ィ ギ ュ レーシ ョ ンに よ っ て異な り ますが、 基
本的な機能はすべての コ ア コ ン フ ィ ギ ュ レーシ ョ ンで共通です。
X-Ref Target - Figure 7-1
$XURUD7HVWEHQFK
&ORFNDQG5HVHW
*HQHUDWRU
+LJK6SHHG
6HULDO,QWHUIDFH
$;,6WUHDP,QWHUIDFH
)5$0(B*(1
7;37;1
)5$0(B&+(&.
5;35;1
'XSOH[
$XURUD
&RUH
)5$0(B&+(&.
$;,6WUHDP,QWHUIDFH
'XSOH[
$XURUD
&RUH
5;35;1
7;37;1
'87$XURUD([GHV
)5$0(B*(1
'87$XURUD([GHV
6WDWXV0RQLWRU
;
図 7-1 : デ ュ プ レ ッ ク ス コ ン フ ィ ギ ュ レーシ ョ ン用の Aurora テ ス ト ベン チ
Aurora テ ス ト ベン チ環境では、 高速シ リ アル イ ン タ ー フ ェ イ ス を使用 し てループバ ッ ク モー ド でデ ュ プ レ ッ ク ス
Aurora コ ア を接続 し ます。 図 7-1 にデ ュ プ レ ッ ク ス コ ン フ ィ ギ ュ レーシ ョ ン用の Aurora テ ス ト ベンチを示 し ます。
テ ス ト ベンチは、 あ ら か じ め定義 し た シ ミ ュ レ ーシ ョ ン期間のチ ャ ネルの ス テー タ ス を検出 し 、 ユーザー デー タ と
UFC デー タ の整合性を検証 し ます。 channel_up のアサーシ ョ ン メ ッ セージに よ っ て、 リ ン ク ト レーニ ン グやチ ャ
ネル ボ ンデ ィ ン グ (複数レーン デザ イ ンの場合) が正常に行われた こ と を確認で き ます。FRAME_CHECK モジ ュ ール
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
91
第 7 章 : テ ス ト ベン チ
がカ ウ ン タ ーを管理 し てい る ため、 エ ラ ー デー タ の受信を確認で き ます。 エ ラ ー デー タ が受信 さ れ る と 、 テ ス ト ベ
ンチがエ ラ ーを フ ラ グ し ます。
X-Ref Target - Figure 7-2
$XURUD7HVWEHQFK
&ORFNDQG5HVHW
*HQHUDWRU
+LJK6SHHG
6HULDO,QWHUIDFH
$;,6WUHDP,QWHUIDFH
6LPSOH[
$XURUD&RUH
)5$0(B*(1
$;,6WUHDP,QWHUIDFH
3DUWQHU
6LPSOH[
$XURUD&RUH
7;37;1
5;35;1
)5$0(B&+(&.
5;B$8525$B,3B7;
3DUWQHU$XURUD([GHV
$8525$B,3B7;$XURUD([GHV
6WDWXV0RQLWRU
;
図 7-2 : シ ン プ レ ッ ク ス コ ン フ ィ ギ ュ レーシ ョ ン用の Aurora テ ス ト ベン チ
Aurora テ ス ト ベンチ環境では、 高速シ リ アル イ ン タ ーフ ェ イ ス を使用 し てシ ンプ レ ッ ク ス Aurora コ ア と パー ト ナー
シ ン プ レ ッ ク ス Aurora コ ア を接続 し ま す。 図 7-2 に、 DUT1 が TX のみのシ ン プ レ ッ ク ス と し て コ ン フ ィ ギ ュ レ ー
シ ョ ン さ れ、 DUT2 が RX のみのシ ンプ レ ッ ク ス と し て コ ン フ ィ ギ ュ レーシ ョ ン さ れたシ ンプ レ ッ ク ス コ ン フ ィ ギ ュ
レーシ ョ ンの Aurora テ ス ト ベンチを示 し ます。
テ ス ト ベンチは、 あ ら か じ め定義 し た シ ミ ュ レーシ ョ ン期間の送信チ ャ ネル と 受信チ ャ ネルの ス テー タ ス を検出 し 、
ユーザー デー タ の整合性を検証 し ま す。 tx_channel_up お よ び rx_channel_up の ア サーシ ョ ン メ ッ セージに
よ っ て、 リ ン ク ト レーニ ン グやチ ャ ネル ボ ンデ ィ ン グ (複数レーン デザ イ ンの場合) が正常に行われた こ と を確認で
き ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
92
付録 A
検証、 互換性、 相互運用性
付録 A では、 こ の IP コ アに対 し て実行 さ れた互換性テ ス ト について説明 し ます。
Aurora 8B/10B コ アは、 自動化 さ れたハー ド ウ ェ アお よ びシ ミ ュ レーシ ョ ン テ ス ト を使用 し てプ ロ ト コ ルに準拠 し て
い る か ど う かが検証 さ れます。 こ の コ アには、 コ アの機能の理解/検証に役立つ、 LFSR ( リ ニア フ ィ ー ド バ ッ ク シ フ
ト レ ジ ス タ ) を使用 し て実装 さ れたサンプル デザ イ ンがあ り ます。
Aurora 8B/10B コ アは Aurora 8B/10B BFM (バ ス フ ァ ン ク シ ョ ン モデル) と 独自のカ ス タ ム テ ス ト ベンチを使用 し て検
証 さ れてい ます。 Aurora 8B/10B BFM では、 イ ン タ ーフ ェ イ ス レベルのチ ェ ッ ク お よ びエ ラ ー シナ リ オに加えて、 プ
ロ ト コ ル準拠 も 検証 さ れます。 自動テ ス ト シ ス テ ムに よ り 、 ラ ン ダ ムに選択 さ れた、 一般に広 く 使用 さ れてい る デザ
イ ン コ ン フ ィ ギ ュ レーシ ョ ン で一連のシ ミ ュ レーシ ョ ン テ ス ト が実行 さ れてい ます。 ま た、 Aurora 8B/10B コ アは、
ザ イ リ ン ク ス ト ラ ン シーバーのデモ ボー ド を使用 し て、 機能、 性能、 信頼性に関 し てハー ド ウ ェ アでテ ス ト さ れて
い ます。 すべてのモジ ュ ールを対象 と す る Aurora 64B/66B 検証環境は、 個々のモジ ュ ールのパ ラ メ ー タ ーすべて を テ
ス ト で き る よ う 、 常に変更 さ れてい ます。
Aurora 8B/10B コ アのハー ド ウ ェ ア テ ス ト には、 KC724、 KC705、 VC7203、 お よ び AC722 ボー ド が使用 さ れ、 一連の
テ ス ト シナ リ オが実証 さ れてい ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
93
付録 B
移行およびア ッ プグ レー ド
付録 B には、ISE® か ら Vivado® Design Suite へデザ イ ン を移行する 際の情報、お よ び最新版 IP コ アへのア ッ プグ レー
ド に関す る 情報が記載 さ れてい ます。 Vivado Design Suite でア ッ プグ レー ド す る 場合のポー ト 変更お よ びユーザー ロ
ジ ッ ク への影響 と いっ た重要な情報 も こ こ に記載 さ れてい ます。
Vivado Design Suite への移行
Vivado Design Suite への移行方法については、 『Vivado Design Suite 移行手法ガ イ ド 』 (UG911) [参照 10] を参照 し て く
だ さ い。
Vivado Design Suite でのア ッ プグ レー ド
コ アの最新 リ ビ ジ ョ ンでは、 旧バージ ョ ン と のピ ン互換性を備え る ためにい く つか変更が加え ら れま し た。 こ れ ら の
変更は、 使いやす さ の向上を目的 と し 、 通常の階層的変更の一部 と し て適用 さ れま し た。 今後、 こ の よ う な変更はあ
り ません。
共有ロ ジ ッ ク
階層的変更の一部 と し て、 複数 コ アで共有で き る すべての ロ ジ ッ ク を コ アに含め る こ と が可能にな り 、 すでに コ アの
サンプル デザ イ ンに含まれてい ます。
旧バージ ョ ンか ら 共有 ロ ジ ッ ク を含む新バージ ョ ンへ簡単にア ッ プグ レー ド す る 方法はあ り ません。 詳細は、 こ の資
料の 「共有 ロ ジ ッ ク 」 セ ク シ ョ ン を参照 し て く だ さ い。
LocalLink ベースの Aurora コ アから AXI4-Stream
Aurora への移行
は じ めに
こ こ では、 従来型 (LocalLink ベース) Aurora コ アか ら AXI4-Stream Aurora コ アへの移行について説明 し ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
94
付録 B : 移行およびア ッ プグレー ド
事前に必要な も の
•
AXI4-Stream プ ロ ト コ ルをサポー ト す る Aurora 8B/10B v10.0 コ ア を含む Vivado デザ イ ン ツールのビル ド
•
Aurora デ ィ レ ク ト リ 構造の知識
•
Aurora サンプル デザ イ ンの実行知識
•
AXI4-Stream お よ び LocalLink プ ロ ト コ ルに関す る 基本的な知識
•
AXI4-Stream ア ッ プデー ト を含む、 コ アの最新製品ガ イ ド (PG046)
•
参照用に以前の 『LogiCORE IP Aurora 8B/10B v5.3 デー タ シー ト 』 (DS637) [参照 11] お よ び 『LogiCORE IP Aurora
8B/10B v5.3 ユーザー ガ イ ド 』 (UG353) [参照 12]
•
マ イ グ レーシ ョ ン ガ イ ド ( こ の資料の付録)
制限
こ のセ ク シ ョ ンでは、AXI4-Stream をサポー ト す る Aurora 8B/10B コ アの制限事項について説明 し ます。Aurora 8B/10B
コ ア を AXI4-Stream 準拠の イ ン タ ーフ ェ イ ス コ アへ接続す る 際には、 2 つの制限事項に注意す る 必要があ り ます。
•
Aurora 8B/10B コ アは、連続的に位置合わせ さ れた ス ト リ ームお よ び連続的に位置合わせ さ れていない ス ト リ ーム
のみをサポー ト し ます。 位置バ イ ト は、 パケ ッ ト の最後でのみ有効です。 つま り 、 tkeep は、 tlast がアサー ト
さ れた と き のみサンプル さ れます。
•
AXI4-Stream プ ロ ト コ ルは、 パケ ッ ト の最後でデー タ がない転送をサポー ト し ますが、 Aurora 8B/10B コ アではパ
ケ ッ ト の最後で 1 バ イ ト 以上が有効であ る 必要があ り ます。 つま り 、 tkeep には、 tlast がアサー ト さ れてい る
間に 0 以外の値が含まれ る 必要があ り ます。
主な変更点
主な変更点は、 AXI4-Stream イ ン タ ーフ ェ イ ス の追加です。
•
ユーザー イ ン タ ーフ ェ イ ス が従来型 LocalLink (LL) か ら AXI4-Stream に変更 さ れます。
•
すべての AXI4-Stream 信号はア ク テ ィ ブ High であ る のに対 し て、 LocalLink 信号はア ク テ ィ ブ Low です。
•
サンプル デザ イ ンのユーザー イ ン タ ーフ ェ イ ス と デザ イ ンの最上位フ ァ イ ルは AXI4-Stream です。
•
AXI4-Stream Aurora コ アには新たにシ ム モジ ュ ールが追加 さ れ、 AXI4-Stream 信号を LL へ変換 し 、 ま た LL を
AXI4-Stream 信号へ戻すために使用 さ れます。
°
送信 イ ン タ ーフ ェ イ ス の AXI4-Stream - LL 間シ ム モジ ュ ールは、 すべての AXI4-Stream 信号を LL へ変換 し
ます。
°
シ ム モジ ュ ールは、AXI4-Stream と LocalLink 間での信号のア ク テ ィ ブ High と ア ク テ ィ ブ Low の変更に対応
し ます。
°
SOF_N ビ ッ ト と REM ビ ッ ト のマ ッ プの生成は、 シ ム モジ ュ ールで行われます。
°
受信 イ ン タ ーフ ェ イ ス の LL - AXI4-Stream 間シ ム モジ ュ ールは、 すべての LL 信号を AXI4-Stream へ変換 し
ます。
•
コ アの最上位には、 各 イ ン タ ーフ ェ イ ス (PDU、 UFC、 お よ び NFC) に個別の AXI4-Stream - LL 間お よ び LL AXI4-Stream 間シ ム モジ ュ ールが イ ン ス タ ン シエー ト さ れます。
•
Aurora サンプル デザ イ ンの フ レーム ジ ェ ネ レー タ ー と チ ェ ッ カーには、生成 さ れた AXI4-Stream デザ イ ン と イ ン
タ ーフ ェ イ スす る ため、 LL - AXI4-Stream 間お よ び AXI4-Stream - LL 間シ ム モジ ュ ールがそれぞれ イ ン ス タ ン シ
エー ト さ れます。
ブ ロ ッ ク図
図 B-1 に、従来型 LocalLink イ ン タ ーフ ェ イ ス を使用す る Aurora サンプル デザ イ ン を示 し ます。図 B-2 に、AXI4-Stream
イ ン タ ーフ ェ イ ス を使用す る Aurora サンプル デザ イ ン を示 し ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
95
付録 B : 移行およびア ッ プグレー ド
X-Ref Target - Figure B-1
/RFDO/LQN
)5$0(*(1
/RFDO/LQN
$8525$'(6,*1
/RFDO/LQN
)5$0(&+(&.
;
図 B-1 : 従来型 LocalLink の Aurora サン プル デザイ ン
X-Ref Target - Figure B-2
$;,6WUHDP$XURUD'HVLJQ7RS
//WR$;,B6
$;,6WUHDP
$XURUD'HVLJQ
([LVWLQJ//EDVHG
'HVLJQ
$;,B6WR//
;
図 B-2 : AXI4-Stream の Aurora サン プル デザイ ン
移行手順
Vivado Design Suite 環境で AXI4-Stream Aurora コ ア を生成 し ます。
コ ア を シ ミ ュ レーシ ョ ンする
1.
/simulation/functional デ ィ レ ク ト リ にあ る vsim -do simulate_mti.do フ ァ イ ルを実行 し ます。
2.
Questa® SIM が起動 し 、 モジ ュ ールを コ ンパ イ ル し ます。
3.
wave_mti.do フ ァ イ ルが自動的に AXI4-Stream 信号を ロ ー ド し ます。
4.
シ ミ ュ レーシ ョ ン を実行 し ます。 こ れには多少の時間を要す る 場合があ り ます。
a.
最初に レーン ア ッ プ信号がアサー ト さ れます。
b.
次にチ ャ ネル ア ッ プ信号がアサー ト さ れ、 デー タ 転送が開始 し ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
96
付録 B : 移行およびア ッ プグレー ド
5.
c.
すべての フ ロ ー制御 イ ン タ ーフ ェ イ ス か ら デー タ 転送が開始 し ます。
d.
フ レーム チ ェ ッ カーが受信 し たデー タ を連続的にチ ェ ッ ク し 、 デー タ の不一致をすべて レ ポー ト し ます。
テ ス ト の ス テー タ ス を示す TEST PASS ま たは TEST FAIL ス テー タ ス が Questa SIM コ ン ソ ールに表示 さ れます。
コ ア を実装する
1.
/implement デ ィ レ ク ト リ にあ る /implement.sh (Linux の場合) を実行 し ます。
2.
イ ンプ リ メ ン ト ス ク リ プ ト が コ ア を コ ンパ イ ル し 、 Vivado デザ イ ン ツール環境で フ ァ イ ルを実行 し て、 コ アの
ビ ッ ト フ ァ イ ル と ネ ッ ト リ ス ト を生成 し ます。
既存の LocalLink ベース Aurora デザイ ンへ統合する
1.
Aurora コ アには、 既存の LL ベース イ ン タ ーフ ェ イ ス と 接続する ための軽量なシ ム モジ ュ ールがあ り ます。 こ の
シ ムは、 aurora_8b10b_v8_3 バージ ョ ンか ら コ アに含まれてい ます。
2.
AXI4-Stream Aurora コ アか ら の LL Aurora コ アのエ ミ ュ レーシ ョ ンは、 96 ページの図 B-2 を参照 し て く だ さ い。
3.
AXI4-Stream Aurora コ アの src デ ィ レ ク ト リ に、 2 つのシ ム (<component name>_ll_to_axi.v[hd] お よ び
<component name>_axi_to_ll.v[hd]) が提供 さ れてい ます。
4.
LL ベース デザ イ ンの最上位に、 こ の 2 つのシ ム と <component name>.v[hd] を イ ン ス タ ン シエー ト し ます。
5.
96 ページの図 B-2 の よ う に、 シ ム と AXI4-Stream Aurora デザ イ ン を接続 し ます。
6.
最新の AXI4-Stream Aurora コ アは、 既存のすべての LL ベース デザ イ ンにプ ラ グ イ ンで き ます。
Vivado IDE の変更
図 B-3 の IP シ ン ボル の図に AXI4-Stream 信号を示 し てい ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
97
付録 B : 移行およびア ッ プグレー ド
X-Ref Target - Figure B-3
図 B-3 : AXI4-Stream 信号
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
98
付録 C
デバ ッ グ
こ の付録では、 ザ イ リ ン ク ス サポー ト ウ ェ ブサ イ ト よ り 入手可能な リ ソ ース情報を紹介 し 、 Aurora 8B/10B コ ア を使
用す る デザ イ ン をデバ ッ グす る 際に利用で き る デバ ッ グ ツールお よ びデバ ッ グ手順について説明 し てい ます。こ こ で
は、 フ ロ ー図を用いてデバ ッ グ プ ロ セ ス を説明 し ます。
ザイ リ ン ク ス ウ ェ ブサイ ト
Aurora 8B/10B コ ア を使用 し た設計お よ びデバ ッ グでヘルプが必要な場合は、ザ イ リ ン ク ス サポー ト ウ ェ ブ ページか
ら 製品の資料、 リ リ ース ノ ー ト 、 ア ンサーな ど を参照する か、 テ ク ニ カル サポー ト でケース を開いて く だ さ い。
資料
こ の製品ガ イ ド は Aurora 8B/10B コ ア に関する 主要資料です。 こ のガ イ ド 並びに全製品の設計プ ロ セ ス をサポー ト す
る 資料 は すべ て、 ザ イ リ ン ク ス サ ポ ー ト ウ ェ ブ ペー ジ (http://japan..xilinx.com/support/) ま た は ザ イ リ ン ク ス の
Documentation Navigator か ら 入手で き ます。
Documentation Navigator は、 ダ ウ ン ロ ー ド ページ (http://japan.xilinx.com/download) の [デザ イ ン ツール] タ ブか ら ダ ウ
ン ロ ー ド で き ます。 こ の ツールの詳細お よ び機能は、 イ ン ス ト ール後にオン ラ イ ン ヘルプ を参照 し て く だ さ い。
フ ァ ミ リ 別に資料を検索す る 場合は、 japan.xilinx.com/support にア ク セ ス し て く だ さ い。
ソ リ ュ ーシ ョ ン別に資料を検索す る 場合は、 次の方法でア ク セ ス し て く だ さ い。
1.
japan.xilinx.com/support にア ク セ ス し ます。
2.
ウ ェ ブ ページのヘ ッ ダー部分にあ る [資料] タ ブを選択 し ます。
こ のページでは、 デバ イ ス、 ボー ド 、 IP、 デザ イ ン ツール、 資料 タ イ プ、 ト ピ ッ ク 別にザ イ リ ン ク ス資料が分類
さ れて表示 さ れます。
ソ リ ュ ーシ ョ ン セ ン タ ー
Aurora 8B/10B コ ア関連のサポー ト 情報は、 Aurora ソ リ ュ ーシ ョ ン セ ン タ ーを参照 し て く だ さ い。
ア ンサー
ア ンサーには、 よ く 発生す る 問題についてその解決方法、 お よ びザ イ リ ン ク ス製品に関す る 既知の問題な ど の情報が
記載 さ れてい ます。ア ンサーは、ユーザーが該当製品の最新情報にア ク セ ス で き る よ う 作成お よ び管理 さ れてい ます。
こ の コ アに関す る ア ンサーの検索には、 ザ イ リ ン ク ス サポー ト ウ ェ ブページにあ る 検索ボ ッ ク ス を使用 し ます。 よ
り 的確な検索結果を得 る には、 次の よ う な キーワー ド を使用 し て く だ さ い。
•
製品名
•
ツールで表示 さ れ る メ ッ セージ
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
99
付録 C : デバ ッ グ
•
問題の概要
検索結果は、 フ ィ ル タ ー機能を使用 し て さ ら に絞 り 込む こ と がで き ます。
ア ンサー デー タ ベース の検索機能の使用方法は次の と お り です。
1.
japan.xilinx.com/support にア ク セ ス し ます。 検索ボ ッ ク ス は、 こ の ウ ェ ブ ページの上部にあ り ます。
2.
検索ボ ッ ク ス にキー ワー ド を入力 し て [検索] を ク リ ッ ク し ます。
°
検索可能な キー ワー ド の例には、 製品名、 エ ラ ー メ ッ セージ、 問題の概要な ど があ り ます。
°
Aurora 8B/10B コ アに関す る すべてのア ンサーを表示 さ せ る 場合は、 「Aurora 8B10B」 と 入力 し て検索 し て く
だ さ い。
Aurora 8B/10B コ アのマ ス タ ー ア ンサー
AR : 54367
テ ク ニ カル サポー ト
ザ イ リ ン ク ス では、 製品資料に記述 さ れてい る よ う に、 japan.xilinx.com/support か ら こ の LogiCORE™ IP 製品のテ ク ニ
カル サポー ト を提供 し てい ます。 資料で定義 さ れていないデバ イ ス に イ ンプ リ メ ン ト し た り 、 製品資料で記述 さ れて
い る 範囲を超えて カ ス タ マ イ ズ し た り 、 あ る いは 「DO NOT MODIFY」 と 記述 さ れてい る デザ イ ン セ ク シ ョ ンに変更
を加えた り し た場合、 タ イ ミ ン グ、 機能、 製品サポー ト は保証 さ れません。
テ ク ニ カル サポー ト へのお問い合わせ方法は、 次の と お り です。
1.
japan.xilinx.com/support にア ク セ ス し ます。
2.
「その他の リ ソ ース」 の下の ウ ェ ブケース を作成 リ ン ク を ク リ ッ ク し 、 ウ ェ ブケース を開 き ます。
ウ ェ ブケース を作成す る 際は、 次の情報を含めて く だ さ い。
•
パ ッ ケージお よ びデバ イ ス ス ピー ド グ レー ド を含む タ ーゲ ッ ト FPGA の情報
•
該当す る すべての Vivado® デザ イ ン ツール、 合成ツール (XST 以外の場合)、 お よ びシ ミ ュ レー タ ーの ソ フ ト ウ ェ
ア バージ ョ ン
•
Aurora 8B/10B コ ア生成中に作成 さ れた XCI フ ァ イ ル
こ の フ ァ イ ルは、 Vivado デザ イ ン ツールのプ ロ ジ ェ ク ト 用に指定 し たデ ィ レ ク ト リ にあ り ま す。 問題に よ っ て
は、 フ ァ イ ルの追加を求め ら れ る 場合があ り ます。 ウ ェ ブケース に含め る 特定フ ァ イ ルについては、 こ の資料の
関連セ ク シ ョ ン を参照 し て く だ さ い。
注記 : すべての問題が ウ ェ ブ ケー ス の利用対象にな る わけではあ り ま せん。 ウ ェ ブ ケー ス ツールに ロ グ イ ン し てサ
ポー ト オプシ ョ ン を確認 し て く だ さ い。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
100
付録 C : デバ ッ グ
デバ ッ グ ツール
Aurora 8B/10B コ ア デザ イ ンの問題を解決す る には、 数多 く の ツールを利用で き ます。 さ ま ざ ま な状況をデバ ッ グす
る のに有益な ツールを理解 し てお く こ と が重要です。
Transceiver Wizard
シ リ アル ト ラ ン シーバーの属性は、 Aurora 8B/10b コ アの機能お よ び性能に影響す る 最 も 重要な要素です。 こ の コ ア
の最新の属性情報は、 付録 D 「Transceiver Wizard で ラ ッ パー フ ァ イ ルを生成」 を参照 し て く だ さ い。
Vivado ラ ボ ツール
Vivado ラ ボ ツ ールは、 Logic Analyzer (ILA) お よ び Virtual I/O (VIO) コ ア を ユーザーのデザ イ ン に直接挿入 し ま す。
Vivado ラ ボ ツールを使用す る と 、 ト リ ガー条件を設定 し て、 ハー ド ウ ェ アでアプ リ ケーシ ョ ンお よ び統合ブ ロ ッ ク
のポー ト 信号をハー ド ウ ェ アに取 り 込む こ と がで き ます。 取 り 込まれた信号は、 その後解析で き ます。 Vivado IDE の
こ の機能は、 ザ イ リ ン ク ス デバ イ ス で実行 さ れ る デザ イ ンの論理デバ ッ グ と 検証に使用 さ れます。
Vivado ロ ジ ッ ク アナ ラ イ ザーは次の論理デバ ッ グ IP コ ア と 共に使用 さ れます。
•
ILA 3.0 (お よ びそれ以降のバージ ョ ン)
•
VIO 3.0 (お よ びそれ以降のバージ ョ ン)
詳細は、 『Vivado Design Suite ユーザー ガ イ ド : プ ロ グ ラ ムお よ びデバ ッ グ』 (UG908) [参照 13] を参照 し て く だ さ い。
リ フ ァ レ ン ス ボー ド
Aurora 8B/10B コ ア は さ ま ざ ま なザ イ リ ン ク ス開発ボー ド でサポー ト さ れてい ます。 こ れ ら のボー ド を使用 し て、 デ
ザ イ ンのプ ロ ト タ イ プ を作成 し 、 コ アがシ ス テ ム と 通信で き る よ う に し ます。
•
7 シ リ ーズ FPGA 評価ボー ド
°
KC705
°
KC724
°
VC7203
°
AC722
°
VC707
シ ミ ュ レーシ ョ ン デバ ッ グ
シ ミ ュ レーシ ョ ン で レーン ア ッ プ と チ ャ ネル ア ッ プが確認で き
ない
•
こ れ ら の問題を解決す る 最 も 簡単な方法は、 動作 し ていないシ リ アル ト ラ ン シーバー イ ン ス タ ン ス の出力信号
を確認 し ます。
•
シ リ アル ト ラ ン シーバーの基準 ク ロ ッ ク と ユーザー ク ロ ッ ク がすべて ト グル し てい る こ と を確認 し ます。
•
シ リ アル ト ラ ン シーバー ラ ッ パーか ら の txoutclk 信号が ト グル し てい る か をチ ェ ッ ク し ます。 こ れが ト グル
し ていない場合、 PMA が ロ ッ ク を完了す る ま で し ば ら く 待機す る 必要があ り ます。 通常、 レーン ア ッ プお よ び
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
101
付録 C : デバ ッ グ
チ ャ ネル ア ッ プには約 6 ~ 9µs 必要です。 シ ンプ レ ッ ク ス デザ イ ンの場合は、 も う 少 し 時間がかか る 可能性があ
り ます。
•
txn と txp が ト グル し てい る かを確認 し ます。 こ れ ら が ト グル し ていない場合、 待機時間が十分であ っ たか、 ま
た別の信号で tx 信号を駆動 し ていないか を確認 し て く だ さ い。
•
デザ イ ンの pll_not_locked をチ ェ ッ ク し ます。 こ の信号がア ク テ ィ ブ High 状態で保持 さ れてい る 場合、
Aurora モジ ュ ールは初期化を実行で き ません。
•
power_down 信号がアサー ト さ れていない こ と を確認 し ます。
•
各シ リ アル ト ラ ン シーバーか ら の txn お よ び txp 信号が、 チ ャ ネルの反対側にあ る 対応する シ リ アル ト ラ シー
バーの rxn お よ び rxp 信号にそれぞれ接続 さ れてい る か を確認 し ます。
•
Verilog シ ミ ュ レーシ ョ ンの場合は、 glbl モジ ュ ールを イ ン ス タ ン シエー ト し 、 こ のモジ ュ ールを使用 し てシ ミ ュ
レーシ ョ ン開始時に power_up リ セ ッ ト を駆動 し て コ ン フ ィ ギ ュ レ ーシ ョ ン後に生 じ る リ セ ッ ト を シ ミ ュ レー
シ ョ ン し ます。 こ の リ セ ッ ト 信号は、 数サ イ ク ル間保持す る 必要があ り ます。
例 と し て次の コ ー ド を使用で き ます。
//Simulate the global reset that occurs after configuration at
//the beginning
//of the simulation.
assign glbl.GSR = gsr_r;
assign glbl.GTS = gts_r;
initial
begin
gts_r = 1'b0;
gsr_r = 1'b1;
#(16*CLOCKPERIOD_1);
gsr_r = 1'b0;
end
複数のチ ャ ネルを使用 し てい る 場合は、 チ ャ ネルの両側のすべてのシ リ アル ト ラ ン シーバーが正 し い順序で接続 さ れ
てい る こ と を確認 し て く だ さ い。
シ ミ ュ レーシ ョ ン でチ ャ ネル ア ッ プは確認で き るが、
s_axi_tx_tvalid がアサー ト さ れない (High にな ら ない)
•
モジ ュ ールに フ ロ ー制御が含まれてい る が使用 し ていない場合、 リ ク エ ス ト 信号が Low 駆動 し てい る か を確認 し
て く だ さ い。 s_axi_nfc_req と s_axi_ufc_tx_req はア ク テ ィ ブ High です。 つま り 、 こ れ ら が High の場合、
チ ャ ネルが フ ロ ー制御用に割 り 当て ら れ る ため、 s_axi_tx_tvalid は Low の ま ま と な り ます。
•
warn_cc と do_cc が継続的に High 駆動 さ れていない こ と を確認 し ます。 ク ロ ッ ク の次の立ち上が り エ ッ ジで
do_cc 信号が High 駆動 さ れ る と 常に、ク ロ ッ ク コ レ ク シ ョ ン文字を送信す る ためにチ ャ ネルが使用 さ れ る ため、
s_axi_tx_tvalid がデ ィ アサー ト さ れます。
•
NFC が有効の場合は、チ ャ ネルの反対側のデザ イ ンが NFC XOFF メ ッ セージ を送信 し ていない こ と を確認 し て く
だ さ い。 反対側で NFC XON メ ッ セージが送信 さ れ、 再びフ ロ ーが再開 さ れ る ま で、 通常デー タ 用のチ ャ ネルは
無効 と な り ます。 詳細は、 第 2 章の 「ネ イ テ ィ ブ フ ロ ー制御 イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
Aurora チ ャ ネルを通過する際にバイ ト と ワー ド が失われる
•
AXI4-Stream イ ン タ ーフ ェ イ ス を使用 し てい る 場合、 デー タ の記述が正 し い こ と を確認 し て く だ さ い。 ユーザー
が最 も 犯 し がちな間違いは、 tvalid を考慮す る こ と な く ワー ド は書 き 込まれ る も の と 考えてい る 点です。 ま た、
tlast がアサー ト さ れてい る と き に ど のバ イ ト が有効であ る か を示すため、tkeep 信号を使用す る 必要があ る こ
と に も 注意 し て く だ さ い。 tlast がアサー ト さ れていない場合、 tkeep は無視 さ れます。
•
RX イ ン タ ーフ ェ イ ス か ら 正 し く 読み出 し を実行 し てい る か を確認 し て く だ さ い。 デー タ お よ びフ レー ミ ン グ信
号は、 tvalid がアサー ト さ れてい る 間のみ有効です。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
102
付録 C : デバ ッ グ
デザイ ン コ ンパイル中の問題
•
コ ンパ イ ル時、 src デ ィ レ ク ト リ のすべての フ ァ イ ルを含め る よ う に し て く だ さ い。
•
VHDL を使用 し てい る 場合は、 合成に aurora_pkg.vhd フ ァ イ ルを必ず含め る 必要があ り ます。
次の手順
前述のデバ ッ グ方法を試 し て も 問題が解決で き ない場合は、サポー ト ケース を開いてザ イ リ ン ク ス サポー ト 担当者に
問題について質問 し て く だ さ い。
ウ ェ ブケース か ら テ ク ニ カル サポー ト ケース を作成する には、次のザ イ リ ン ク ス ウ ェ ブサ イ ト を参照 し て く だ さ い。
japan.xilinx.com/support/clearexpress/websupport.htm
ケース を作成す る 際に必要な も の :
•
問題の詳細な説明 と 、 前述のデバ ッ グ手順の結果
•
シ ミ ュ レーシ ョ ンの VCD ま たは WLF ダ ンプの添付
ソ リ ュ ーシ ョ ン について さ ま ざ ま な意見が必要な場合は、 ザ イ リ ン ク ス ユーザー コ ミ ュ ニ テ ィ を ご利用 く だ さ い。
forums.xilinx.com/xlnx/
ハー ド ウ ェ ア デバ ッ グ
Aurora 8B/10B コ アには、 サ ン プル デザ イ ン で Vivado ラ ボ ツールを使用す る オプシ ョ ンがあ り ます。 サ ン プル デザ
イ ンには ILA お よ び VIO コ アがすでに イ ン ス タ ン シエー ト さ れてお り 、重要な ス テー タ ス信号や制御信号が接続 さ れ
てい ます。
推奨 : シ リ アル ト ラ ン シーバーの属性がア ッ プデー ト さ れてい る こ と を確認 し て く だ さ い。シ リ アル ト ラ ン シーバー
の属性設定を ア ッ プデー ト す る 方法お よ び詳細は、 付録 D 「Transceiver Wizard で ラ ッ パー フ ァ イ ルを生成」 を参照
し て く だ さ い。 こ のセ ク シ ョ ンでは、 よ く 発生す る 問題のデバ ッ グ フ ロ ーを図で示 し ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
103
付録 C : デバ ッ グ
図 C-1 では、 ハー ド ウ ェ ア デバ ッ グの実行手順を示 し てい ます。
X-Ref Target - Figure C-1
6WDUW
7UDQVFHLYHU'HEXJ
67(3
86(5B&/.
*HQHUDWLRQ67(3
/$1(B83
$VVHUWLRQ67(3
6LQJOH
/DQH"
&KDQQHO%RQGLQJ
,QGLFDWLRQ67(3$
&+$11(/B83
$VVHUWLRQ67(3
&+$11(/B83
$VVHUWLRQ67(3%
'DWD7UDQVIHU67(3
;
図 C-1 : フ ロー図
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
104
付録 C : デバ ッ グ
手順 1 : ト ラ ン シーバーのデバ ッ グ
ト ラ ン シーバーは、 Aurora コ アの重要な構築ブ ロ ッ ク であ る ため、 ト ラ ン シーバー動作をデバ ッ グ し て正常な動作を
保証す る こ と が何 よ り も 大切です。 図 C-2 は、 ト ラ ン シーバー関連の問題をデバ ッ グする 際の手順を示 し てい ます。
X-Ref Target - Figure C-2
67$57
$WWULEXWHXSGDWHVZLWKUHVSHFWWR
GHYLFHVLOLFRQYHUVLRQ
*75()&/.&KHFN
*73///RFN6WDWXV
*7,QLWLDOL]DWLRQ6HTXHQFH
/223%$&.&RQILJXUDWLRQ
7HVWLQJ
(1'
;
図 C-2 : GT デバ ッ グのフ ロー図
1.
デバ イ ス シ リ コ ンのバージ ョ ンに基づいて属性を ア ッ プデー ト
ト ラ ン シーバーの属性は、 ボー ド で使用 さ れてい る デバ イ ス のシ リ コ ン バージ ョ ンに対応す る 必要があ り ます。
各シ リ コ ンのバージ ョ ンに与え ら れた適切な回避策お よ びア ンサーの ソ リ ュ ーシ ョ ン をすべて適用 し ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
105
付録 C : デバ ッ グ
2.
GT REFCLK の確認
ト ラ ン シーバーの基準 ク ロ ッ ク には低ジ ッ タ ーの差動 ク ロ ッ ク を供給す る 必要があ り ます。 オン ボー ド の差動 ク
ロ ッ ク を ト ラ ン シーバーへ接続す る こ と に よ っ て、 外部 ク ロ ッ ク 生成の問題や ト ラ ン シーバーへ接続 さ れ る 外部
ク ロ ッ ク ケーブルの問題に限定す る こ と がで き ます。
3.
GT PLL ロ ッ ク の確認
ト ラ ン シーバーは、 入力 さ れ る GT REFCLK に ロ ッ ク し 、 plllock 信号を アサー ト し ます。 こ の信号は、 Aurora
サ ン プル デザ イ ン では tx_lock 信号 と し て現れ ま す。 GT PLL 属性が適切に設定 さ れてお り 、 ト ラ ン シーバー
が、指定 し た ラ イ ン レー ト と デー タ パ ス幅に基づいて適切な周波数の txoutclk 信号を生成す る こ と を確認 し ま
す。 Virtex®-7 お よ び Kintex®-7 FPGA GTX/GTH ト ラ ン シーバーの場合、 Aurora コ アは生成 さ れた コ アの Channel
PLL (CPLL) を使用 し 、 Artix®-7 FPGA GTP ト ラ ン シーバーの場合は PLL0/PLL1 を使用す る と い う 点に留意 し て
く だ さ い。
4.
GT 初期化シーケ ン ス
Aurora コ アは、 シーケ ン シ ャ ル モー ド で リ セ ッ ト モー ド を使用す る ため、 すべての ト ラ ン シーバー コ ン ポーネ
ン ト が順次 リ セ ッ ト さ れます。 ト ラ ン シーバーの初期化が完了す る と 、 txresetdone お よ び rxresetdone 信
号がアサー ト さ れます。通常、rxresetdone のアサー ト 時間は、TXRESETDONE よ り も 長 く な り ます。gt_reset
信 号 の パ ル ス 幅 が そ れ ぞ れ の ト ラ ン シ ー バ ー ガ イ ド ラ イ ン に 準 拠 し て い る こ と を 確 認 し て く だ さ い。
txresetdone お よ び rxresetdone 信号は、 Aurora サンプル デザ イ ンに含まれてお り 、 モニ タ ーで き ます。
5.
LOOPBACK コ ン フ ィ ギ ュ レーシ ョ ン テ ス ト
ループバ ッ ク モー ド は、 ト ラ ン シーバー デー タ パ ス の特殊な コ ン フ ィ ギ ュ レーシ ョ ンです。 Aurora サン プル デ
ザ イ ンの loopback ポー ト がループバ ッ ク モー ド を制御 し ます。 ループバ ッ ク モー ド には 4 種類あ り 、 それ ら
のガ イ ド ラ イ ンお よ び詳細は、 該当す る ト ラ ン シーバーのユーザー ガ イ ド を参照 し て く だ さ い。 図 C-3 に、 4 つ
のループバ ッ ク モー ド を備えたループバ ッ ク テ ス ト の コ ン フ ィ ギ ュ レーシ ョ ン を示 し ます。
X-Ref Target - Figure C-3
/LQN1HDU(QG7HVW6WUXFWXUHV
7HVW/RJLF
/LQN)DU(QG7HVW6WUXFWXUHV
1HDU(QG*7;
)DU(QG*7;
5;30$
5;3&6
7UDIILF
&KHFNHU
7;30$
7;3&6
7;30$
7;3&6
7UDIILF
*HQHUDWRU
5;30$
5;3&6
;
図 C-3 : ループバ ッ ク テ ス ト の概略図
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
106
付録 C : デバ ッ グ
手順 2 : USER_CLK の生成
GT は、 ラ イ ン レ ー ト お よ び レ ー ン 幅 の パ ラ メ ー タ ー値 に 基づ い て txoutclk を 生成 し ま す。 txoutclk か ら
user_clk が生成 さ れ、 Aurora 8B/10B コ アは こ の信号を FPGA ロ ジ ッ ク ク ロ ッ ク と し て使用 し ます。
こ のため、 ユーザーは user_clk が txoutclk か ら 予想どお り の周波数で適切に生成 さ れてい る か を確認す る 必要
があ り ます。 user_clk の周波数が予想範囲か ら 外れてい る 場合は、 適用 し た GT 基準 ク ロ ッ ク の周波数をチ ェ ッ ク
す る 必要があ り ます。 さ ら に、 GT PLL 属性をチ ェ ッ ク し て、 生成 さ れた txoutclk 周波数が適切であ る か を確認 し
ます。
手順 3 : LANE_UP のアサー ト
lane_up 信号のアサー ト は、 GT と そのチ ャ ネル パー ト ナー間の通信が確立 さ れ、 リ ン ク ト レーニ ン グが正常に行
われた こ と を示 し ます。 こ の信号は VIO へ接続 さ れてい る ため、 モニ タ ー可能です。 lane_up がアサー ト さ れない
場合は、 LANE_INIT_SM モジ ュ ールの FSM ス テー ト 信号を確認 し てデバ ッ グ を実行す る 必要があ り ます。 lane_up
のア サー ト に関す る 詳細は、 『Aurora 8B/10B プ ロ ト コ ル仕様 v2.2 』 (SP002) [参照 4] の 「Lane Initialization Procedure」
を参照 し て く だ さ い。
手順 4 : CHANNEL_UP のアサー ト
channel_up 信号の アサー ト は、 チ ャ ネル パー ト ナー間で転送 さ れ る Aurora 8B/10B プ ロ ト コ ルで定義 さ れた検証
シーケ ン スお よ び 4 つの検証シーケ ン ス の受信完了が基準にな り ます。 こ の信号は、 channel_up_i と し て VIO へ接続
さ れてい ます。 channel_up がアサー ト さ れない場合は、 CHANNEL_INIT_SM モジ ュ ールの FSM ス テー ト 信号を確
認 し てデバ ッ グ を実行す る 必要があ り ます。 channel_up のアサー ト に関す る 詳細は、 『Aurora 8B/10B プ ロ ト コ ル仕
様 v2.2 』 (SP002) [参照 4] の 「Channel Verification Procedure」 を参照 し て く だ さ い。
手順 4A : チ ャ ネル ボンデ ィ ングのアサー ト
複数レーンの Aurora デザ イ ンには、 チ ャ ネル ボ ンデ ィ ン グが必要です。 チ ャ ネル ボ ンデ ィ ン グは GT で実行 さ れ、 必
要な ロ ジ ッ ク は transceiver_wrapper モジ ュ ールに含まれます。 チ ャ ネル ボ ンデ ィ ン グ レベル、 マ ス タ ーお よ びス レー
ブの接続が適切であ る こ と を確認す る 必要があ り ます。 channel_up のアサー ト に関す る 詳細は、 『Aurora 8B/10B プ
ロ ト コ ル仕様 v2.2 』 (SP002) [参照 4] の 「Channel Bonding Procedure」 を参照 し て く だ さ い。
手順 4B : CHANNEL_UP のアサー ト
こ の手順は、 前述の手順 4 と 同 じ です。
手順 5 : デー タ 転送
channel_up が ア サー ト さ れ た 後、 Aurora 8B/10B コ ア はデー タ 転送 を 開始で き ま す。 デー タ エ ラ ーは、 VIO で
err_count_r 信号 と し てモニ タ ーで き ます。 デー タ 転送を モニ タ ーす る ため、 tx_d 信号 と rx_d 信号が VIO に接
続 さ れてい ます。 こ のほか、 soft_err、 hard_err、 お よ び frame_err 信号 も VIO に接続 さ れてい ます。 GT は、
ク ロ ッ ク コ レ ク シ ョ ンやチ ャ ネル ボ ンデ ィ ン グ用に FIFO を使用 し ます。 こ の GT FIFO のオーバーフ ロ ー /ア ン ダー
フ ロ ーは、 hard_err (HARD_ERR) の原因 と な り ます。 GT FIFO の オーバーフ ロ ー /ア ン ダーフ ロ ー エ ラ ーを修正す
る には、 GT の CLK_COR_MIN_LAT お よ び CLK_COR_MAX_LAT 属性を変更す る 必要があ り ます。
注記 : src デ ィ レ ク ト リ の下にあ る err_detect モジ ュ ールに ENABLE_SOFT_ERR_MONITOR パ ラ メ ー タ ーがあ り ます。
こ れを使用 し て リ ーキー バケ ッ ト アルゴ リ ズ ム を制御で き ます。デバ ッ グ目的 と し て、 こ のパ ラ メ ー タ ーを 0 にす る
と 、 こ の リ ーキー バケ ッ ト アルゴ リ ズ ム を無効にで き ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
107
付録 C : デバ ッ グ
シ ミ ュ レーシ ョ ン ではチ ャ ネルア ッ プ を確認で き るが、 ハー ド
ウ ェ ア では確認で き ない
•
reset と gt_reset 入力は両方 と も ア ク テ ィ ブ High です。 ハー ド ウ ェ アで リ セ ッ ト の極性が適切に処理 さ れて
い る か を確認 し ます。
•
refclk の周波数は、 生成 さ れた Aurora 8B/10B コ アが求め る 周波数 と 同 じ であ る かを確認 し ます。
•
refclk が合成回路で駆動 さ れてい る 場合、 合成回路が安定 ( ロ ッ ク ) し てい る こ と を確認 し ます。
•
TXP/TXN か ら RXP/RXN へのケーブル接続が適切であ る か を確認 し ます。
•
シ リ アル ト ラ ン シーバーか ら RXNOTINTABLE エ ラ ーが確認 さ れた場合には、IBERT を使用 し て リ ン ク を有効に
し て く だ さ い。 チ ャ ネル上で BER がない よ う に し て く だ さ い。 IBERT ツールの ス イ ープ テ ス ト を用いて、 IBERT
で BER ゼ ロ と な る シ リ アル ト ラ ン シーバー属性を使用 し ます。
•
連続的な ソ フ ト エ ラ ーはハー ド エ ラ ー と な り 、 チ ャ ネルを再初期化 し ます。 <component name>_err_detect モ
ジ ュ ールで ENABLE_SOFT_ERR_MONITOR を 0 に設定 し 、 ソ フ ト エ ラ ーか ら のハー ド エ ラ ー アサーシ ョ ン を
無効に し ます。
その他のサポー ト
前述のデバ ッ グ方法を試 し て も 問題が解決で き ない場合は、サポー ト ケース を開いてザ イ リ ン ク ス サポー ト 担当者に
問題について質問 し て く だ さ い。
ウ ェ ブケース か ら テ ク ニ カル サポー ト ケース を作成する には、次のザ イ リ ン ク ス ウ ェ ブサ イ ト を参照 し て く だ さ い。
japan.xilinx.com/support/clearexpress/websupport.htm
ケース を作成す る 際に必要な も の :
•
問題の詳細な説明 と 、 前述のデバ ッ グ手順の結果
•
前述の手順で Vivado ラ ボ ツールが取 り 込んだデー タ
ソ リ ュ ーシ ョ ンについて さ ま ざ ま な意見が必要な場合は、 ザ イ リ ン ク ス ユーザー コ ミ ュ ニテ ィ を ご利用 く だ さ い。
forums.xilinx.com/xlnx/
イ ン タ ー フ ェ イ スのデバ ッ グ
AXI4-Stream イ ン タ ー フ ェ イ ス
デー タ が送信ま たは受信 さ れていない場合は、 次を確認 し ます。
•
s_axi_rx_tvalid 入力がアサー ト さ れた後、 送信の s_axi_tx_tready が Low の ま ま にな る 場合、 コ アは
デー タ を送信で き ません。
•
受信の m_axi_rx_tvalid が Low の ま ま にな る 場合、 コ アはデー タ を受信 し ません。
•
user_clk 入力が接続 さ れてお り 、 ト グル し てい る こ と を確認 し ます。
•
AXI4-Stream の波形に従っ てい る こ と を確認 し ます。 デー タ 送信は図 3-12、 デー タ 受信は図 3-16 を参照 し て く だ
さ い。
•
コ アの コ ン フ ィ ギ ュ レーシ ョ ン を確認 し ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
108
付録 D
Transceiver Wizard で ラ ッ パー フ ァ イルを生成
ト ラ ン シーバーの属性は、 Aurora 8B/10B コ アの機能において重要な役割を果た し ます。 最新の Transceiver Wizard を
使用 し て、 ト ラ ン シーバーの ラ ッ パー フ ァ イ ルを生成 し て く だ さ い。
推奨 : Transceiver Wizard はア ッ プデー ト さ れてい る が、 Aurora コ アはア ッ プデー ト さ れていない場合、 ザ イ リ ン ク ス
の Vivado® Design Suite ツール リ リ ース で ト ラ ン シーバーの ラ ッ パー フ ァ イ ルを ア ッ プデー ト す る こ と を推奨 し てい
ます。
こ こ では、 こ れ ら の ト ラ ン シーバー ラ ッ パー フ ァ イ ルの生成方法について説明 し ます。
こ れ ら の手順に従っ て、 7 シ リ ーズ FPGA ト ラ ン シーバー ウ ィ ザー ド を使用 し て ト ラ ン シーバー ラ ッ パー フ ァ イ ル
を生成 し ます。
1.
IP カ タ ロ グ を使用す る 場合、 7 Series FPGA Transceivers Wizard の最新バージ ョ ン を実行 し ます。 ト ラ ン シーバー
ウ ィ ザー ド の コ ン ポーネ ン ト 名は、 Aurora 8B/10B コ アの コ ン ポーネ ン ト 名 と 同 じ に し て く だ さ い。
2.
レーン数お よ びレーン幅に基づいて、 次の中か ら プ ロ ト コ ル テ ンプ レー ト を選択 し ます。
°
Aurora 8B/10B single lane 2 byte
°
Aurora 8B/10B single lane 4 byte
°
Aurora 8B/10B multi lane 2 byte
°
Aurora 8B/10B multi lane 4 byte
3.
アプ リ ケーシ ョ ン要件に基づいて、 TX と RX の [Line Rate] を変更 し ます。
4.
アプ リ ケーシ ョ ン要件に基づいて、 ド ロ ッ プダ ウ ン メ ニ ュ ーか ら TX と RX の基準 ク ロ ッ ク を選択 し ます。
5.
アプ リ ケーシ ョ ン要件に基づいて、 ト ラ ン シーバー と ク ロ ッ ク ソ ース を選択 し ます。
6.
その他の設定はデフ ォ ル ト を使用 し ます。
7.
コ ア を生成 し ます。
8.
Aurora 8B/10B コ アの gt デ ィ レ ク ト リ にあ る <component
name>_gt.v[hd] お よ び <component
name>_multi_gt.v[hd] フ ァ イ ル を 7 series FPGA Transceivers Wizard で 生成 さ れ た <component
name>_gt.v[hd] お よ び <component name>_multi_gt.v[hd] に置 き 換え ます。
こ れで Aurora 8B/10B コ アの ト ラ ン シーバー設定が最新 と な り ます。
注記 : UltraScale™ アーキ テ ク チ ャ の Aurora IP コ アは、 階層的な呼び出 し 方法で UltraScale デバ イ ス の gtwizard IP コ
ア を呼び出 し ます。 こ れに よ り 、 すべての ト ラ ン シーバーの属性、 パ ラ メ ー タ ー、 お よ び必要な回避策が整い、 正 し
く 適用 さ れます。 ほ と ん ど の場合、 UltraScale デバ イ ス の ト ラ ン シーバー フ ァ イ ルを手動で変更する 必要はあ り ませ
ん。 Aurora IP コ アのサンプル デザ イ ンの XDC フ ァ イ ルで属性を変更で き ます。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
109
付録 E
タ イ ミ ング エ ラ ーの対処
こ こ では、 ト ラ ン シーバーが遠 く に配置 さ れた結果生 じ る タ イ ミ ン グ エ ラ ーの対処方法について説明 し ます。 Aurora
8B/10B コ アの場合、 コ ア生成中に任意の組み合わせの ト ラ ン シーバーを選択で き ます。 タ イ ミ ン グ エ ラ ーに影響を
与え る デザ イ ンのパ ラ メ ー タ ーは次の と お り です。
•
ラ イ ン レー ト
•
ト ラ ン シーバーのデー タ パ ス幅 (2/4 バ イ ト )
•
選択 し た 2 つの ト ラ ン シーバー間に存在す る 未使用 ト ラ ン シーバーの数
こ れ ら のパ ラ メ ー タ ー (1 つま たは複数) が原因で、 タ イ ミ ン グ エ ラ ーが生 じ る 可能性があ り ます。 理由は次の と お り
です。
•
CHBONDO が タ イ ミ ン グ を満た さ ない
•
RXCHARISCOMMA、 RXCHARISK、 お よ び RXCHANISALIGNED が タ イ ミ ン グ を満た さ ない
タ イ ミ ン グ を満たす よ う にす る には、 次の方法を適用で き ます。
•
連続的に ト ラ ン シーバーを選択す る 。
Aurora 8B/10B Vivado® IDE の [Lane Assignment] を使用 し て コ ア生成中に ト ラ ン シーバーを選択す る 。
注記 : ほ と ん ど の タ イ ミ ン グ エ ラ ーは、未使用 ト ラ ン シーバーお よ び ト ラ ン シーバー間のチ ャ ネル ボ ンデ ィ ン グ
信号の接続が原因です。
•
Vivado Design Suite の イ ンプ リ メ ン テーシ ョ ンで [Strategy] を使用す る 。 こ のオプシ ョ ンの使用方法については、
『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896) [参照 7] を参照 し て く だ さ い。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
110
付録 F
その他の リ ソ ースおよび法的通知
ザイ リ ン ク ス リ ソ ース
ア ンサー、 資料、 ダ ウ ン ロ ー ド 、 フ ォー ラ ム な ど のサポー ト リ ソ ース は、 ザ イ リ ン ク ス サポー ト サ イ ト を参照 し て
く だ さ い。
ザ イ リ ン ク ス の資料で使用 さ れてい る 技術用語については、 ザ イ リ ン ク ス用語集を参照 し て く だ さ い。
参考資料
Aurora コ アに関す る 詳細情報お よ び最新情報は、 Aurora 製品ページ (japan.xilinx.com/aurora) か ら 次の資料を参照 し て
く だ さ い。
次の資料は、 こ の製品ガ イ ド の補足資料 と し て役立ち ます。 Aurora 8B/10B コ ア を生成す る 前に、 こ れ ら の資料を参
照 し て必要な知識を身につけてお く 必要があ り ます。
1.
『UltraScale アーキ テ ク チ ャ GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG576)
2.
『7 シ リ ーズ FPGA GTP ト ラ ン シーバー ユーザー ガ イ ド 』 (UG482)
3.
『7 シ リ ーズ FPGA GTX/GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG476)
4.
『Aurora 8B/10B プ ロ ト コ ル仕様 v2.2 』 (SP002)
5.
『AMBA AXI4-Stream プ ロ ト コ ル仕様 』 (v1.0)
6.
『Vivado® Design Suite ユーザー ガ イ ド : IP イ ン テ グ レー タ ーを使用 し た IP サブシ ス テ ムの設計』 (UG994)
7.
『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896)
8.
『Vivado Design Suite ユーザー ガ イ ド : 入門』 (UG910)
9.
『Vivado Design Suite ユーザー ガ イ ド : ロ ジ ッ ク シ ミ ュ レーシ ョ ン』 (UG900)
10. 『Vivado Design Suite ユーザー ガ イ ド : 移行手法ガ イ ド 』 (UG911)
11. 『LogiCORE IP Aurora 8B/10B v5.3 デー タ シー ト 』 (DS637)
12. 『LogiCORE IP Aurora 8B/10B v5.3 ユーザー ガ イ ド 』 (UG353)
13. 『Vivado Design Suite ユーザー ガ イ ド : プ ロ グ ラ ムお よ びデバ ッ グ』 (UG908)
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
111
付録 F : その他の リ ソ ースおよび法的通知
改訂履歴
次の表に、 こ の文書の改訂履歴を示 し ます。
日付
バージ ョ ン
内容
2014 年 4 月 2 日
10.2
• UltraScale アーキ テ ク チ ャ のサポー ト を追加。
• init_clk の周波数要件を更新。
• User Data、 NFC、 お よ び UFC イ ン タ ーフ ェ イ ス に リ ト ルエンデ ィ ア ン形式
のサポー ト を追加
2013 年 12 月 18 日
10.1
• ト ラ ン シーバー デバ ッ グ ポー ト の追加。
• すべての ス ク リ ーン シ ョ ッ ト を変更。
• 図中のすべての信号表記を小文字に変更。
2013 年 10 月 2 日
10.0
• 新 し い章を追加 : 「シ ミ ュ レーシ ョ ン」、 「テ ス ト ベンチ」、 「合成お よ び イ ン
プ リ メ ン テーシ ョ ン」。
• 共有 ロ ジ ッ ク お よ び ト ラ ン シーバー デバ ッ グ機能を追加。
• デ ィ レ ク ト リ お よ びフ ァ イ ル構造を変更。
• リ ソ ース使用率の表を変更。
• ホ ッ ト プ ラ グ ロ ジ ッ ク に関する 情報を追加。
• 図 5-1、 5-2、 5-3、 5-4、 5-5、 8-1、 お よ び B-3 の ス ク リ ーン シ ョ ッ ト を変更。
• すべての大文字信号表記を小文字に変更。
• 付録 「移行お よ びア ッ プグ レー ド 」 の内容を変更。
2013 年 6 月 19 日
9.1
• コ アのバージ ョ ン番号 と 一致す る よ う に リ ビ ジ ョ ン番号を 9.1 に変更。
• Vivado Design Suite v2013.2 お よ び ISE Design Suite v14.6 用に内容を変更。
• リ ビ ジ ョ ン ガ イ ド ラ イ ンに従っ て、 Aurora 8B10B v9.0 コ ア を Aurora 8B10B
v9.1 に変更。
2013 年 3 月 20 日
3.0
•
•
•
•
2012 年 12 月 18 日
2.0.1
•
•
•
•
•
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
Vivado Design Suite お よ びコ ア バージ ョ ン 11.0 用に内容を変更。
付録 C 「デバ ッ グ」 に ト ラ ン シーバーのデバ ッ グ方法の説明を追加。
第 5 章、 7 章、 付録 B の ス ク リ ーン シ ョ ッ ト を変更。
ISE、 CORE Generator™、 UCF、 Virtex®-6、 お よ び Spartan®-6 に関する 説明
を削除。
• リ セ ッ ト 波形を変更。
• デ ィ レ ク ト リ お よ びフ ァ イ ル構造を変更。
• Verilog 用の小文字表記のポー ト を追加。
Vivado Design Suite v2012.4 お よ び ISE Design Suite v14.4. 用に内容を変更。
最小お よ び最大レ イ テ ン シ を変更。
表 2-22 「 ト ラ ン シーバーのポー ト 」 に新 し い信号を多数追加。
第 5 章、 7 章、 付録 B の ス ク リ ーン シ ョ ッ ト を変更。
付録 C 「デバ ッ グ」 の内容を変更。
japan.xilinx.com
112
付録 F : その他の リ ソ ースおよび法的通知
日付
バージ ョ ン
内容
2012 年 10 月 16 日
2.0
こ の リ リ ース では、 Vivado Design Suite v2012.3 お よ び ISE® Design Suite v14.3
を使用する コ ア バージ ョ ン 8.3 をサポー ト し てい ます。
主な変更は次の と お り です。
• 図 5-1、 5-2、 7-2、 8-1、 8-2、 8-3、 8-4、 10-2、 お よ び B-3 の ス ク リ ーン シ ョ ッ
ト を変更。
• 第 7 章 「 コ アの生成」 に手順を追加。
• Artix®-7 デバ イ ス のサポー ト を追加。
• GTH ト ラ ン シーバーのサポー ト を追加。
• 表 2-22 に LOOPBACK[2:0] お よ び GT_RESET ポー ト を追加。
• 図3-2 の IBUFDS_GTXE1 を IBUFDS_GTE2 に置き 換え。
• 第 6 章の 「デザ イ ン制約」 セ ク シ ョ ン を削除。
• 「 ク ロ ッ ク 周波数」、 「I/O 配置」、 お よ び 「I/O 規格お よ び配置」 セ ク シ ョ ン を
追加。
2012 年 7 月 25 日
1.0
初版。 こ の リ リ ー ス では、 Vivado® Design Suite v2012.2 を 使用す る コ ア バー
ジ ョ ン 8.2 をサポー ト し てい ます。 こ の資料は、 UG766 と DS797 に代わ る 資料
です。
法的通知
The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products.To the maximum extent
permitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND
CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY,
NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort,
including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection
with, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage
(including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such
damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no obligation to correct any
errors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify, distribute,
or publicly display the Materials without prior written consent.Certain products are subject to the terms and conditions of Xilinx's limited warranty,
please refer to Xilinx's Terms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos; IP cores may be subject to warranty and support
terms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any application
requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in such critical applications, please refer to Xilinx's
Terms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos.
© Copyright 2012-2014 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands
included herein are trademarks of Xilinx in the United States and other countries.AMBA, AMBA Designer, ARM, ARM1176JZ-S, CoreSight,
Cortex, and PrimeCell are trademarks of ARM in the EU and other countries. All other trademarks are the property of their respective owners.
本資料は英語版 (v10.2) を翻訳 し た も ので、 内容に相違が生 じ る 場合には原文を優先 し ます。
資料に よ っ ては英語版の更新に対応 し ていない も のがあ り ます。
日本語版は参考用 と し て ご使用の上、 最新情報につ き ま し ては、 必ず最新英語版を ご参照 く だ さ い。
こ の資料に関す る フ ィ ー ド バ ッ ク お よ び リ ン ク な ど の問題につ き ま し ては、 [email protected] ま でお知 ら せ く だ さ い。
いただ き ま し た ご意見を参考に早急に対応 さ せていただ き ます。 なお、 こ の メ ール ア ド レ スへのお問い合わせは受け付け てお り ま
せん。 あ ら か じ めご了承 く だ さ い。
Aurora 8B/10B v10.2
PG046 2014 年 4 月 2 日
japan.xilinx.com
113
Fly UP