...

GAIO CLUB 2005.4

by user

on
Category: Documents
11

views

Report

Comments

Transcript

GAIO CLUB 2005.4
自動車ECUアプリ開発 ・ソフト品質向上のために
フリースケール・セミコンダクタ社 & ガイオの開発提案
組み込みソフト品質改善のための ガイオツールを まとめて ご紹介
ガイオ 「
No.1 システムシミュレータ」製品発表会 全国5カ所で開催!
本誌 「ガイオ倶楽部」は、ガイオテクノロジーが発行する、組み込み開発者のための技術情報誌です。日頃の皆様との
お打ち合わせを通じて頂いた貴重なご意見や情報を、 ツールベンダーの観点から記事としてまとめ、 皆様の開発にお役
立て頂ける情報としてフィードバックさせて頂いております。購読は無料です。毎月の発送はお申し込み制となっておりま
すので、 ご希望の方は、 弊社 WEB ページよりお申し込み下さい。
*本誌は、 WEB からのお申し込み、 弊社開催のセミナーへご参加頂いた方に、 無料でお届けしております。
*送付拒否などのご希望がございましたら、 [email protected] まで、 電子メールにてご連絡下さい。
[送付元]〒 103-0013 東京都中央区日本橋人形町 3-12-8 ガイオ・テクノロジー (株)営業部
自動車ECUアプリ開発 ・ソフト品質向上のために
フリースケール ・セミコンダクタ社 & ガイオの開発提案
∼高性能プロセッサと 搭載されるECU大規模ソフトの検証∼
はじめに
空気の状態やエンジンの回転数などを
時間ベースやクランク角ベースのタイミング
的確にモニタして、最適な添加タイミング
制御をユーザープログラムで行う場合で
本特集では、 フリースケール ・セミコン
と燃料噴射量を制御することで、効率よく
も、より緻密な制御ロジックの実装が可能
ダクタ社より協力を頂き、 自動車アプリ
動力性能を得ると共に、 よりクリーンな排
になります。
ケーション開発の現状と、 ECU に搭載さ
ガスを実現しなければ、 厳しい環境基準
さらに、MPU コアにはSIMD (
並列演算
れる大規模アプリケーションの開発 ・検証
値を満たすことが難しくなります。
アーキテクチャ)が採用されており、 DSP
電子制御化の進む自動車
ますます高性能化する
プロセッサで環境基準をクリア
れにより、エンジン点火のタイミングと燃料
現在の自動車は、
自動車向けプロセッサの分野では、 フ
構成要素のほとんど
リースケール社製 MPC5xx ファミリが業界
手法について解説します。
は電子制御化されて
演算の取り込みも可能になっています。こ
NISSAN
噴射量の制御をダイナミック演算方式に変
えることも可能で、 マップデータを搭載し
で大きなシェアを持っています。 このシ
おり、車体内部に50
リーズの新製品 「
MPC5554」の例では、
個を超えるマイコン
32 ビットPowerPC(R)コアに、 2MB もの大
が搭載される車種もあります。
容量フラッシュメモリ、タイミング制御に特
自動車の開発では、 その分野を、 パ
化したもう1つの RISC プロセッサ(eTPU)
ワートレイン系 (
動力伝達)
、 ボディー系
を搭載しており、非常に高性能なプロセッ
(
車体)
、 セーフティシャーシ系 (
安全走
サとなっています。
行)
、 テレマティクス系 (
情報)に分けて
例えば、 内蔵の 2MB のフラッシュメモリ
開発されていますが、 そのどれにもECU
を活用すると、エンジン点火のタイミングと
(電子制御ユニット)が搭載されていま
燃料噴射量を制御するための、 より緻密
す。
なマップデータが搭載可能になます。
また、 メインM P U とは独立した eTPU
環境に優しいエンジン
(
enhanced Time Processing Unit)により、
今、 自動車業界は新た
な環境対策の課題に直面
しています。これからの自
ていたフラッシュメモリに、他のより高度な
制御プログラムとデータを格納することも可
能になります。
このように、環境基準をクリアして、排ガ
スをクリーンにするために、 車載用プロ
セッサの高性能要求は、 ますます加速し
ています。
フリースケール ・セミコンダクタ社製 MPC5554 の主な特長
■132MHz PowerPC ISA e200コア
■ 32KB キャッシュ、 MMU
■ DSP 演算、 浮動小数点演算をサポートするSIMD 回路
■ 2MB 内蔵 Flash メモリ
■ 64KB 内蔵 RAM
■タイマ: eTPU (
64ch、 24bit タイマ)
■タイマ: eMIOS (
24ch、 24bit タイマ)
■通信インターフェース (
CAN × 3、 SCI × 2、 SPI × 4)
■ 40ch 12bit 分解能高速 AD
■64ch DMA
■− 40 ∼+ 125℃対応 416PBGA
■サンプル供給中
Cam
Shaft
Signal
Conditioning
pin
Input
CH
Crank
Shaft
Signal
Conditioning
pin
Input
CH
Spark
Plug
Driver
pin
Output
CH
Spark
Plug
Driver
pin
Output
CH
MPC5554
Core
SIMD
eTPU
動車には、 性能や快適さ
に加え、 今まで以上に環
境を配慮したエンジン開発、 自動車開発
が求められています。
例えば、米国カリフォルニア州大気自然
局(
CARB)が定めた2008 年排ガス規制
や、 欧州の排ガス規制などの規制値をク
リアするためには、 より緻密なエンジン制
御が必要とされています。
2
GAIO CLUB 2005.4
Fuel
Injector
Fuel
Injector
Driver
Driver
pin
Output
CH
pin
Output
CH
2MB
Flash
SRAM
Communication
フリースケール社製 MPC5554 プロセッサを使用したエンジン制御例
AD
大きな変革期を迎える
自動車向けマイコン組み込み市場
フリースケール社とガイオとの
「実践的な」パートナーシップ
自動車 ECU に搭載されるマイコンは、
現在のECU ソフトを取
大きな変革期を迎えています。
り巻く開発環境は、 多
現在、 ケータイ、 デジタル家電製品で
岐にわたっており、 上
は、画像処理、MPEG動画、ネットワー
流での仕様決定のため
ク接続など、 ソフトウエアによる機能実装
のモデリングツール、ク
大きなシェアを持つ MPC5xx シリーズを皮
切りに、フリースケール社と、従来よりも強
力で実践的なパートナーシップを結び、
日常のツール開発、営業 ・提案活動を共
にする体制を作っています。
V字開発の検証工程に
ソフトシミュレータを提案します
が進んでいます。 これらのソフトを効率よ
ロスコンパイラや RTOS などの組み込みに
く開発するために、RTOSの採用、マイコ
必要な開発ツール、 動作検証のための
ンプラットフォームの統一など、 開発手法
HIL-S、 SIL-S と言った各種シミュレータ
いて、 ソフト品質保証の原点である関数
の転換が図られてきました。
を、 ユーザーが1つ1つ集めるのは効率
モジュール単体テストや、 ECU 単体また
的ではありません。
は複数の ECU の結合システムの動作検
多くの半導体メーカーは、ガイオの様な
証のために、 マイコンシミュレータ (
ISS)
ツールベンダーを 「
サードパーティ」とし
を使用したソフトウエアシミュレータを展開
て、 ソフト開発のソリューションの一部とし
しています。
現 在 の 自 動 車
ECU にも、 CAN、
ECU
ECU
ECU
FlexRay、MOST な
ど、 車内ネットワー
クプロトコルが実装
ECU
ECU
ECU
ECU
ECU
ECU
ガイオでは、V字開発のテスト工程に置
て取り込むプログラムを持ちますが、実際
SIL-S の利点を活かして、 各社独自仕
され 始 め て お り、
の活動は、ユーザーへの提案をツールベ
様部分への柔軟な対応や、HIL-Sと比較
カーナビなどの情報機器との統合化によ
ンダーに任せてしまう傾向にあります。ガ
してより低コストな運用が可能になります。
り、 近い将来、 デジタル家電向けのマイ
イオも独自の活動で、ツール運用の提案
また、 各検証工程でのツールコアには、
コンを圧倒する性能が要求されると言われ
を行っていますが、 特にマイコンシミュ
同一のマイコンエンジン(
ISS)が使用され
ています。
レータのようなツール製品では、 プロセッ
ており、 マイコンを取り巻く周辺デバイス
車載ソフトウエアV字型開発への
SI
L- Sツールの提案
自動車 ECU は、 右図の様なV 字型の
サの正確なモデル化が必要であり、半導
の構成方法も同一であることから、 各検
体メーカーとの密接なつながりが、ツール
証工程での検証用モジュールの共用化、
の品質を高めることにもつながります。 ま
データの流用などが、 容易に行えます。
た、 プロセッサの性能を活かす開発ツー
また、上流でのMATLAB/Simulinkモデ
ル提案へもつながると考えています。
ルとの接続を行うインタフェースも備えてい
このようなことから、今回、車載 MPU に
ます。
プロセスで開発されています。 特徴的な
ら最終総合テストまでの間に、 検証過程
が多数設定されていることです。
ソフトウエアモジュールの基礎検証とし
UML
自動車 メーカー
のは、 コーディング、 設計を終えた後か
て、関数モジュール単体テスト、パスカバ
ズ)
と呼ばれるハードウエアエミュレータが
一般的に用いられています。 しかしなが
ECUメーカー
(
Hardware-in-the-loop Simulrator :ヒル
制 御 システム
全 体 の テスト
ガイオのツール
ソリューション
モジュール要 求 分 析
モジュール単 位 テスト
モジュール毎 の
要求仕様定義
モジュール毎 の
テスト
システム検 証 ツール・SI
L-S
「システムシミュレータ」
各 種SIL-S
では、 古くから行われています。
E C U 動 作 の検 証 には 、 H I L - S
システムテスト・評 価
制御 システム 全体 の
要求仕様定義
システム
評価
MATLAB
Simulink
レッジテストは、 自動車ソフト開発の分野
システム 要 求 分 析
ECU単 体 設 計
ECU単 体 テスト
ECU 単 体 の
要求仕様定義
ECU 単 体 の テスト
カスタム検 証 ツール
開発 サービス
コード生 成
テストベクタ生成
コード解析
コード解析 ツール
「CasePl
ayer
2」
単 体カバレッジ
モジュールテストツール
「カバレッジマスター wi
nAMS」
コーディング・デバッグ
ECU ソフトウエアの
設 計 ・実装 ・デバッグ
ら、 H I L - S のシステムは高価であること
や、メーカ間のデータ互換性が低い等の
V字型 車載ソフト開発を取り巻く開発環境と ガイオのツールソリューション
(
※図中の製品名は、 各社の登録商標です。)
課題もあるようです。
ガイオでは、マイコンシミュレータ (
ISS)
をコアに、 より環境構築が柔軟で、 運用
コストを低く抑えることのできる、ソフトウエ
アのみの検証システム「
SIL-S (
Softwarein-the-loop Simulator :シルズ)
」を提案
しています。
半導体ベンダー&ツールベンダーのパートナーシップにより 皆様のソフト開発を改善する 「
実践的な」提案をさせて頂きます
ガイオ ・テクノロジー (
株)
岩井 陽二
フリースケールとガイオは、車載ECU向けプロセッサMPC5xxシリーズにおいて、密接なパートナー
シップにより、複雑化するソフト開発に対応するソリューションを提供しています。MPC5xx性能を活
かす大規模アプリケーションの開発には、V字型開発プロセスにおける多数のソフト検証工程で、ソ
フトウエア品質を保証する必要があります。 ガイオは、 ソフトモジュール単体テスト用シミュレータ、
システム動作検証用シミュレータ (
SIL-S)を提供し、 皆様の開発をサポート致します。
GAIO CLUB 2005.4
3
組み込みシステム検証 ・ソフト品質改善のための
シミュレータツール&コード解析ツールを まとめて ご紹介!
ソフト品質評価 ・改善
ツール特集!
関数モジュール設計からシステムテストまで
各設計工程に利用できる ソフト品質改善ツール
組み込みアプリケーションソフト実装量の増加
スコード資産を使用する際の 「
ソースコード評
に伴い、自動車機器の分野はもとより、ケータ
価 ・解析」
、 システムに対する 「
イベントタイミ
イ、デジタル家電製品においても、ソフト品質
ング網羅テスト」を効率的にこなすツールが求
改善の取り組みが盛んになっています。
められています。
ソフト品質保証の基礎である 「
モジュール単
ここでは、 ガイオの 「
ソフト品質改善ツール」
体テスト」や、 他のエンジニアが設計したソー
をまとめて紹介致します。
組み 込みソフト検証工程
GAIO
ガイオ検 証ツール
ソリューション
システム動作テスト
システムシミュレータ
ソースレビュー・コード解析
2
CasePl
ayer
モジュール単体 ・カバレッジ
カバレッジマスター
システムシミュレータ
実機を使用することなく
組み込みシステムの検証が可能
「
システムシミュレータ」は、マイコンと周辺デ
バイスを含めたシステム全体を対象とした動作
検証が可能な、組み込みソフト検証用のシミュ
レータです。
クロスコンパイラでコード化されたオブジェクト
上で動作している実機では再現が難しい条件、
例えば、 複雑なタイミングでの多重割り込み
や、 滅多に発生しない例外条件なども、 容易
「
IF ビルダー」は、 MS-Visual Studio .NET
の上に構築されており、Windowsアプリ作成の
感覚で、 HW のモデリングが可能です。
にシステムに再現することができます。
システムに与えるテストの条件、 データなど
は、 Windows のファイルシステムを使用して、
テキストファイルで与えることが可能なため、柔
軟なテストシナリオを作成することができます。
他社製ソフトシミュレータ
MATLABとの協調検証も可能
他社制のモデリングツール、シミュレータと連
をそのまま実行するマイコンシミュレータ (
ISS)
動することで、 3D メカモデル、 HDL で記述さ
をコアに使用しており、i/o ポートアクセス、外
れたAISC などを取り込んだ協調検証を行うた
部割り込み動作なども、実際のマイコンと同様
周辺デバイスの仮想HW化を
支援する 「
I
Fビルダー」を搭載
めのインタフェースを持っています。
使用することなく、組み込みシステム動作その
周辺回路、 外部デバイスをソフトウエアでモ
トの動作検証を行うことも可能です。
ものの検証、 デバッグが可能です。
デル化するための SDK、 「
IF ビルダー」を提
実機では困難なタイミングテスト
イスの動作をモデル化したり、 仮想的なテスト
に動作します。
マイコンシミュレータは、 ソースコードデバッ
ガとしてのインタフェースを備えており、実機を
自動車開発で多用されている 「
MATLAB/
Simulink」との連携により、開発工程の上流で
モデリングされたHWを使用して、マイコンソフ
供しています。 C/C++ を使用して、周辺デバ
が容易に可能
組み 込み
制 御ソフト
装置 ・治具を自由に作ることができます。
仮想HWモデルとシミュレータとの接続のため
のクラスライブラリ・API が提供されており、 仮
想 HWの作成には、シミュレータについての特
が全てソフトウエアで再現されるため、 実時間
別な知識は必要ありません。
イベント発 生 装 置(仮 想 モデル)
;【クロック】【イベント種 別 】
; -- IRQ1 発生
1 0 0 ,IRQ1,
; -- IRQ1実 行 中 に IRQ2発 生
1 7 0 ,IRQ2,
300,IRQ2,
320,IRQ1,
500,IRQ1,
動作状態 をグラフで表示
①
イベント発 生スケジュール
を読 み 込み
4
GAIO CLUB 2005.4
マイコンシミュレータ「System -G」
※仮 想 ハ ー ド作 成 キット「IFビルダー」で作成
イベント発 生 シナリオデータファイル
③
②
マイコンシステムへ
イベントを発生
マイコンシミュレータ
同期
「
システムシミュレータ」は、 システムの挙動
MATLAB/Simulink
カバレッジマスター wi
nAMS
ターゲットコードの変更一切なしに
モジュール単体自動テストを実現
C0、 C1カバレッジテスト
CSVファイルでテスト対象
入力データを指定
関数を実行した結果、 関数内の実行経路
「
カバレッジマスター winAMS」は、モジュー
モジュール単体テストでは、テストのための特
ル単体自動テスト用ツールパッケージです。お
別なコードの追加や変更は一切必要ありませ
使いのクロスコンパイラで作成したオブジェクト
ん。コンパイル後のオブジェクトコードをそのま
コードをそのまま使用して、ソフトウエア内の任
まシミュレータにロードして、 テスト対象の関数
意の関数の入出力テストを、自動実行すること
名と与える入力データをCSVファイルに設定す
ができます。
るだけで、 すぐにテストが行えます。
(
実行されたソース行のパス)を出力する機能
を持ちます。 ソフトデバッグの指標であるC 0
(
命令カバレッジ)
、C1 (
分岐カバレッジ)のカ
バレッジテストを行うことができます。
STUB関数作成機能
ポインタ変数にも対応
テスト入 力 データ CSV
試験対象 の ソースコード
・組み 込 みソースをそのまま使 用
・コンパ イラも現 在お使 いのものを
利用可能
テスト時にのみ仮の関数を使用する 「
STUB」
マイコンシミュレータ
base(int a, int b, int c)
{
if (a == 1)
{
if (b == 1)
{
idx = 0; // data[0]
if (c == 1)
pos = 0; // data[0].str[0]
:
:
}
// 結 果 の 設 定
data[idx].c = data[idx].str[pos];
value = data[idx].str[pos]; //- 1;
}
機能が搭載されています。関数一覧で、関数
名のチェックボックスをON/OFF するだけで、
・対象 の 関 数 名
・入力変数名 & 入力 データ
・出力変数名 & 期 待 値
簡単に関数の入れ替えが行えます。 この際に
は、呼び出し側のソースコードを修正する必要
テスト結 果 出 力 CSV
は一切ありません。
データ入 出 力 モジュール
また、 ポインタ変数、 引数にも対応していま
す。 変数名を指定する際に、 「
$」の記号を
・出 力 変 数 名 &変数結果出力
・期 待 値との比較結果 (OK or NG)
カバレッジマスター winAMS パッケージ
付けるだけで、 ポインタ変数の実体を自動で
割り付け、CSVに指定した数値を変数値として
テスト実行することができます。
CasePl
ayer
2
ソース解析を容易にする
チャート・仕様書作成ツール
ソースコードを解析して 各種チャート構造図を作成
「
CasePlayer2」は、組み込みソースコードを
ソース解 析
仕様書
高速生成
解析してフローチャートなどのプログラム仕様書
を作成するCASE ツールです。 ソースや生成
したチャートを統合管理する「
仕様書ビューア」
を搭載しており、 フローチャート、 モジュール
構造図と、該当ソース行のダイナミックリンク機
能により、 ソースコードのレビュー作業が効率
ソースコードエディタ・仕 様 書ブラウザ
チャート化 のための
特殊なコメントや
書き込み は
一切不要
フローチャート
各種構造図
外部変数参照 リスト
的に行えます。ANSI 準拠 C 言語、非 ANSI 仕
様の組み込みC、 組み込み向けアセンブラに
開 発フローでの活 用 例
も対応しています。
設計 の 最 初か ら、設計 の 後工程 にいたるまで、いろいろな開 発 フェーズでご利 用 頂 けます。
外部変数解析機能を搭載
開
開発
発工
工程
程
基本設計
基本設計
後
工程
後工程
コーディング
コーディング
デバッグ
デバッグ
プロジェクト全体に渡って、 外部変数がどの
位置で参照されているか、また書き込みされて
A
B
いるかを、一覧リストで確認できます。リストか
資産の
既存ソフト
解析
ソースコードレビュー時の
参考資料作成
ら、 実際のソース行へのジャンプも行えます。
変数の不正なリード/ ライトを素早く発見し
て、 思わぬバグを机上で発見できる強力な機
能です。
• 既 存のソースコード資 産や、他の設
計 者が開 発したソー スコードを、瞬
時にビジュアル 化することで、ロジッ
クを素早く把 握
• 削 減した工 数を、コーディング作業
に有 効 利 用できます
• 各 設 計 者が共有している「外 部 変 数」
を一覧することで 、プロジェクト全体
を通じての、思わ ぬ不具合を発見 で
きます。
• フローチャートで、各担当者 のロジッ
クを客観評価 できます 。
開
開発
発
完
完了
了
仕様書作成
仕様書作成
C
開発記録としての
ドキュメント作成
• 開 発プロジェクト終 了 後、最 小 限の
工 数で、プログラム内容 をドキュメン
ト化できます
• 統 一されたフォー マットで、読みやす
いドキュメントが残せます
GAIO CLUB 2005.4
5
組み込みシステムのシミュレーション環境 「
合成」を実現した
ガイオ 「
No.1 システムシミュレータ」製品発表会のお知らせ
∼仮想HWブロックをGUI
上で接続するだけで検証環境が完成∼
組み込みソフト開発 ・検証用
システムシミュレータ
システムシミュレーションの課題
システムシミュレータを運用する上での課
No.1システムシミュレータ製品ロゴマーク
テスト不足になりがちな
組み込みソフトウエア
ガイオは、組み込みシステム全体をソフ
システムシミュレータは、ガイオのコア技
題の1つは、検証環境を如何に構築する
術である「
マイコンシミュレータ(
ISS)
」と、
かです。マイコンに接続されるHWをモデ
周辺デバイスの動作ロジックをソフトウエア
リングして 「
仮想HW」を作成するために、
でシミュレーションする「
仮想HW」により、
現在は、 C/C++ を使用してモデリングを
実機では実現が困難な例外条件下での
行うツール 「
IFビルダー」をご利用頂いて
動作テストや、 テストの自動化により、 網
います。
羅的なテストを反復して行うことのできる検
しかしながら、 「
仮想 HW」のモデル化
証環境です。
作業には当然のこのながら工数が発生し、
オールソフトで構築される環境の利点を
また、 環境構築自体は皆様の本来の仕
生かした、 実機ではできないデバッグが
事では無いため、運用すれば効果が得ら
可能になります。
れることは分かっていても、運用までの立
ち上げに時間が掛かってしまうことが課題
トウエア化して、 組み込みソフトを検証す
となっています。
る環境 「
システムシミュレータ」を推進して
高価な試作機台数を削減し
開発コストを抑えることが可能
います。
製品を出荷した後に
(
例1)複写機の紙搬送系HWモデル&テスト装置
なって発生してしまう
不具合、バグの原因
特に大型の製品開発に置いては、試作
は、テスト不足にある
機が非常に高価であり、試作台数が少な
と言 われています 。
いことから、 開発検証の効率化の障害と
不具合の多くは、 正
なる場合が多くあります。
常系の動作よりも、 複合的な条件の重
シミュレータによる検証システムは、 実
なった場合や異常系の動作シーケンスの
機の試作よりも低いコストで構築可能であ
中で発生します。実機を用いた検証工程
り、 作成した仮想 HW に関しては、 容易
では、 このような例外的な条件の再現が
に複製して使用できるため、 全体的な検
難しく、 事実上一度もテストができないま
証環境の運用コストを抑える効果もありま
ま、 出荷されてしまうケースもあります。
す。
仮想HWモデル・テスト装置
(ソフトウエア化した周辺デバイス)
マイコンシミュレータ(ISS)
仮想 HW作成環境「IFビルダー」
(Microsoft Visual .NET C/C++)
i/oポート
連動
dll
生成
割 り込み
現在の 「
システムシミュレータ」検証環境構築の概要図
6
GAIO CLUB 2005.4
(
例2)制御系HWモデル
ステッピングモータ&コントローラ
(
例3)汎用の仮想テスト装置 (
各種標準モニター)
展
展示
示会
会
出
出展
展情
情報
報!
!
検証環境を自動合成する
No,1 システムシミュレータ発表!
そこで、 ガイオは、 このような検証環境
構築に対する課題を解決するために、短
仮想 HW 部品ブロックを接続してシステムを定義、シミュレーション環境を自動合成
コンポーネント
データベース
仮想 HW
LIB
仮 想 HW
LIB
仮想 HW
LIB
仮 想 HW
LIB
期間で容易に検証環境を作成できるツー
ルを発表致します。
仮想 HW
LIB
仮 想 HW
LIB
新 開 発バーチャル コンポーネントシンセサイザー
組み 込みシステム検証モデル シンセシス
「
仮想 HW」データベースとして提供し、
i/o
仮 想HW
#1
PORT
i/o
仮 想HW
#2
CPU
コア
PORT
従来、ユーザー自身が C/C++ によりモ
デル化していた機能ブロックを、ガイオが
PORT
仮 想HW
LIB
i/o
仮想 HW
#3
INT
仮 想HW
LIB
シミュレーション実行環境 を生 成
デ ー タベースよ りDrag&Drop
ユーザーは、 これらを組み合わせて接続
することで、 組み込みシステムのモデル
(
参考)開発中の 「
バーチャル コンポーネント シンセサイザー」画面
化が可能になります。GUI上のブロック線
図でシステムを定義するだけで、 シミュ
レーション環境を自動合成します。
仮想 HW 部品ライブラリをガイオが提供
組み込みシステムの検証環境を短時間で構築す
るための、「
バーチャルコンポーネントシンセサイ
ザー」を開発しています。 組み込みシステムの
H W モデルを仮想部品化した 「コンポーネント
データベース」より、必要な部品を選択し、エディ
タ上で構成するだけで、 組み込みシステムのシ
ミュレーションモデルが完成します。
バーチャルコンポーネントシンセサイザー
新開発の「
バーチャルコンポーネントシンセサイ
ザー」
は システム検証環境を自動合成します。仮
想 HW 部品 (
コンポーネント)の選択配置、各コ
ンポーネント間の接続を指定は、すべてGUI上で
行えるようになっています。ここで設計した情報か
ら、「
システムシミュレータ」を使用したシステム検
証環境が自動合成されます。
5/19 より 全国5カ所にて
「
NO.1 システムシミュレータ 製品発表会&テクニカルセミナー」開催日程
地区
日程
会場
■名古屋
5/19(木 )
栄ガスビル 4F会 議 室 (地 下 鉄 栄 駅 徒 歩2分 )
■ 大阪
5/25(水 )
OBP内 クリスタル タワー20F A会 議 室 テムシミュレータ製品発表会&テクニカル
■ 東京
5/27(金 )
全 国 町 村 会 館ホール A (地 下 鉄 永 田 町 駅 徒 歩 1分 )
セミナー」を、 開催させて頂く運びとなり
■ 仙台
6/1(水 )
仙 台 商 工 会 議 所 4F 中 会 議 室 (地 下 鉄 勾 当 台 公 園 駅 す ぐ)
■ 福岡
6/7(火 )
福岡 システムLSI総 合センター会議室 B (福 岡・百 道 浜)
製品発表会を開催します!
5/19 より、右記の日程にて 「
NO.1 シス
ました。この発表会では、実際の製品の
解説を交えながら、組み込み開発へのシ
※開催時間はいずれの会場も、 13:00 ∼ 16:30 を予定しております。
ミュレーション環境の適用性と、その容易
さをご理解いただくためのセッションを予定
しております。
事前登録制となっておりますので、弊社
WEB ページよりご登録下さい。
(
構想発表を行った ガイオプライベートテクニカルセミナー2004の模様: 2004/11/30開催)
http://www.gaio.co.jp/
■トップページ下の 「
News & Topics」から登録ページへ
ご参加は無料です。
事前登録をお願いします。
GAIO CLUB 2005.4
7
無 料 定 期 セミナースケジュール
GAIO Technical Seminar Information
弊社製品をご体験頂くための定期セミナーを設けております。ご
各セミナーとも、 半日コース (
13:00 ∼ 16:30)の設定となって
購入の検討を短時間で行うために、 ご参加をお奨め致します。
おります。 受講は無料です。
シミュレータファミリ定期セミナー
プロトビルダー体験 定期セミナー
シミュレータファミリのセミナーは、ご検討内容に応じ
プロトビルダーのセミナーは、導入トレーニングとして
で選択いただける、以下3つのコースを用意しておりま
もご利用いただける基本コースを用意しております。受
す。 全コース、 受講無料です。
講無料です。
■シミュレーションを中心としたテスト&検証 入門コース
■ プロトビルダー HMI モデル制作体験コース
組み込み開発に 「
シミュレータ」の導入を検討されている方に最適な、
製品 HMI 仕様作成ツール 「
プロトビルダー」の体験セミナーです。実
シミュレータの体験コースです。 製品版 「
システムシミュレータ」を実
際に製品版 「
プロトビルダー」を操作して、サンプルPDAモデルのHMI
際に操作して、 「
シミュレーション開発」をご体験頂けます。
設計をご体験頂けます。ご購入後の導入トレーニングとしてもご利用頂
*
カレンダー
けます。
開催日 : 4/8(金)、 5/10(火)、6/10(金)
*
カレンダー
開催日 : 4/13 (
水)
、 5/11 (
水)
、 6/15 (
水)
■ソフトウエア品質向上セミナー ツール体験コース
モジュール単体・カバレッジテストにシミュレータの導入を検討されてい
る方に最適な体験コースです。製品版 「
カバレッジマスター winAMS」
を実際に操作して、 サンプルのテスト工程をご体験頂けます。
*
カレンダー
お申し込み方法
セミナーのお申し込みは ... E-mail : [email protected] まで
開催日 :4/15(金)、 5/12(木)、 6/17(金)
■テスト&検証ツール 環境構築実践コース(中級)
ご参加コース、 希望日時、 貴社名、 ご部署名、 ご参加者全
シミュレータの環境構築に必要な、周辺ハードのモデル化手法を学ん
員の氏名を明記の上、 電子メールにてお申し込み下さい。
でいただくためのテクニカルトレーニングコースです。仮想ハード作成
ツール 「
IF ビルダー」を使用して、実際にタイマーデバイスのモデル
化をご体験いただきます。※C言語プログラミングの知識が必要です。
*
開催日 : 4/22(金)、 5/13(金)、6/24(金)
カレンダー
セミナー会場
ガイオ ・テクノロジー
セミナー開催 カレンダー
4 月 / 2005
日
月
火
水
木
日本橋事業所 5 月 / 2005
ミズホビル セミナールーム
6 月 / 2005
金
土
日
月
火
水
木
金
土
1
2
1
2
3
4
5
6
7
日
月
火
水
木
金
土
1
2
3
4
3
4
5
6
7
8
9
8
9
10
11
12
13
14
5
6
7
8
9
10
11
10
11
12
13
14
15
16
15
16
17
18
19
20
21
12
13
14
15
16
17
18
17
18
19
20
21
2 2 23
2 2 23 2 4 2 5 26 27 2 8
19
20
21
22 2 3 2 4 25
2 9 30
26 2 7 2 8 29 3 0
24 2 5 2 6 27 2 8 2 9 30
31
会場のご案内
GAI
O CLUB オンライン
「
GAI
O CLUB」をクリック!
ガイオ倶楽部のバックナンバーや記事
・営団地下鉄 日比谷線
・都営浅草線
人形町駅 A4 出口から 徒歩1分
お問 い合 わせ
How to contact us
製品に関するご相談 ・ご質問は
の抜粋は、 ガイオ W E B ページ上に、
ガイオ ・テクノロジー (
株)
営業部
PDF ファイルとして掲載されております。
TEL: 03-3662-3041 E-mail: [email protected] まで
ワンタイムパスワードを自動発行
記事の閲覧には、パスワードが必要で
す。WEB からの簡単な登録だけで、直
本誌ガイオ倶楽部に関するご感想 ・ご要望は
E-mail : [email protected] までお寄せ下さい
ぐにパスワードを自動発行致します。
ガイオ倶楽部 (
2005 年 4 月号 : 2005/4/8 発行)
制作 ・編集 ・発行 : ガイオ ・テクノロジー株式会社 営業部 マーケティング グループ
〒 103-0013 東京都中央区日本橋人形町 3-12-8 TEL: 03-3662-3041 FAX: 03-3662-3043 WEB : http://www.gaio.co.jp/
編集 ・発行人 : 岡田 利一 
Fly UP