...

UltraScale Architecture Soft Error Mitigation Controller v3.1

by user

on
Category: Documents
94

views

Report

Comments

Transcript

UltraScale Architecture Soft Error Mitigation Controller v3.1
UltraScale Architecture
Soft Error Mitigation
Controller v3.1
LogiCORE IP 製品ガ イ ド
Vivado Design Suite
2016 年 4 月 6 日 PG187
本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。
資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最
新情報につきましては、必ず最新英語版をご参照ください。
目次
IP の概要
第 1 章 : 概要
メ モ リ タ イプ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
エ ラ ー軽減のアプ ロ ーチ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
信頼性の推定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
機能概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
UltraScale+ デバ イ ス のサポー ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
アプ リ ケーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
サポー ト さ れていない機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
ラ イ セ ン スお よ び注文情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
第 2 章 : 製品仕様
UltraScale+ デバ イ ス のサポー ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
規格 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
パフ ォーマ ン ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
リ ソ ース使用状況 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ポー ト の説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
11
11
14
14
21
22
第 3 章 : コ ア を使用するデザイ ン
一般的なデザ イ ン ガ イ ド ラ イ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
構造オプシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
イ ン タ ーフ ェ イ ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
システム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ のマ ス ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
リ セッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
31
33
35
70
74
74
第 4 章 : デザイ ン フ ローの手順
コ アのカ ス タ マ イ ズお よ び生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コ アへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シ ミ ュ レーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
合成お よ び イ ンプ リ メ ン テーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
統合お よ びバ リ デーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
75
82
86
86
87
第 5 章 : サン プル デザイ ン
機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ポー ト の説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
イ ンプ リ メ ン テーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
外部 メ モ リ プ ロ グ ラ ミ ン グ フ ァ イ ル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
89
93
95
96
2
japan.xilinx.com
第 6 章 : テ ス ト ベン チ
付録 A : 検証、 互換性、 相互運用性
検証 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98
バ リ デーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99
適合性検査 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99
付録 B : 移行およびア ッ プグ レー ド
Vivado Design Suite でのア ッ プグ レー ド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100
付録 C : SPI バス タ イ ミ ング バジ ェ ッ ト
SPI バス ク ロ ッ ク の波形お よ び タ イ ミ ン グ バジ ェ ッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SPI バス送信の波形お よ び タ イ ミ ン グ バジ ェ ッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SPI バス受信の波形お よ び タ イ ミ ン グ バジ ェ ッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SPI バス タ イ ミ ン グ バジ ェ ッ ト の ま と め . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
102
103
105
114
付録 D : 評価ボー ド を使用 し た SEM Controller の動作デモ
ビ ッ ト ス ト リ ームの生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115
ハー ド ウ ェ ア と ソ フ ト ウ ェ アのセ ッ ト ア ッ プ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119
付録 E : エ ラ ー挿入の手引き
付録 F : IP デザイ ンのチ ェ ッ ク リ ス ト
付録 G : デバ ッ グ
ザ イ リ ン ク ス ウ ェ ブサ イ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
デバ ッ グ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ハー ド ウ ェ ア デバ ッ グ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
イ ン タ ーフ ェ イ ス のデバ ッ グ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
PFA を使用 し たエ ラ ー挿入 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ク ロ ッ キング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
デバ イ スへの依存性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
デザ イ ンのプ ロ パテ ィ お よ び制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
128
129
130
130
132
133
133
133
付録 H : その他の リ ソ ースおよび法的通知
ザ イ リ ン ク ス リ ソ ース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
お読み く だ さ い : 重要な法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
134
134
135
137
3
japan.xilinx.com
IP の概要
は じ めに
•
LogiCORE™ IP UltraScale™ Architecture Soft Error Mitigation
(SEM) Controller (以下、 SEM Controller ま たは コ ン ト ロ ー
ラ ー ) は、 ザ イ リ ン ク ス FPGA の コ ン フ ィ ギ ュ レーシ ョ ン メ
モ リ で発生 し た ソ フ ト エ ラ ーを検出お よ び訂正す る ための
事前検証済み ソ リ ュ ーシ ョ ンで、 自動的に設定 さ れます。 ソ
フ ト エ ラ ー と は、 ス テー ト エ レ メ ン ト に格納 し た値が電離
放射線に よ っ て変化 し て し ま う こ と をいい ます。
SEM Controller は ソ フ ト エ ラ ーを防 ぐ のではな く 、 ソ フ ト エ
ラ ーのシ ス テ ム レベルでの影響を よ り 適切に管理する ため
の手段を提供 し ます。 こ れ ら イ ベン ト を適切に管理す る こ と
で信頼性 と 可用性が向上 し 、 シ ス テ ム メ ン テナン ス と ダ ウ
ン タ イ ムの コ ス ト を削減で き ます。
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ に対 し て診断ス キ ャ ン
を実行 し 、 フ レーム レベル ECC に よ っ て検出 さ れたす
べてのエ ラ ーを報告す る 機能 (診断ス キ ャ ン)。
°
UltraScale+ デバ イ ス を タ ーゲ ッ ト と し た場合、 こ
の機能は現在サポー ト さ れません。
こ の LogiCORE IP について
コ アの概要
サポー ト さ れ る
デバ イ ス
フ ァ ミ リ (1)
UltraScale+™ デバ イ ス (1)、
UltraScale デバ イ ス
サポー ト さ れ る
ユーザー
イ ン タ ーフ ェ イ ス
RS-232、 SPI
表 2-10 ~表 2-11 を参照
リ ソ ース
機能
コ アに含まれる も の
•
KU040 において標準的な検出レ イ テ ン シは 13ms。
デザ イ ン フ ァ イ ル
暗号化済み RTL
•
FPGA 内蔵のエ ラ ー検出機能を完全に利用 し つつ さ ら に
発展 さ せた ビル ト イ ン シ リ コ ン プ リ ミ テ ィ ブ を統合。
サンプル デザ イ ン
Verilog
テ ス ト ベンチ
N/A
用途に応 じ た 6 つのモー ド
制約フ ァ イ ル
ザ イ リ ン ク ス デザ イ ン制約フ ァ イ ル (.xdc)
•
•
•
°
軽減 + テ ス ト (Mitigation and Testing) モー ド
°
軽減 (Mitigation only) モー ド
°
検出 + テ ス ト (Detect and Testing) モー ド
°
検出 (Detect only) モー ド
°
エ ミ ュ レーシ ョ ン (Emulation) モー ド
°
モニ タ ー (Monitoring) モー ド
デザ イ ンの動作に影響 し ないエ ラ ーについては、 動
作を中断 し て リ カバ リ を実行す る 必要がな く な る た
め、 ア ッ プ タ イ ムが向上。
実効 FIT (Failures In Time) が改善。
•
オプシ ョ ンのエ ラ ー挿入お よ び便利なデバ ッ グ機能に よ
り 、 SEM Controller のアプ リ ケーシ ョ ンの評価をサポー
ト。
•
ICAP (内部 コ ン フ ィ ギ ュ レーシ ョ ン ア ク セ ス ポー ト ) プ
リ ミ テ ィ ブの共有を容易にす る ICAP アービ ト レーシ ョ
ン イ ン タ ーフ ェ イ ス。
•
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ を継続的に ス キ ャ ン し
て、 最初に検出 さ れた ECC ま たは CRC (巡回冗長検査)
エ ラ ーを報告す る 機能 (訂正な し ) (検出ス キ ャ ン)。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
サポー ト
ソ フ ト ウェア
ド ラ イ バー
N/A
Vivado® Design Suite
デザ イ ン入力
ザ イ リ ン ク ス のエ ッ セ ン シ ャ ル ビ ッ ト テ ク ノ ロ ジ を利
用 し たオプシ ョ ンのエ ラ ー分類機能に よ り 、 ソ フ ト エ
ラ ーがユーザー デザ イ ンの機能に影響 し たか ど う かを
判定。
°
N/A
テ ス ト 済みデザイ ン フ ロー (2)
隣接フ レームに ま たが る 複数ビ ッ ト エ ラ ーを高速に訂正
す る ECC (誤 り 訂正符号) アルゴ リ ズ ム ベース のエ ラ ー
訂正機能 (オプシ ョ ン)。
°
シ ミ ュ レーシ ョ ン
モデル
N/A
シ ミ ュ レーシ ョ ン
Vivado 合成
合成
サポー ト
ザ イ リ ン ク ス サポー ト ウ ェ ブ ページで提供
注記 :
1. サポー ト さ れてい る デバ イ ス の一覧は、 Vivado IP カ タ ロ グ を参
照 し て く だ さ い。 Vivado Design Suite 2016.1 ではプ リ プ ロ ダ ク
シ ョ ン容量の KU9P のみがサポー ト さ れてお り 、 ハー ド ウ ェ ア
で検証 さ れてい ます。
2. サポー ト さ れてい る ツールのバージ ョ ンは、 『Vivado Design
Suite ユーザー ガ イ ド : リ リ ース ノ ー ト ガ イ ド 、 イ ン ス ト ールお
よ び ラ イ セ ン ス』 を参照 し て く だ さ い。
japan.xilinx.com
4
Production 製品仕様
第 1章
概要
ほ と ん ど のシ リ コ ン デバ イ ス は、 電離放射線か ら 望ま し く ない影響を受け る 可能性があ り ます。 1 回の イ ベン ト で
生 じ る 望ま し く ない影響を、 シ ン グル イ ベン ト 効果 (SEE) と 総称 し ます。 ほ と ん ど の場合、 こ の イ ベン ト に よ っ て
シ リ コ ン デバ イ ス が恒久的な損傷を受け る こ と はあ り ません。 こ の よ う に、 デバ イ ス に恒久的な損傷を与えない
SEE を ソ フ ト エ ラ ー と 呼びます。 ただ し ソ フ ト エ ラ ーに よ っ て信頼性が低下す る 可能性があ り ます。
ザ イ リ ン ク ス のデバ イ ス は ソ フ ト エ ラ ーの影響を受けに く い よ う に設計 さ れてい ます。 ただ し 、 商業性な ど を考慮
し た現実的な制約の中で ソ フ ト エ ラ ーを完全にな く すのは不可能であ る こ と も ザ イ リ ン ク ス は認識 し てい ます。 こ
のため、 ザ イ リ ン ク ス の多 く のデバ イ ス フ ァ ミ リ は ソ フ ト エ ラ ーの検出/訂正機能を内蔵 し てい ます。
ソ フ ト エ ラ ーは多 く のアプ リ ケーシ ョ ンで無視で き ます。 高い信頼性が要求 さ れ る アプ リ ケーシ ョ ン も 、 通常は内
蔵の ソ フ ト エ ラ ー検出/訂正機能で十分に対処で き ます。 特に要求の厳 し いアプ リ ケーシ ョ ンでは、 UltraScale™
Architecture SEM Controller を使用す る こ と で さ ら に高い信頼性を確保で き ます。
メモリ タ イプ
ソ フ ト エ ラ ーが発生す る と 、 1 ビ ッ ト ま たは複数ビ ッ ト の メ モ リ 内容が破損 し ます。 デバ イ ス の コ ン フ ィ ギ ュ レー
シ ョ ン メ モ リ で ソ フ ト エ ラ ーが発生す る と 、 デザ イ ンの動作が影響を受け、 デザ イ ンの メ モ リ 素子で発生す る と デ
ザ イ ンの ス テー ト が影響を受け ます。 デバ イ ス には、 主に次の 4 種類の メ モ リ があ り ます。
•
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ – こ の ス ト レージ素子を使用 し て、 デバ イ ス に読み込まれ る デザ イ ンの機能を
設定 し ます。 こ れには、 フ ァ ン ク シ ョ ン ブ ロ ッ ク の動作お よ び接続がそれぞれ含まれます。 こ の メ モ リ はデバ
イ ス全体に物理的に分散 し てお り 、 デバ イ ス内で最 も ビ ッ ト 数の多い メ モ リ です。 ただ し 、 デバ イ ス に読み込
ま れ る デザ イ ンの実際の動作に影響す る エ ッ セ ン シ ャ ル ビ ッ ト は全体のご く 一部です。
•
ブ ロ ッ ク メ モ リ – デザ イ ン ス テー ト の格納に使用す る 大容量の ス ト レージ素子です。 名前が示す よ う に、 こ れ
ら のビ ッ ト は物理的なブ ロ ッ ク に ま と め ら れてお り 、 デバ イ ス全体にい く つかのブ ロ ッ ク が分散 し てい ます。
ブ ロ ッ ク メ モ リ はデバ イ ス内で 2 番目に ビ ッ ト 数の多い メ モ リ です。
•
分散 メ モ リ – デザ イ ン ス テー ト の格納に使用する 中容量の ス ト レージ素子です。 分散 メ モ リ は一部の コ ン フ ィ
ギ ュ ラ ブル ロ ジ ッ ク ブ ロ ッ ク (CLB) に存在 し 、 デバ イ ス全体に分散 し てい ます。 分散 メ モ リ はデバ イ ス内で
3 番目に ビ ッ ト 数の多い メ モ リ です。
•
フ リ ッ プ フ ロ ッ プ – デザ イ ン ス テー ト の格納に使用する 小容量の ス ト レージ素子です。 こ の メ モ リ はすべての
CLB に存在 し 、 デバ イ ス全体に分散 し てい ます。 フ リ ッ プ フ ロ ッ プはデバ イ ス内で 4 番目にビ ッ ト 数の多い メ
モ リ です。
こ れ以外の メ モ リ と し て、 内部デバ イ ス制御レ ジ ス タ お よ びス テー ト エ レ メ ン ト があ り ますが、 こ れ ら のビ ッ ト 数
はご く わずかです。 こ れ ら の メ モ リ 領域で ソ フ ト エ ラ ーが発生する と 、 局所的ま たはデバ イ ス全体に障害が起 こ る
こ と があ り 、 こ れを シ ン グル イ ベン ト フ ァ ン ク シ ョ ナル イ ン タ ラ プ ト (SEFI) と 呼びます。 こ れ ら の メ モ リ はビ ッ ト
数が少ないため、 こ こ では SEFI イ ベン ト の発生確率は無視で き る も の と 見な し ます。 ま た、 ほ と ん ど発生す る こ と
のない こ れ ら の イ ベン ト には SEM Controller は対処 し ません。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
5
japan.xilinx.com
第 1 章 : 概要
エ ラ ー軽減のア プ ローチ
ブ ロ ッ ク メ モ リ 、 分散 メ モ リ 、 フ リ ッ プ フ ロ ッ プに格納 し たデザ イ ン ス テー ト に対す る ソ フ ト エ ラ ーは、 エ ラ ー検
出/訂正 コ ー ド や冗長性な ど の標準的な手法を用いてデザ イ ン自体で軽減策を実行で き ます。 未使用のデザ イ ン ス
テー ト リ ソ ース、 すなわちデバ イ ス に物理的に存在 し ていて も デザ イ ンが使用 し ていない リ ソ ース で発生 し た ソ フ
ト エ ラ ーは無視 さ れます。 特に信頼性が重視 さ れ る デザ イ ンでは リ ス ク エ リ ア を評価 し 、 保証内容に応 じ てデザ イ
ン ス テー ト に対す る エ ラ ー軽減手法を採用する 必要があ り ます。
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ に格納 さ れたデザ イ ン機能に対する ソ フ ト エ ラ ーは、 エ ラ ー検出お よ び訂正 コ ー ド
を使用 し て軽減を図 り ます。
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ は幅の広い ス タ テ ィ ッ ク RAM の よ う に フ レームの配列 と し て構成 さ れます。 各フ
レームは多 く のデバ イ ス フ ァ ミ リ で ECC に よ っ て保護 さ れてお り 、 フ レームの配列全体はすべてのデバ イ ス フ ァ ミ
リ で CRC に よ っ て保護 さ れてい ます。 こ れ ら 2 つの手法は補完的な関係にあ り 、 CRC はエ ラ ー検出性能が非常に高
く 、 ECC はエ ラ ー位置を高精度で特定 し ます。
SEM Controller はデバ イ ス内蔵 ロ ジ ッ ク の強力な機能を さ ら に発展 さ せ、 コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ のエ ラ ーを
エ ッ セ ン シ ャ ル と 非エ ッ セ ン シ ャ ルに分類す る オプシ ョ ン機能を備え てい ます。 こ の機能は、 実際のデザ イ ンの動
作に影響す る エ ッ セ ン シ ャ ル ビ ッ ト が コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ ビ ッ ト 全体のご く 一部であ る こ と を利用 し て
い ます。
エ ラ ー分類を無効に し た場合、 コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ のすべてのエ ラ ーをエ ッ セ ン シ ャ ル と 見なす必要が
あ り ます。 エ ラ ー分類を有効にす る と ほ と ん ど のエ ラ ーが非エ ッ セ ン シ ャ ル と 判定 さ れ る ため、 実害のないエ ラ ー
に対す る 警告を防 ぐ こ と がで き 、 シ ス テ ム レベルでの動作中断を伴 う エ ラ ー軽減策の実行頻度が低下 し ます。
ま た、 SEM Controller はデバ イ ス内蔵の訂正機能を拡張 し てエ ラ ー検出を高速化す る と 共に、 オプシ ョ ン で複数ビ ッ
ト エ ラ ーの処理に も 対応 し ます。
信頼性の推定
シ ス テ ム信頼性に関す る 仕様を決定す る には、 まずシ ス テ ム デザ イ ン全体の う ち特に重要なセ ク シ ョ ン を特定 し 、
セ ク シ ョ ン ご と に必要な信頼性の値を決定 し てい く 必要があ り ます。 一般に、 信頼性の要件は FIT (Failures In Time)
で表 し ます。 こ れは、 109 時間 (約 114,155 年) あ た り に予想 さ れ る デザ イ ンの故障回数です。
同 じ デザ イ ン を複数出荷す る 場合、 いずれか 1 つのデザ イ ンが ソ フ ト エ ラ ーの影響を受け る 確率は出荷台数に比例
し て大 き く な り ます。 た と えばあ る デザ イ ン を製品 と し て 1,000 個出荷 し た場合、 出荷全数の公称 FIT は 1,000 倍に
な り ます。 出荷全数の公称 FIT が大 き く な る と 保守/ メ ン テナン ス の負担が増大する こ と が考え ら れます。
出荷全数の公称 FIT は、 個々のデザ イ ンが影響を受け る 確率 と は異な り ます。 ま た、 あ る 特定のデザ イ ン で 2 回目
のエ ラ ーが発生す る 確率は、 出荷全数の FIT ではな く デザ イ ン個体の FIT に よ っ て決ま り ます。 こ れは、 個々のア
プ リ ケーシ ョ ンに適 し た ソ フ ト エ ラ ー軽減方針を検討する 際に考慮すべき 重要な点です。
ソ フ ト エ ラ ーに関す る FIT と 製品の推定耐用年数に関連す る FIT は区別 し て考え る 必要があ り ます。 後者はシ ス テ
ムの部品の一部交換ま たは物理的な修理が必要にな る 故障を想定 し た も のです。
ザ イ リ ン ク ス デバ イ ス の FIT デー タ は 『ザ イ リ ン ク ス デバ イ ス信頼性レ ポー ト 』 (UG116) [参照 1] に記載 し てい ま
す。 こ のデー タ は、 全体的に ソ フ ト エ ラ ーがほ と ん ど発生 し ない こ と を示 し てい ます。
ヒ ン ト : 故障率は非常に低いため、 ほ と ん ど のデザ イ ンで ソ フ ト エ ラ ー軽減策は必要あ り ません。
フ リ ッ プ フ ロ ッ プは数が少な く FIT の値 も 非常に小 さ いため、 デザ イ ン全体の FIT にはほ と ん ど影響 し ません。 と
はいえ、 フ リ ッ プ フ ロ ッ プに格納 さ れたデザ イ ン ス テー ト を保護する こ と の重要性は変わ り ません。 フ リ ッ プ フ
ロ ッ プに格納 さ れた ス テー ト がデザ イ ンの動作に と っ て き わめて重要な場合、 ソ フ ト エ ラ ーを検出お よ び訂正 し て
エ ラ ーか ら 回復す る ための ロ ジ ッ ク を アプ リ ケーシ ョ ンに適 し た形でデザ イ ンに実装する 必要があ り ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
6
japan.xilinx.com
第 1 章 : 概要
分散 メ モ リ やブ ロ ッ ク メ モ リ を多用す る デザ イ ンでは、 こ れ ら リ ソ ース がデザ イ ン全体の FIT に大き く 影響する 可
能性があ り ます。 前述の と お り 、 デザ イ ンで ソ フ ト エ ラ ー軽減策を と る こ と で、 デザ イ ン全体の FIT への影響を大
幅に抑え る こ と がで き ます。 た と えばブ ロ ッ ク メ モ リ リ ソ ース にはエ ラ ー検出/訂正回路が内蔵 さ れてお り 、 ブ ロ ッ
ク メ モ リ の コ ン フ ィ ギ ュ レーシ ョ ンに よ っ ては こ の軽減機能を利用で き ます。 プ ロ グ ラ マブル ロ ジ ッ ク リ ソ ース を
使用 し た ソ フ ト エ ラ ー軽減手法は、 コ ン フ ィ ギ ュ レーシ ョ ンに依存せずすべてのブ ロ ッ ク メ モ リ と 分散 メ モ リ に使
用で き ます。
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ はデザ イ ン全体の FIT に大 き く 影響 し ます。 エ ラ ー分類機能を使用 し ない場合、 コ
ン フ ィ ギ ュ レーシ ョ ン メ モ リ で発生 し た ソ フ ト エ ラ ーはすべてエ ッ セ ン シ ャ ル と 見なす必要があ り ます。 その場
合、 コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ に よ る FIT への影響がその他の要因に よ る 影響 よ り 圧倒的に大き く な り ます。
エ ラ ー分類機能を使用す る と ソ フ ト エ ラ ーのほ と ん ど を故障 と 見なす必要がな く な る ため、 デザ イ ン全体の FIT へ
の影響を抑え る こ と がで き ます。 実害のない ソ フ ト エ ラ ーは、 動作を中断せず訂正で き ます。
最高レベルの信頼性が要求 さ れ る デザ イ ンでは、 コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ で発生 し た ソ フ ト エ ラ ーの分類が
必須です。 SEM Controller は こ の機能を提供 し ます。
機能概要
SEM Controller は、 デザ イ ンの要件に応 じ て 6 種類のモー ド のいずれかで生成で き ます。
•
軽減 + テ ス ト (Mitigation and Testing) モー ド
•
軽減 (Mitigation only) モー ド
•
検出 + テ ス ト (Detect and Testing) モー ド
•
検出 (Detect only) モー ド
•
エ ミ ュ レーシ ョ ン (Emulation) モー ド
•
モニ タ ー (Monitoring) モー ド
軽減 (+ テ ス ト ) モー ド ではエ ラ ー検出、 エ ラ ー訂正、 お よ びオプシ ョ ンでエ ラ ー分類が可能です。 軽減モー ド では
エ ラ ー挿入はで き ません。
検出 (+ テ ス ト ) モー ド ではエ ラ ー検出が可能で、 エ ラ ーの訂正 と 分類はで き ません。 検出モー ド ではエ ラ ー挿入は
で き ません。
残 り の 2 つのモー ド (エ ミ ュ レーシ ョ ン モー ド と モニ タ ー モー ド ) では、シ ン グル イ ベン ト ア ッ プセ ッ ト (SEU) イ ベ
ン ト が発生 し た場合のシ ス テ ム動作を評価お よ び観察で き ます。 こ れ ら のモー ド には、 エ ラ ー検出、 エ ラ ー訂正、
お よ びエ ラ ー分類の機能はあ り ません。 モニ タ ー モー ド ではエ ラ ーは挿入で き ません。
いずれのモー ド で も 、 SEM Controller は まず初期化を実行 し ます。 こ の初期化に よ り 、 FPGA がユーザー モー ド に
な っ た後、 FPGA 内蔵の ソ フ ト エ ラ ー検出機能が既知の ス テー ト に移行 し ます。 こ の初期化後の SEM Controller の動
作は、 選択 し たモー ド に よ っ て異な り ます。 軽減 (+ テ ス ト ) モー ド お よ び検出 (+ テ ス ト ) モー ド の場合、 SEM
Controller は内蔵の ソ フ ト エ ラ ー検出ス テー タ ス を監視 し ます。 エ ミ ュ レーシ ョ ンお よ びモニ タ ー モー ド の場合はア
イ ド ル ス テー ト に移行 し 、 コ マ ン ド ま たはモニ タ ー イ ン タ ーフ ェ イ ス か ら の コ マ ン ド 入力を待ち ます。
軽減 (+ テ ス ト ) モー ド で ECC ま たは CRC エ ラ ーを検出する と 、 SEM Controller は状況を評価 し てエ ラ ーの発生 し た
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ 位置を特定 し ます。
メ モ リ 位置を特定で き た場合、 SEM Controller は ソ フ ト エ ラ ーを訂正 し ます。 訂正にはア ク テ ィ ブ パーシ ャ ル リ コ
ン フ ィ ギ ュ レーシ ョ ン を使用 し 、 Read-Modify-Write に よ っ て コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ を部分的に訂正 し ま
す。 こ の方法は、 アルゴ リ ズ ム を使用 し て訂正の必要なエ ラ ーを特定 し ます。
SEM Controller には、 ル ッ ク ア ッ プ テーブルを使用 し て ソ フ ト エ ラ ーがエ ッ セ ン シ ャ ルかそ う でないか を分類す る
オプシ ョ ン機能があ り ます。 エ ラ ー分類の実行中、 必要に応 じ て情報が フ ェ ッ チ さ れます。 こ のデー タ も イ ンプ リ
メ ン テーシ ョ ン ツールに よ っ て提供 さ れ、 SEM Controller の外部に格納 さ れます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
7
japan.xilinx.com
第 1 章 : 概要
ヒ ン ト : その ま ま使用可能な ソ リ ュ ーシ ョ ンでエ ラ ー分類機能を利用する には、 外部 SPI フ ラ ッ シ ュ にエ ッ セ ン シ ャ
ル ビ ッ ト デー タ を格納す る 必要があ り ますが、 分類機能は IP 外部に実装す る こ と も で き ます。 こ の場合、 エ ッ セ ン
シ ャ ル ビ ッ ト デー タ を シ ス テ ム メ モ リ に格納 し 、 SEM Controller のモニ タ ー /UART イ ン タ ーフ ェ イ ス で報告 さ れ る
エ ラ ー位置に基づいてル ッ ク ア ッ プを実行 し て く だ さ い。
検出 (+ テ ス ト ) モー ド で ECC ま たは CRC エ ラ ーを検出する と 、 SEM Controller は状況を評価 し てエ ラ ーの発生 し た
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ 位置を特定 し 、 可能であればその メ モ リ 位置を報告 し ます。 エ ラ ー検出レ ポー ト の
出力が終わ る と 、 SEM Controller はア イ ド ル ス テー ト に遷移 し ます。
軽減 + テ ス ト 、 検出 + テ ス ト 、 お よ びエ ミ ュ レーシ ョ ン モー ド では、 SEM Controller がア イ ド ル ス テー ト の場合に
ユーザーが コ マ ン ド を入力 し て コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ にエ ラ ーを挿入で き ます。 軽減 + テ ス ト モー ド お よ
び検出 + テ ス ト モー ド で こ の機能を使用す る と 、 よ り 大規模なシ ス テ ム デザ イ ンに統合 し た SEM Controller を テ ス
ト す る のに役立ち ます。
エ ミ ュ レーシ ョ ン モー ド で こ の機能を使用する と 、 シ ス テ ム デザ イ ンに対す る SEU イ ベン ト の影響を評価す る のに
役立ち ます。 シ ス テ ム検証/バ リ デーシ ョ ン エン ジニ アはエ ラ ー挿入機能を使用 し てテ ス ト ケース を構築 し 、 シ ス テ
ム全体が ソ フ ト エ ラ ー イ ベン ト に予想どお り の応答を示すか ど う か を確認で き ます。
エ ラ ー挿入以外に も 、 ア イ ド ル ス テー ト ではフ レーム読み出 し 、 コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 読み出 し 、 外部
メ モ リ 読み出 し 、 フ レーム ア ド レ ス変換な ど テ ス ト やデバ ッ グに役立つ機能を実行で き ます。
こ れ以外に、 検出ス キ ャ ン と 診断ス キ ャ ン と い う 2 種類のエ ラ ー検出機能があ り ます。 こ れ ら の コ マ ン ド は、 ど の
モー ド で も ア イ ド ル ス テー ト 時に SEM Controller に発行で き ます。
•
検出ス キ ャ ン – こ の コ マ ン ド を実行す る と SEM Controller は ECC ま たは CRC エ ラ ーを検出す る ま で コ ン フ ィ
ギ ュ レーシ ョ ン メ モ リ の ス キ ャ ン を継続 し ます。 エ ラ ーが検出 さ れ る と 、 SEM Controller はエ ラ ー レ ポー ト を
出力 し てア イ ド ル ス テー ト に戻 り ます。 軽減 (+ テ ス ト ) モー ド と は異な り 、 こ の機能にはエ ラ ー訂正は含まれ
ません。
•
診断ス キ ャ ン – こ の コ マ ン ド を実行す る と SEM Controller は コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ を 1 回ス キ ャ ン し
て、 検出 さ れたすべての ECC エ ラ ーを報告 し ます。 1 回の ス キ ャ ンが完了す る と 、 SEM Controller はア イ ド ル
ス テー ト に戻 り ます。 こ の機能で使用す る エ ラ ー検出 メ カ ニズ ムは、 デバ イ ス内蔵のエ ラ ー検出機能を使用 し
ません。 こ の タ イ プの ス キ ャ ンでは、 エ ラ ー訂正は実行 さ れません。
注記 : UltraScale+™ デバ イ ス を タ ーゲ ッ ト と す る 場合、 診断ス キ ャ ン機能は現在サポー ト さ れてい ません。
ほ と ん ど の場合、 SEM Controller は軽減 + テ ス ト モー ド でデフ ォ ル ト の コ ン フ ィ ギ ュ レーシ ョ ンの ま ま使用 し ます。
こ の場合、 SEU イ ベン ト の検出 と 訂正に加え、 エ ラ ー挿入機能 も 利用で き 、 ア イ ド ル ス テー ト でその他の便利な機
能をすべて利用で き ます。 量産段階で軽減モー ド に変更 し て、 エ ラ ー挿入機能を無効にする こ と も で き ます。
その他のモー ド お よ び機能は、 ユーザー制御に よ る も のな ど高度な SEU 軽減 ソ リ ュ ーシ ョ ン を必要 と す る シ ス テ ム
向けに用意 さ れてい ます。
ユーザー制御に よ る 軽減 ソ リ ュ ーシ ョ ン を必要 と す る 軽減ス ト ラ テジの一例 と し て、 訂正は行わずエ ラ ー ロ グのみ
を記録す る と い う も のがあ り ます。 こ の よ う な軽減ス ト ラ テジは、 SEM Controller を検出モー ド で コ ン フ ィ ギ ュ レー
シ ョ ン し て実装で き ます。
た と えば SEM Controller を検出モー ド で コ ン フ ィ ギ ュ レーシ ョ ン し て使用する と 、 コ ン ト ロ ー ラ ーは初期化完了後す
ぐ に検出ス キ ャ ン を自動で実行 し ます。 エ ラ ーが検出 さ れた場合、 そのエ ラ ーに対 し てユーザーはデバ イ ス の リ コ
ン フ ィ ギ ュ レーシ ョ ンや ロ ジ ッ ク の リ セ ッ ト な ど任意の措置を と る こ と がで き ます。
最初のエ ラ ーま たは最初の訂正不能エ ラ ーが検出 さ れた時点でデバ イ ス に対す る 診断ス キ ャ ン を周期的に実行 し 、
デバ イ ス に累積 し たすべての ECC エ ラ ーの ロ グ を記録 し ます。 ロ グに記録 さ れた検出済みフ レーム レベル ECC エ
ラ ーを使用 し て、 後でオ フ ラ イ ン ポ ス ト プ ロ セ ス を実行で き ます。
重要 : 診断ス キ ャ ン機能は軽減用には設計 さ れていないため、 リ アル タ イ ムの軽減には使用 し ないで く だ さ い。 コ ン
フ ィ ギ ュ レーシ ョ ン メ モ リ でエ ラ ーが発生 し た ま ま動作 さ せた場合、 ザ イ リ ン ク ス は FPGA の機能を保証 し ません。
こ の機能は検出モー ド や軽減モー ド に比べエ ラ ー検出レ イ テ ン シがは る かに大き いため、 診断目的の使用に と ど め
て く だ さ い。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
8
japan.xilinx.com
第 1 章 : 概要
UltraScale+ デバイ スのサポー ト
Vivado Design Suite 2016.1 で UltraScale+ デバ イ ス のサポー ト が SEM Controller に追加 さ れま し た。 サポー ト さ れてい
る デバ イ ス の一覧は、 Vivado IP カ タ ロ グ を参照 し て く だ さ い。 こ の リ リ ース では、 SEM Controller の一部の機能がサ
ポー ト さ れません。 こ れ ら の機能を次に示 し ます。
•
分類機能は無効です。
•
診断ス キ ャ ン機能はサポー ト さ れません。
•
デバ ッ グ機能の外部 SPI フ ラ ッ シ ュ メ モ リ 読み出 し (Xmem コ マ ン ド ) はサポー ト さ れません。
ア プ リ ケーシ ョ ン
SEM Controller は自律動作が可能ですが、ほ と んどのアプ リ ケーシ ョ ンでは こ の ソ リ ューシ ョ ン を アプ リ ケーシ ョ ン レ
ベルの監視機能 と 組み合わせて使用 し ます。 こ の監視機能は SEM Controller か ら の イ ベン ト 報告を監視 し 、 デバ イ ス
の リ コ ン フ ィ ギ ュ レーシ ョ ンやアプ リ ケーシ ョ ンの リ セ ッ ト な ど、 何 ら かの追加措置が必要かど う かを判断し ます。
シ ス テ ム を設計す る 際は、 各デザ イ ンの信頼性要件、 お よ び各種情報に基づいて判断を下すシ ス テ ム レベルの監視
機能を慎重に検討 し て く だ さ い。
そ も そ も 、 エ ラ ー軽減 ソ リ ュ ーシ ョ ンが必要なのかを検討 し ます。 SEM Controller が必要な場合は、 ど の機能を使用
す る か を検討 し ます。
アプ リ ケーシ ョ ン要件を考慮 し て SEM Controller が最善の選択肢であ る と 判断 し た場合、 外部デバ イ ス と の接続に使
用す る シ ス テ ム レベル デザ イ ンのヘルパー ブ ロ ッ ク を含め、 SEM Controller を提供 さ れた状態の ま ま で使用する こ
と を推奨 し ます。 ただ し 必要であれば、 こ れ ら の イ ン タ ーフ ェ イ ス を アプ リ ケーシ ョ ンに合わせて変更する こ と も
可能です。
推奨 : ザ イ リ ン ク ス は、 SEM IP コ ア を な る べ く 早い段階で (理想的にはプ ロ ジ ェ ク ト の最初か ら ) 統合す る こ と を推
奨 し てい ます。 詳細は、 87 ページの 「統合およ びバ リ デーシ ョ ン」 を参照 し て く だ さ い。
サポー ト さ れていない機能
SEM Controller は、 ブ ロ ッ ク メ モ リ 、 分散 メ モ リ 、 ま たはフ リ ッ プ フ ロ ッ プで発生 し た ソ フ ト エ ラ ーに対 し ては動
作 し ません。 こ れ ら メ モ リ リ ソ ース で発生 し た ソ フ ト エ ラ ーは、 冗長性ま たはエ ラ ー検出/訂正 コ ー ド な ど の予防手
段を用いてユーザー ロ ジ ッ ク で軽減策を と る 必要があ り ます。
デザ イ ンで SEM Controller を使用す る 際は、 次の点に も 注意 し て く だ さ い。
•
SEM Controller は、 FPGA シ リ コ ンに内蔵 さ れた ソ フ ト エ ラ ー軽減機能を初期化お よ び管理 し ます。 こ れをデザ
イ ンに含め る 場合は、 内蔵の検出機能を有効にす る よ う なデザ イ ン制約ま たはオプシ ョ ン を含めないで く だ さ
い。 た と えば POST_CRC、 POST_CONFIG_CRC、 ま たは こ れ ら に関連す る 制約を設定 し ないで く だ さ い。 同様
に、 GLUTMASK を変更す る オプシ ョ ンは指定 し ないで く だ さ い。
•
ソ フ ト ウ ェ アで計算 し た ECC お よ び CRC 値はサポー ト さ れません。
•
コ ン ト ロ ー ラ ーを イ ン ス タ ン シエー ト し たデザ イ ンのシ ミ ュ レーシ ョ ンがサポー ト さ れます。 ただ し シ ミ ュ
レーシ ョ ンでは コ ン ト ロ ー ラ ーの動作は観察で き ません。 コ ン ト ロ ー ラ ーを含むデザ イ ンのシ ミ ュ レーシ ョ ン
は コ ンパ イ ルで き ますが、 コ ン ト ロ ー ラ ーは初期化ス テー ト か ら 遷移 し ません。 コ ン ト ロ ー ラ ーの動作はハー
ド ウ ェ アで評価す る 必要があ り ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
9
japan.xilinx.com
第 1 章 : 概要
•
UltraScale+ デバ イ ス を タ ーゲ ッ ト と する 場合、 ビ ッ ト ス ト リ ーム セキ ュ リ テ ィ (暗号化 と 認証) の使用は現時点
でサポー ト さ れてい ません。 UltraScale デバ イ ス に対 し ては、 ビ ッ ト ス ト リ ーム セキ ュ リ テ ィ (AES 暗号化 と 認
証) がサポー ト さ れます。
•
コ ン ト ロ ー ラ ーは SelectMAP の persist をサポー ト し てい ません。
•
UltraScale アーキテ ク チ ャ では、 デバ イ ス の各ダ イ ま たは SLR (Super Logic Region) ご と に SEM Controller と
ICAP の イ ン ス タ ン ス が 1 つ必要です。 ICAP はそのダ イ の物理的に最上位の位置に配置する 必要があ り ます。
別の ロ ジ ッ ク が ICAP 経由で コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ にア ク セ スす る 必要があ る 場合、 マルチプ レ ク
サーを使用 し て ICAP へのア ク セ ス を共有する 必要があ り ます。
•
複数の ロ ジ ッ ク が コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ にア ク セ スする 場合、 こ の メ モ リ へのア ク セ ス を管理す る
アービ ト レーシ ョ ン ロ ジ ッ ク を作成する 必要があ り ます。 詳細は、 第 3 章の 「ICAP アービ ト レーシ ョ ン イ ン
タ ーフ ェ イ ス」 を参照 し て く だ さ い。
ラ イ セ ン スおよび注文情報
こ のザ イ リ ン ク ス LogiCORE™ IP モジ ュ ールは、 ザ イ リ ン ク ス エン ド ユーザー ラ イ セ ン ス規約の も と ザ イ リ ン ク ス
Vivado® Design Suite を使用 し て追加 コ ス ト な し で提供 さ れてい ます。
こ の IP お よ びその他のザ イ リ ン ク ス LogiCORE IP に関す る 情報は、 ザ イ リ ン ク ス IP コ ア ページか ら 入手で き ます。
その他のザ イ リ ン ク ス LogiCORE IP モジ ュ ールお よ びツールの価格や提供状況については、 ザ イ リ ン ク ス販売代理
店にお問い合わせ く だ さ い。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
10
japan.xilinx.com
第 2章
製品仕様
こ の章では、LogiCORE™ IP UltraScale™ Architecture Soft Error Mitigation (SEM) Controller の仕様について説明 し ます。
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ 内の ソ フ ト エ ラ ーを緩和す る こ の設定可能な コ ン ト ロ ー ラ ーには、 実際のシ ス テ ム
での使用例を示 し た シ ス テ ム レベルのサンプル デザ イ ン も 付属 し ます。
UltraScale+ デバイ スのサポー ト
Vivado Design Suite 2016.1 で UltraScale+™ デバ イ ス のサポー ト が SEM Controller に追加 さ れま し た。 サポー ト さ れて
い る デバ イ ス の一覧は、 Vivado IP カ タ ロ グ を参照 し て く だ さ い。 こ の リ リ ース では、 SEM Controller の一部の機能が
サポー ト さ れません。 こ れ ら の機能を次に示 し ます。
•
分類機能は無効です。
•
診断ス キ ャ ン機能はサポー ト さ れません。
•
デバ ッ グ機能の外部 SPI フ ラ ッ シ ュ メ モ リ 読み出 し (Xmem コ マ ン ド ) はサポー ト さ れません。
機能
SEM Controller には次の機能があ り ます。
•
•
SEU 軽減の用途に応 じ た 6 つの IP モー ド
°
軽減 + テ ス ト (Mitigation and Testing) モー ド
°
軽減 (Mitigation only) モー ド
°
検出 + テ ス ト (Detect and Testing) モー ド
°
検出 (Detect only) モー ド
°
エ ミ ュ レーシ ョ ン (Emulation) モー ド
°
モニ タ ー (Monitoring) モー ド
軽減 (+ テ ス ト ) モー ド 専用の機能
°
軽減 (+ テ ス ト ) モー ド ではデバ イ ス内蔵のエ ラ ー検出機能を利用す る シ リ コ ン機能を統合。
°
ソ フ ト エ ラ ーの訂正をサポー ト し たエ ラ ー訂正機能を実装。
°
最大 4 ビ ッ ト のエ ラ ーを含む コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ フ レームの訂正をサポー ト し た ECC アルゴ
リ ズ ム ベース の訂正機能。
°
1 回の SEU イ ベン ト に よ っ て隣接する 複数の フ レームで発生 し た複数ビ ッ ト エ ラ ーを最小限の レ イ テ ン シ
で検出お よ び訂正。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
11
japan.xilinx.com
第 2 章 : 製品仕様
°
訂正 し たエ ラ ーが実際のデザ イ ンの機能に影響す る コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ 位置で発生 し たか ど う
か を判定す る エ ラ ー分類機能を実装。 こ の機能は現在 UltraScale+ デバ イ ス ではサポー ト さ れてい ません。
°
コ ン ト ロ ー ラ ーの検証お よ び コ ン ト ロ ー ラ ーのアプ リ ケーシ ョ ン評価に役立つエ ラ ー挿入機能をサポー ト 。
°
•
SEM Controller に対 し て ソ フ ト エ ラ ーの検出ス キ ャ ン実行を命令する コ マ ン ド 。 こ の ス テー ト では、 エ
ラ ーが検出 さ れ る ま で SEM Controller が コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ の ス キ ャ ン を続け ます。 エ ラ ーを
検出す る と 、 SEM Controller はエ ラ ー レ ポー ト を出力 し て ア イ ド ル ス テー ト に移行 し ます。 こ の コ マ ン ド
にはエ ラ ー訂正機能は含まれません。
ア イ ド ル ス テー ト で実行可能な各種デバ ッ グお よ びテ ス ト 機能
°
コ ン フ ィ ギ ュ レーシ ョ ン フ レーム読み出 し (Query コ マ ン ド )。
エ ラ ー挿入の前後に コ ン フ ィ ギ ュ レーシ ョ ン フ レーム を読み出 し て、 ビ ッ ト がマ ス ク さ れてお ら ずエ ラ ー
が正 し く 挿入 さ れた こ と を確認す る こ と を推奨 し ます。
°
コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 読み出 し (Peek コ マ ン ド )。
°
物理フ レーム ア ド レ ス (PFA) と リ ニア フ レーム ア ド レ ス (LFA) の コ ン フ ィ ギ ュ レーシ ョ ン を双方向に変換
す る フ レーム ア ド レ ス変換 (Translate コ マ ン ド )。
°
外部 SPI フ ラ ッ シ ュ メ モ リ 読み出 し (Xmem コ マ ン ド )。 こ の コ マ ン ド を利用で き る のはエ ラ ー分類機能を有
効に し た場合のみです。 こ の機能は現在 UltraScale+ デバ イ ス ではサポー ト さ れてい ません。
•
エ ラ ー訂正は行わず、 エ ラ ーの ス キ ャ ン と レ ポー ト を継続実行す る オプシ ョ ン機能 (検出 (+ テ ス ト ) モー ド お よ
び検出ス キ ャ ン コ マ ン ド )。 こ の ス テー ト では、 SEM Controller は 1 つのエ ラ ーを検出す る と レ ポー ト を出力 し
てア イ ド ル ス テー ト に移行 し ます。
•
SEM Controller に対 し て診断ス キ ャ ンの実行を命令する コ マン ド 。 こ の ス テー ト では、 SEM Controller は コ ン フ ィ
ギ ュ レーシ ョ ン メ モ リ 全体に対 し て ス キ ャ ン を 1 回実行 し 、 検出 し たすべての ECC エ ラ ーを報告し ます。 1 回の
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ ス キ ャ ンが完了する と 、 SEM Controller はア イ ド ル ス テー ト に戻 り ます。 こ の機
能で使用する エ ラ ー検出 メ カ ニズ ムは、 デバ イ ス内蔵のエ ラ ー検出機能を使用 し ません。 こ の タ イ プの ス キ ャ ン
では、 エ ラ ー訂正は実行 さ れません。 こ の機能は現在 UltraScale+ デバ イ ス ではサポー ト さ れてい ません。
•
コ ン ト ロ ー ラ ー と 外部ス ト レージ を接続す る イ ン タ ーフ ェ イ ス を提供す る SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ
ロ ッ ク 。 コ ン ト ロ ー ラ ーの設定でエ ラ ー分類を有効に し た場合、 こ の イ ン タ ーフ ェ イ ス が必要です。 こ の機能
は現在 UltraScale+ デバ イ ス ではサポー ト さ れてい ません。
•
コ ン ト ロ ー ラ ー と 外部プ ロ セ ッ サを接続す る ための イ ン タ ーフ ェ イ ス を提供する UART ヘルパー ブ ロ ッ ク 。 コ
ン ト ロ ー ラ ー ス テー タ ス の ロ グ を記録する 場合や、 エ ラ ー挿入を実行する 場合の使い勝手が向上 し ます。
•
ヘルパー ブ ロ ッ ク と コ ン フ ィ ギ ュ レーシ ョ ン プ リ ミ テ ィ ブは コ ア ま たはサンプル デザ イ ンのいずれかに柔軟に
配置可能。
•
ほかのブ ロ ッ ク と の ICAP 共有を簡単に し 、 安全なハン ド オ フ を可能にする ICAP アービ ト レーシ ョ ン イ ン タ ー
フ ェ イ ス。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
12
japan.xilinx.com
第 2 章 : 製品仕様
表 2-1 に各モー ド の機能を ま と め ます。
表 2-1 : 各モー ド の機能
モー ド
機能
軽減 + テ ス ト
軽減
検出 + テ ス ト
検出
エ ミ ュ レーシ ョ ン
モニ タ ー
初期化後の IP ス テー ト
監視
監視
検出
検出
アイ ドル
アイ ドル
訂正 (修復)
あり
あり
なし
なし
なし
なし
オプシ ョ ン
オプシ ョ ン
なし
なし
なし
なし
あり
なし
あり
なし
あり
なし
あり
あり
あり
あり
あり
あり
あり
あり
あり
あり
あり
あり
分類(1)
エ ラ ー挿入
デバ ッ グ機能
• ア イ ド ル ス テー ト への遷移
• コ ン フ ィ ギ ュ レーシ ョ ン フ
レームお よ びレ ジ ス タ 読み出 し
• 外部 メ モ リ 読み出 し (1)
• ア ド レ ス変換
オンデマ ン ド 検出機能
• 検出
• 診断ス キ ャ ン (1)
注記 :
1. UltraScale+ デバ イ ス を タ ーゲ ッ ト と す る 場合、 こ の機能は現在サポー ト さ れてい ません。
表 2-2 に、サポー ト さ れ る すべてのデバ イ ス で ス キ ャ ン対象 と な る コ ン フ ィ ギ ュ レーシ ョ ン フ レームの最大数を示 し
ます。 こ の値は、 ス テー タ ス コ マ ン ド で報告 さ れ る 最大 リ ニア フ レーム数 (MF {8-digit hex value}) と 同 じ も のです。
表 2-2 : コ ン フ ィ ギ ュ レーシ ョ ン フ レームの最大数
デバイ ス
UltraScale
UltraScale+
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
MF (10 進数)
MF (16 進数)
XCKU035
26179
00006643
XCKU040
26179
00006643
XCKU060
37651
00009313
XCKU085
37651
00009313
XCKU095
54559
0000D51F
XCKU115
37651
00009313
XCVU065
37706
0000934A
XCVU080
54559
0000D51F
XCVU095
54559
0000D51F
XCVU125
37706
0000934A
XCVU160
37706
0000934A
XCVU190
37706
0000934A
XCVU440
78555
000132DB
XCKU9P
48054
0000BBB6
13
japan.xilinx.com
第 2 章 : 製品仕様
規格
こ の コ アが対象 と な る 、 業界標準の適合性検査ま たは認証試験は定義 さ れてい ません。 SEM Controller は、 加速粒子
の照射を含む大規模なハー ド ウ ェ ア バ リ デーシ ョ ン を受けてい ます。
パ フ ォ ーマ ン ス
SEM Controller のパフ ォーマ ン ス メ ト リ ク ス はシ リ コ ン仕様お よ び実測に基づいて求めた も のであ り 、 事前の見積 も
り 以外の用途には使用 し ないで く だ さ い。 実際のパフ ォーマ ン ス は異な る 場合があ り ます。
最大周波数
SEM Controller の最大動作周波数は保証 さ れてい ません。 いかな る 場合 も 、 使用す る FPGA のデー タ シー ト で コ ン
フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス の AC タ イ ミ ン グ パ ラ メ ー タ ー FICAPCK と し て定義 さ れてい る ICAP の FMax
を最大動作周波数が上回 る こ と はで き ません。 表 2-3 に、 ICAP の FMax の値を ま と め ます。
表 2-3 : ICAP の最大周波数
UltraScale
UltraScale+
デバイ ス
ICAP FMax (MHz)
Kintex
200
Virtex
200
Kintex SSI
200
Virtex SSI
200
すべてのデバ イ ス (0.9V、 -1L)
175
Kintex
200
すべてのデバ イ ス (-1L、 -2L)
175
最大周波数には、 その他の制限が適用 さ れ る こ と も あ り ます。 SEM Controller の最大動作周波数の決定方法の詳細
は、 第 3 章の 「シ ス テ ム ク ロ ッ ク イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
ソ リ ュ ーシ ョ ンの信頼性
こ こ か ら はシ ス テ ム レベル サンプル デザ イ ン を解析 し 、 FPGA に イ ンプ リ メ ン ト し た ソ リ ュ ーシ ョ ン自体の FIT の
推定値を求め ます。 こ の解析手法は、FPGA に イ ンプ リ メ ン ト し たほかの回路の FIT を推定す る 際に も 利用で き ます。
こ の解析では、 すべての機能を有効に し てすべての信号を I/O ピ ンに接続 し た場合を想定 し てい ます。 Virtual Input
Output (VIO) コ アは解析には含めてい ません。 こ の よ う な対話型のデバ ッ グお よ び実験機能を含めた ま まデザ イ ン を
出荷す る 可能性は低いためです。 こ のため、 こ の推定値は上限を表 し てい ます。
SEM IP を含むデザ イ ンの信頼性を設計前に推定す る には、 ス プ レ ッ ド シー ト 形式の SEU FIT Estimator を使用 し て く
だ さ い。 表 2-4 に、 SEM IP ソ リ ュ ーシ ョ ンの最大推定 FIT レー ト (すべての機能を有効に し 、 すべてのヘルパー ブ
ロ ッ ク を含めた場合) を示 し ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
14
japan.xilinx.com
第 2 章 : 製品仕様
表 2-4 : 最大推定 FIT レー ト
FIT
デバイ ス
UltraScale モ ノ リ シ ッ ク デバ イ ス
9
UltraScale KU115 (SSI の例)
23
UltraScale+ モ ノ リ シ ッ ク デバ イ ス
未定
SEM Controller の FIT レー ト の計算にブ ロ ッ ク RAM の影響を含め る 場合は、 ECC で保護 さ れていない も の (4 つのブ
ロ ッ ク RAM の う ち 1 つのみ) を含め ます。 こ の保護 さ れていない 36Kb ブ ロ ッ ク RAM は内部デー タ バ ッ フ ァ ー と し
て使用 し ます。 デー タ ア レ イ では、 エ ラ ー訂正お よ び分類で使用する デー タ バ ッ フ ァ ーに 10,800 ビ ッ ト が割 り 当て
ら れます。 こ こ での ソ フ ト エ ラ ーが問題を引き 起 こ すのは、 エ ラ ー軽減動作中に発生 し た場合のみです。 こ こ には
恒久的なデー タ は格納 さ れないため、 エ ラ ーを解析には含め ません。 こ れ以外に、 定数の格納用 と し て 16,400 ビ ッ
ト が割 り 当て ら れます。 こ こ でエ ラ ーが発生す る と コ ン ト ロ ー ラ ーの動作に支障を き たす可能性が高いため、 解析
に含め る 必要があ り ます。 残 り の 9,664 ビ ッ ト は使用 し ません。
ヘルパー ブ ロ ッ ク の FIT レー ト の計算にブ ロ ッ ク RAM の影響を含め る 場合は、 次のブ ロ ッ ク RAM を含め る 必要が
あ り ます。 SSI デバ イ ス を使用 し た ソ リ ュ ーシ ョ ンの場合、 UART ヘルパー ブ ロ ッ ク には 2 つのブ ロ ッ ク RAM
(18Kb) が含まれます。
ソ リ ュ ーシ ョ ンのレ イ テ ン シ
こ の ソ リ ュ ーシ ョ ンのエ ラ ー軽減レ イ テ ン シは、 エ ラ ー条件が発生 し てか ら エ ラ ー軽減プ ロ セ ス が完了する ま での
時間 と 定義 さ れます。 エ ラ ー軽減プ ロ セ ス は、 エ ラ ー検出、 訂正、 分類か ら 成 り ます。
推定デー タ
こ の ソ リ ュ ーシ ョ ンの動作の基本は、 FPGA コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ の フ レーム処理にあ り ます。 1 ビ ッ ト
エ ラ ーは常に 1 つの フ レーム で発生 し ます。 N ビ ッ ト エ ラ ーの発生方法はい く つかあ り 、 すべてのビ ッ ト エ ラ ーが
1 つの フ レームで発生す る こ と も あれば、 N 個の フ レームでそれぞれ 1 ビ ッ ト エ ラ ーが発生す る こ と も あ り ます。 複
数の フ レームでエ ラ ーが発生 し てい る 場合、 検出、 訂正、 分類のシーケ ン ス を その フ レームの数だけ繰 り 返 し ます。
こ の ソ リ ュ ーシ ョ ンは任意の数のエ ラ ーを適切に軽減 し ます。 任意の数のエ ラ ーの場合、 エ ラ ー軽減レ イ テ ン シの
推定は複雑です。 こ のセ ク シ ョ ンでは、 一般的な例 と し て 1 フ レームのみのエ ラ ーを取 り 上げますが、 こ の例を通
じ て理解 し た コ ン ト ロ ー ラ ーの動作はほかのケース に も 応用が き き ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
15
japan.xilinx.com
第 2 章 : 製品仕様
ス ター ト ア ッ プ レ イテンシ
ス タ ー ト ア ッ プ レ イ テ ン シ と は、 FPGA の コ ン フ ィ ギ ュ レーシ ョ ンが完了 し てか ら SEM Controller の初期化が完了す
る ま で (すなわち SEM Controller が監視ス テー ト に遷移する ま で) の遅延をいい ます。 こ の レ イ テ ン シは FPGA のサ イ
ズ (フ レーム数) お よ び ソ リ ュ ーシ ョ ンの ク ロ ッ ク 周波数に よ っ て変わ り ます。
ス タ ー ト ア ッ プ レ イ テ ン シは一度だけ加算 さ れます。 こ れはエ ラ ー軽減プ ロ セ ス の一部ではあ り ません。 表 2-5 に
示す よ う に、 ス タ ー ト ア ッ プ レ イ テ ン シはブー ト 時間 と 初期化時間で構成 さ れます。
表 2-5 : 最大ス タ ー ト ア ッ プ レ イ テ ン シ (ICAP FMax の場合)
ブー ト 時間 (ICAP FMax、 ms)
初期化時間 (ICAP FMax、 ms)
127
52
127
52
XCKU060
127
75
XCKU085
127
75
XCKU095
127
109
XCKU115
127
75
XCVU065
127
75
XCVU080
127
109
XCVU095
127
109
XCVU125
127
75
XCVU160
127
75
XCVU190
127
75
XCVU440
127
156
XCKU9P
未定
未定
デバイ ス
XCKU035
(1)
XCKU040
UltraScale
UltraScale+
注記 :
1. ハー ド ウ ェ アで計測 さ れてい ます。 こ のアーキ テ ク チ ャ のほかのデバ イ ス のデー タ は、 こ の計測値を も と に外挿で求め ます。
ブー ト 時間 と 初期化時間の合計が ス タ ー ト ア ッ プ レ イ テ ン シです。 実際の動作周波数での ス タ ー ト ア ッ プ レ イ テ ン
シは、 表 2-5 のデー タ と 式 2-1 か ら 見積 も る こ と がで き ます。
ICAP_F Max
StartUpLatency ACTUAL = StartUpLatency ICAP_F ⋅ --------------------------------------式 2-1
Max
Frequency ACTUAL
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
16
japan.xilinx.com
第 2 章 : 製品仕様
エ ラ ー検出レ イ テ ン シ
エ ラ ー軽減レ イ テ ン シ全体に占め る 割合が最 も 大 き いのが、 エ ラ ー検出レ イ テ ン シです。 こ の レ イ テ ン シは FPGA
のサ イ ズ (フ レーム数) お よ び ソ リ ュ ーシ ョ ンの ク ロ ッ ク 周波数に よ っ て変わ り ます。 ま た、 エ ラ ーの タ イ プ、 お よ
びシ リ コ ン リ ー ド バ ッ ク プ ロ セ ス の位置を基準 と し たエ ラ ーの相対位置に よ っ て も 変わ り ます。 表 2-6 に、 IP のエ
ラ ー検出時間を示 し ます。 こ れ ら の数値は、 IP が軽減 (+ テ ス ト ) モー ド (検出お よ び訂正)、 検出 (+ テ ス ト ) モー ド 、
お よ び検出ス テー ト の場合の も のです。
表 2-6 : 最大 IP エ ラ ー検出時間 (ICAP FMax の場合)
検出時間 (ICAP FMax、 ms)
デバイ ス
XCKU035
22
(1)
22
XCKU040
UltraScale
UltraScale+
XCKU060
30
XCKU085
30
XCKU095
41
XCKU115
30
XCVU065
30
XCVU080
41
XCVU095
41
XCVU125
30
XCVU160
30
XCVU190
30
XCVU440
58
XCKU9P
未定
注記 :
1. ハー ド ウ ェ アで計測 さ れてい ます。 こ のアーキ テ ク チ ャ のほかのデバ イ ス のデー タ は、 こ の計測値を も と に外挿
で求め ます。
実際の動作周波数での タ ーゲ ッ ト デバ イ ス の IP エ ラ ー検出時間は、 表 2-6 のデー タ と 式 2-2 か ら 見積 も る こ と がで
き ます。
DetectionTime ACTUAL = DetectionTime ICAP_F
Max
ICAP_F Max
⋅ --------------------------------------Frequency ACTUAL
式 2-2
エ ラ ー検出レ イ テ ン シには次の制限があ り ます。
•
ECC に よ る 検出の場合、 最大エ ラ ー検出レ イ テ ン シは DetectionTimeACTUAL
•
CRC のみに よ る 検出の場合、 絶対最大エ ラ ー検出レ イ テ ン シは 2.0 × DetectionTimeACTUAL
1 回の SEU イ ベン ト で 4 つの隣接す る フ レームに ま たが る 複数ビ ッ ト エ ラ ーが発生 し た場合、SEM Controller のアル
ゴ リ ズ ムは 2 フ レーム目以降のエ ラ ー検出時間が最小限に抑え ら れ る よ う に最適化 さ れてい ます。 こ のアルゴ リ ズ
ムに よ り 、 コ ン ト ロ ー ラ ーは 4 つの隣接 し た フ レームに ま たが る 最大 16 ビ ッ ト のエ ラ ーを 1 回の ス キ ャ ンで検出お
よ び訂正で き ます。 1 ビ ッ ト エ ラ ーの ワース ト ケース の検出時間に 1 ビ ッ ト エ ラ ーの数を掛けた も のが、 複数ビ ッ
ト エ ラ ー全体の ワ ース ト ケース の検出時間 と な り ます。
診断ス キ ャ ン機能を使用 し た場合のエ ラ ー検出レ イ テ ン シは軽減 (+ テ ス ト ) モー ド 、 検出 (+テ ス ト ) モー ド 、 ま たは
検出ス キ ャ ン よ り も は る かに大 き く 、 その値はデバ イ ス、 検出 さ れ る エ ラ ーの数、 お よ び実際の動作周波数に よ っ
て異な り ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
17
japan.xilinx.com
第 2 章 : 製品仕様
た と えば KU040 の コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ に 3 つのエ ラ ーが存在する 場合、 ク ロ ッ ク 周波数 90MHz で診断
ス キ ャ ン を実行す る と 、 すべてのエ ラ ーを検出 し て報告す る のに 70 秒かか り ます。
エ ラ ー訂正レ イ テ ン シ
エ ラ ーを検出す る と 、 こ の ソ リ ュ ーシ ョ ンは訂正を試みます。 エ ラ ーが訂正可能か ど う かは、 選択 し た訂正モー ド
と エ ラ ー タ イ プに よ っ て決ま り ます。 表 2-7 に、 コ ン フ ィ ギ ュ レーシ ョ ン フ レームが反転 し た場合のエ ラ ー訂正レ
イ テ ン シ を示 し ます。 こ れ ら の値は、 モニ タ ー イ ン タ ーフ ェ イ ス で ス ロ ッ ト リ ン グが発生 し ていない こ と を前提 と
し てい ます。
表 2-7 : エ ラ ー訂正レ イ テ ン シ (モニ タ ー イ ン タ ー フ ェ イ スでのス ロ ッ ト リ ングな し )
デバイ ス
訂正モー ド
修復
UltraScale
すべて
修復
UltraScale+
すべて
エ ラ ー訂正レ イ テ ン シ
(ICAP_FMax 、 µs)
訂正可能/不能
訂正可能(1)
41(2)
訂正不能
21(2)
CRC のみ (訂正不能)
9
(1)
訂正可能
未定
訂正不能
未定
CRC のみ (訂正不能)
未定
注記 :
1. 物理的に隣接 し てい る 場合、 フ レーム内の最大 4 ビ ッ ト を訂正で き ます。
2. XCKU040 デバ イ ス はハー ド ウ ェ アで計測 さ れてい ます。
実際の動作周波数でのエ ラ ー訂正レ イ テ ン シは、 表 2-7 のデー タ と 式 2-3 か ら 見積 も る こ と がで き ます。
CorrectionLatency ACTUAL = CorrectionLatency ICAP_F
ICAP_F Max
⋅ --------------------------------------Max
Frequency ACTUAL
式 2-3
エ ラ ー分類レ イ テ ン シ
エ ラ ーの訂正を試みた後、 こ の ソ リ ュ ーシ ョ ンはエ ラ ーを分類 し ます。 分類結果は、 訂正モー ド 、 エ ラ ー タ イ プ、
エ ラ ー位置、 お よ び選択 し た分類モー ド に よ っ て異な り ます。 表 2-8 に、 コ ン フ ィ ギ ュ レーシ ョ ン フ レームが反転
し た場合のエ ラ ー分類レ イ テ ン シ を示 し ます。 こ れ ら の値は、 モニ タ ー イ ン タ ーフ ェ イ ス で ス ロ ッ ト リ ン グが発生
し ていない こ と を前提 と し てい ます。
表 2-8 : エ ラ ー分類レ イ テ ン シ (モニ タ ー イ ン タ ー フ ェ イ スでのス ロ ッ ト リ ングな し )
デバイ ス フ ァ ミ リ
UltraScale
UltraScale+
フ レーム内のエ ラ ー
(訂正可能/不能)
分類モー ド
エ ラ ー分類レ イ テ ン シ (ICAP_FMax 、 µs)
訂正可能
有効
185(1)
訂正不能
無効
5(1)
訂正不能
すべて
5
訂正可能
有効
未定
訂正不能
無効
未定
訂正不能
すべて
未定
注記 :
1. XCKU040 デバ イ ス はハー ド ウ ェ アで計測 さ れてい ます。
実際の動作周波数でのエ ラ ー分類レ イ テ ン シは、 表 2-8 のデー タ と 式 2-4 か ら 見積 も る こ と がで き ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
18
japan.xilinx.com
第 2 章 : 製品仕様
ClassificationLatency ACTUAL = ClassificationLatency ICAP_F
Max
ICAP_F Max
⋅ --------------------------------------Frequency ACTUAL
式 2-4
エ ラ ー挿入レ イ テ ン シ
表 2-9 に、 1 ビ ッ ト の コ ン フ ィ ギ ュ レーシ ョ ン フ レームが反転 し た場合のエ ラ ー挿入レ イ テ ン シ を示 し ます。 こ れ ら
の値は、 モニ タ ー イ ン タ ーフ ェ イ ス で ス ロ ッ ト リ ン グが発生 し ていない こ と を前提 と し てい ます。
表 2-9 : LFA を使用 し た場合のエ ラ ー挿入レ イ テ ン シ (モニ タ ー イ ン タ ー フ ェ イ スでのス ロ ッ ト リ ングな し )
エ ラ ー挿入レ イ テ ン シ (ICAP_FMax 、 µs)
デバイ ス フ ァ ミ リ
UltraScale KU040
50(1)
UltraScale+ KU9P
未定
注記 :
1. XCKU040 デバ イ ス はハー ド ウ ェ アで計測 さ れてい ます。
表 2-9 に示 し たエ ラ ー挿入レ イ テ ン シの値は、 ク ロ ッ ク 周波数お よ びデバ イ ス の コ ン フ ィ ギ ュ レーシ ョ ン フ レーム
数に よ っ て変化 し ます。
その他の要因に よ る レ イ テ ン シ
モニ タ ー イ ン タ ーフ ェ イ ス での ス ロ ッ ト リ ン グは全体的なエ ラ ー軽減レ イ テ ン シ を増大 さ せる ため、 極力避けて く
だ さ い。
•
エ ラ ーの訂正を試みた後、 コ ン ト ロ ー ラ ーはモニ タ ー イ ン タ ーフ ェ イ ス か ら 検出/訂正レ ポー ト を出力 し てか
ら 、 エ ラ ー訂正ス テー ト を終了 し ます ( こ の と き 、 status_uncorrectable フ ラ グが更新 さ れ る )。 こ の レ
ポー ト 生成中に UART ヘルパー ブ ロ ッ ク の送信 FIFO が フルにな る と 、 コ ン ト ロ ー ラ ーは UART ヘルパー ブ
ロ ッ ク の送信 FIFO に レ ポー ト 全体の書き 込みが完了する ま で こ の ス テー ト を終了で き ません。 こ の場合、 エ
ラ ー訂正レ イ テ ン シが増大 し ます。
•
エ ラ ーの分類を試みた後、 コ ン ト ロ ー ラ ーはモニ タ ー イ ン タ ーフ ェ イ ス か ら 分類レ ポー ト を出力 し てか ら 、 エ
ラ ー分類ス テー ト を終了 し ます ( こ の と き 、 status_essential フ ラ グが更新 さ れ る)。 こ の レ ポー ト 生成中に
UART ヘルパー ブ ロ ッ ク の送信 FIFO が フルにな る と 、 コ ン ト ロ ー ラ ーは UART ヘルパー ブ ロ ッ ク の送信 FIFO
に レ ポー ト 全体の書 き 込みが完了す る ま で こ の ス テー ト を終了で き ません。 こ の場合、 エ ラ ー分類レ イ テ ン シ
が増大 し ます。
ボ ト ルネ ッ ク の発生が問題にな る ヘルパー ブ ロ ッ ク ま たはペ リ フ ェ ラ ルの場合、 回避策を と る こ と がで き ます。 予
想 さ れ る 最大バース ト 長の ス テー タ ス メ ッ セージ を格納で き る よ う に送信 FIFO のサ イ ズ を調整す る と 、 エ ラ ー軽減
中に送信 FIFO が フルにな る こ と を回避で き ます。
送信 FIFO が フルにな っ た場合、 全体的なエ ラ ー軽減レ イ テ ン シのおお よ その増加分は、 式 2-5 で見積 も る こ と がで
き ます。
MessageLength – BufferDepth
AdditionalLatency = ---------------------------------------------------------------------TransmissionRate
式 2-5
式 2-5 で、 「MessageLength – BufferDepth」 は メ ッ セージ バ イ ト 数、 「Transmission Rate」 は単位時間あ た り のバ イ ト 数
です。
LFA を使用 し てエ ラ ー挿入を実行 し た場合 も 、 コ ン ト ロ ー ラ ーが まず LFA を PFA に変換す る 必要があ る ため、 レ イ
テ ン シが増大 し ます。 こ の変換の レ イ テ ン シ も 、 エ ラ ー発生場所に よ り 異な り 、 ア ド レ ス が大き いほ ど変換に時間
がかか り ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
19
japan.xilinx.com
第 2 章 : 製品仕様
レ イ テ ン シの見積も り 例
最初の例は、 XCKU40 (90MHz ク ロ ッ ク ) に ソ リ ュ ーシ ョ ン を イ ンプ リ メ ン ト し た場合の、 1 ビ ッ ト エ ラ ーの軽減レ
イ テ ン シ を見積 も り ます。 こ の ソ リ ュ ーシ ョ ンは、 軽減 + テ ス ト モー ド お よ びエ ラ ー分類無効で コ ン フ ィ ギ ュ レー
シ ョ ン し てい ます。 こ こ では、 モニ タ ー イ ン タ ーフ ェ イ ス の ス ロ ッ ト リ ン グは発生 し ない も の と 仮定 し ます。
200MHz
DetectionLatency = 22ms ⋅ ------------------ = 48.889ms
90MHz
式 2-6
200MHz
CorrectionLatency = 41μs ⋅ ------------------ = 0.091ms
90MHz
式 2-7
200MHz
ClassificationLatency = 5μs ⋅ ------------------ = 0.011ms
90MHz
式 2-8
MitigationLatency = 48.889ms + 0.091ms + 0.011ms = 48.991ms
式 2-9
次に、 モニ タ ー イ ン タ ーフ ェ イ ス で ス ロ ッ ト リ ン グが発生 し た場合に追加 さ れ る レ イ テ ン シの見積 も り 例を示 し ま
す。 先の例で、 メ ッ セージ長を約 80 バ イ ト 、 UART ヘルパー ブ ロ ッ ク のバ ッ フ ァ ー深 さ を 32 バ イ ト と 仮定 し ます。
ま た、 UART ヘルパー ブ ロ ッ ク のビ ッ ト レー ト を 9,600 ボーか ら 460,800 ボーへ変更 し てい ます。 標準 8-N-1 プ ロ ト
コ ルを使用 し てお り 、 1 バ イ ト のペ イ ロ ー ド を シ リ アル リ ン ク で送信す る のに 10 ビ ッ ト 時が必要です。
80bytes – 32bytes
AdditionalLatency = ------------------------------------------------------------------------------------------- = 1.042ms
460800bittimes
byte
s
----------------------------------- ⋅ ------------------------ ⋅ ----------------s
10bittimes 1000ms
式 2-10
こ の結果が示す よ う に、 特にデー タ 送信がシ リ ア ラ イ ズ さ れデー タ レー ト が低い場合、 モニ タ ー イ ン タ ーフ ェ イ ス
の ス ロ ッ ト リ ン グに よ る レ イ テ ン シの増加分は非常に大 き く な り ます。
スループ ッ ト
SEM Controller の スループ ッ ト メ ト リ ク ス は仕様で定義 さ れてい ません。
消費電力
SEM Controller の電力 メ ト リ ク スは仕様で定義 さ れてい ません。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
20
japan.xilinx.com
第 2 章 : 製品仕様
リ ソ ース使用状況
SEM Controller の リ ソ ース使用量の メ ト リ ク スは合成後レ ポー ト か ら 求めた も のであ り 、 事前の見積 も り 以外の用途
には使用 し ないで く だ さ い。 実際の リ ソ ース使用量は異な る こ と があ り ます。
表 2-10 : デバイ スの リ ソ ース使用量 – モ ノ リ シ ッ ク Kintex および Virtex UltraScale/UltraScale+ デバイ ス (非 SSI)(1)(2)
IP コ アのコ ン フ ィ ギ ュ レーシ ョ ン
LUT
FF
I/O
ブ ロ ッ ク RAM
UltraScale (全デバ イ ス)
完全 ソ リ ュ ーシ ョ ン (軽減 + テ ス ト
モー ド 、 オプシ ョ ン機能な し )
425
490
59
4 RAMB36
1
Kintex UltraScale+ (全デバ イ ス)
完全 ソ リ ュ ーシ ョ ン (軽減 + テ ス ト
モー ド 、 オプシ ョ ン機能な し )
430
530
64
4 RAMB36
1
デバイ ス
DSP48
注記 :
1. 完全 ソ リ ュ ーシ ョ ン と は、 SEM Controller と サポー ト ラ ッ パー階層に含ま れ る ロ ジ ッ ク を組み合わせた も のをいい ます。 こ れ ら は併用を
想定 し てい ます。 IP は Vivado® IDE のデフ ォ ル ト オプシ ョ ン (軽減 + テ ス ト モー ド 、 エ ラ ー分類無効) で コ ン フ ィ ギ ュ レーシ ョ ン し てい
ます。
2. 最上位のサンプル デザ イ ンに含まれ る Vivado Design Suite のデバ ッ グ機能 IP は含めてい ません。 Vivado Design Suite のデバ ッ グ機能を使
用す る と LUT/FF の使用量が増え ますが、 I/O の使用量は減少 し ます。
表 2-11 : デバイ スの リ ソ ース使用量 – マルチ SLR UltraScale デバイ ス (SSI)(1)(2)
デバイ ス
KU115
IP コ アの コ ン フ ィ ギ ュ レーシ ョ ン
LUT
FF
I/O
ブ ロ ッ ク RAM
DSP48
完全 ソ リ ュ ーシ ョ ン (軽減 + テ ス ト モー ド 、
オプシ ョ ン機能な し )
1,060
1,280
70
8 RAMB36、 2 RAMB18
2
注記 :
1. 完全 ソ リ ュ ーシ ョ ン と は、 SEM Controller と サポー ト ラ ッ パー階層に含ま れ る ロ ジ ッ ク を組み合わせた も のをいい ます。 こ れ ら は併用を
想定 し てい ます。IP は Vivado IDE のデフ ォ ル ト オプシ ョ ン (軽減 + テ ス ト モー ド 、エ ラ ー分類無効) で コ ン フ ィ ギ ュ レーシ ョ ン し てい ます。
2. 最上位のサンプル デザ イ ンに含まれ る Vivado Design Suite のデバ ッ グ機能 IP は含めてい ません。 Vivado Design Suite のデバ ッ グ機能を使
用す る と LUT/FF の使用量が増え ますが、 I/O の使用量は減少 し ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
21
japan.xilinx.com
第 2 章 : 製品仕様
ポー ト の説明
SEM Controller は、 ソ フ ト エ ラ ー軽減 ソ リ ュ ーシ ョ ンの中心的な役割を果た し ます。 コ ン ト ロ ー ラ ーをデザ イ ンに統
合す る 際は、 コ ン フ ィ ギ ュ レーシ ョ ン プ リ ミ テ ィ ブ、 UART お よ び SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク (必
要な場合) な ど で構成 さ れ る シ ス テ ム レベル デザ イ ン を含め、 SEM Controller を提供 さ れた状態の ま ま で使用す る こ
と を推奨 し ます。 図 2-1 に、 SEM Controller と シ ス テ ム レベル サンプル デザ イ ンのポー ト を示 し ます。 グ レーで示
し たポー ト グループはエ ラ ー分類を有効に し た場合のみ存在 し ます。 特に記載のない限 り 、 ポー ト は コ ア レベルお
よ びサンプル デザ イ ンのすべての階層レベルで利用で き ます (図 5-1 参照)。
X-Ref Target - Figure 2-1
VWDWXVBKHDUWEHDW
FRPPDQGBVWUREH
&RPPDQG
,QWHUIDFH
FRPPDQGBFRGH>Z@
VWDWXVBLQLWLDOL]DWLRQ
FRPPDQGBEXV\
VWDWXVBREVHUYDWLRQ
VWDWXVBFRUUHFWLRQ
LFDSBR>@
VWDWXVBFODVVLILFDWLRQ
LFDSBFON
VWDWXVBLQMHFWLRQ
VWDWXVBGHWHFWBRQO\
LFDSBFVLE
,&$3
,QWHUIDFH
VWDWXVBGLDJQRVWLFBVFDQ
LFDSBUGZUE
VWDWXVBXQFRUUHFWDEOH
VWDWXVBHVVHQWLDO
LFDSBL>@
PRQLWRUBW[GDWD>@
LFDSBSUHUURU
PRQLWRUBW[ZULWH
LFDSBSUGRQH
PRQLWRUBW[IXOO
LFDSBDYDLO
0DVWHU&ORFN
,QWHUIDFH
)5$0(B(&&
,QWHUIDFH
0RQLWRU
,QWHUIDFH
PRQLWRUBU[GDWD>@
PRQLWRUBU[UHDG
FON
PRQLWRUBU[HPSW\
IHFFBHFFHUURUQRWVLQJOH
PRQLWRUBW[
IHFFBHQGRIVFDQ
PRQLWRUBU[
IHFFBHFFHUURUVLQJOH
8$57
,QWHUIDFH
IHWFKBW[GDWD>@
IHFFBFUFHUURU
IHWFKBW[ZULWH
IHFFBHQGRIIUDPH
IHWFKBW[IXOO
IHFFBIDU>Z@
IHWFKBU[GDWD>@
IHFFBIDUVHO>@
,&$3
$UELWUDWLRQ
,QWHUIDFH
6WDWXV
,QWHUIDFH
)HWFK
,QWHUIDFH
IHWFKBU[UHDG
FDSBJQW
IHWFKBU[HPSW\
FDSBUHO
IHWFKBWEODGGU>@
FDSBUHT
VSLBF
$X[LOODU\
,QWHUIDFH
DX[BHUURUBFUBQH
VSLBG
DX[BHUURUBFUBHV
VSLBVBQ
DX[BHUURUBXF
0DVWHU63,
)ODVK
,QWHUIDFH
VSLBT
/HJHQG
3RUWVRQO\DYDLODEOHRQWKH6(0FRQWUROOHU
3RUWVRQWKHV\VWHPOHYHOH[DPSOHGHVLJQVROXWLRQ
FRPPDQGBFRGHSRUWZLGWKGHSHQGVRQWDUJHWDUFKLWHFWXUH)RU8OWUD6FDOHGHYLFHVWKHSRUWZLGWKLV
DQGIRUDOORWKHUDUFKLWHFWXUHLWLV
IHFFBIDUSRUWZLGWKGHSHQGVRQWDUJHWDUFKLWHFWXUH)RU8OWUD6FDOHGHYLFHVWKHSRUWZLGWKLVDQG
IRUDOORWKHUDUFKLWHFWXUHLWLV
;
図 2-1 : SEM Controller のポー ト
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
22
japan.xilinx.com
第 2 章 : 製品仕様
表 2-12 に各 イ ン タ ーフ ェ イ スお よ びその イ ン タ ーフ ェ イ ス が外部に現れ る 階層レベルを一覧に ま と め ます。 一部の
イ ン タ ーフ ェ イ ス は、 IP の機能に よ っ ては存在 し ない こ と があ り ます。 IP 階層 と その イ ン タ ーフ ェ イ ス接続を含む
サンプル デザ イ ンのブ ロ ッ ク 図は、 図 5-1 を参照 し て く だ さ い。 階層レベルの詳細は、 第 3 章の 「構造オプシ ョ ン」
を参照 し て く だ さ い。
表 2-12 : イ ン タ ー フ ェ イ ス と 階層レ ベル
階層レ ベル
イ ン ターフ ェ イス
コ ン フ ィ ギ ュ レーシ ョ ン
プ リ ミ テ ィ ブ をサン プル
デザイ ンに配置
説明
コ ン フ ィ ギ ュ レーシ ョ ン
プ リ ミ テ ィ ブ を コ アに配置
コ マン ド
最小限の コ マ ン ド セ ッ ト で SEM
Controller と 通信す る ための イ ン タ ー
フ ェ イ ス。
SEM Controller
SEM Controller
ICAP
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ シ ス テ
ムにア ク セ スす る ための ICAP への イ ン
タ ーフ ェ イ ス。
SEM Controller (ICAP イ ン
タ ーフ ェ イ ス はサポー ト レベ
ルでプ リ ミ テ ィ ブに接続)
外部には現れません (ICAP
イ ン タ ーフ ェ イ ス は コ ア内
部でプ リ ミ テ ィ ブに接続)
システム ク ロ ッ ク
シ ス テ ム ク ロ ッ ク を ソ リ ュ ーシ ョ ンに
供給す る ための イ ン タ ーフ ェ イ ス。
サポー ト ラ ッ パー レベル
サポー ト ラ ッ パー レベル
FRAME_ECC
シ リ コ ン内蔵の コ ン フ ィ ギ ュ レーシ ョ ン
リ ー ド バ ッ ク メ カ ニズ ムか ら の情報に
ア ク セ スす る ための FRAME_ECC への
イ ン タ ーフ ェ イ ス。
SEM Controller (FRAME_ECC
イ ン ターフ ェ イ スはサポー ト
レベルでプ リ ミ テ ィ ブに接続)
外部には現れません
(FRAME_ECC イ ン タ ー
フ ェ イ ス は コ ア内部でプ リ
ミ テ ィ ブに接続)
ほかのブ ロ ッ ク と の ICAP 共有を管理す
る ための イ ン タ ーフ ェ イ ス。 ICAP への
ICAP
ア ク セ ス の安全なハン ド オ フ と SEM
アービ ト レーシ ョ ン
Controller に よ る ICAP へのア ク セ ス再開
を簡単に実装で き ます。
SEM Controller
SEM Controller
補助
コ ン ト ロ ー ラ ーか ら 直接監視で き ない ソ
フ ト エ ラ ー イ ベン ト を コ ン ト ロ ー ラ ー
に通知す る 手段 と し て使用す る イ ン タ ー
フ ェ イ ス。
SEM Controller
SEM Controller
ス テー タ ス
コ ン ト ロ ー ラ ーが正常動作 し てい る か
ど う か を含め、 コ ン ト ロ ー ラ ーの現在
の ス テー ト を知 ら せ る ための イ ン タ ー
フ ェ イ ス。
SEM Controller
SEM Controller
モニ タ ー
コ ン ト ロー ラー と の通信手段 と し てのほ
か、 コ ン ト ロー ラーの動作 と 現在のス
テー ト についての詳細な情報を得る手段 SEM Controller
と し て も 使用可能な イ ン ターフ ェ イ ス。
シ ス テム と コ ン ト ロー ラーの通信には こ
の イ ン ターフ ェ イ スの使用を推奨し ます。
SEM Controller
UART
モニ タ ー イ ン タ ーフ ェ イ ス で使用する
バ イ ト ス ト リ ーム ASCII コ ー ド のシ リ
ア ラ イ ズ と デシ リ ア ラ イ ズ を実行す る
UART ヘルパー ブ ロ ッ ク への イ ン タ ー
フ ェ イ ス。 シ ス テ ム と コ ン ト ロ ー ラ ーの
通信には こ の イ ン タ ーフ ェ イ ス の使用を
推奨 し ます。
サポー ト ラ ッ パー レベル
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
サポー ト レベル
23
japan.xilinx.com
第 2 章 : 製品仕様
表 2-12 : イ ン タ ー フ ェ イ ス と 階層レ ベル (続き)
階層レ ベル
イ ン ターフ ェ イス
コ ン フ ィ ギ ュ レーシ ョ ン
プ リ ミ テ ィ ブ をサン プル
デザイ ンに配置
説明
コ ン ト ロ ー ラ ーが外部 ソ ース か ら デー タ
を要求す る 手段 と し て使用す る イ ン タ ー
フ ェ イ ス。
フェ ッチ
コ ン フ ィ ギ ュ レーシ ョ ン
プ リ ミ テ ィ ブ を コ アに配置
SEM Controller
SEM Controller
サポー ト レベル
サポー ト ラ ッ パー レベル
注記 : エ ラ ー分類機能を有効に し た場合のみ
利用で き ます。
外部 SPI フ ラ ッ シ ュ か ら エ ッ セ ン シ ャ ル
ビ ッ ト のデー タ を取得す る SPI フ ラ ッ
シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク への イ
ン タ ーフ ェ イ ス。
SPI
注記 : エ ラ ー分類機能を有効に し た場合のみ
利用で き ます。
SEM Controller には リ セ ッ ト 入出力はあ り ません。 GSR (グ ロ ーバル セ ッ ト / リ セ ッ ト ) 信号のデ ィ アサー ト か ら 生成
し た内部同期 リ セ ッ ト に よ っ て自動的に自己初期化 し ます。
SEM Controller は、 icap_clk を唯一の ク ロ ッ ク と し て使用する 完全同期デザ イ ンです。 すべての ス テー ト エ レ メ ン
ト は こ の ク ロ ッ ク の立ち上が り エ ッ ジに同期 し ます。 こ のため、 イ ン タ ーフ ェ イ ス も すべて こ の ク ロ ッ ク の立ち上
が り エ ッ ジに同期 し ます。
ICAP イ ン タ ー フ ェ イ ス
ICAP イ ン タ ーフ ェ イ スは SEM Controller と ICAP プ リ ミ テ ィ ブ を ポ イ ン ト ツー ポ イ ン ト で接続 し ます。 ICAP プ リ
ミ テ ィ ブに よ り 、 FPGA コ ン フ ィ ギ ュ レーシ ョ ン シ ス テ ム内の レ ジ ス タ への読み出 し お よ び書 き 込みア ク セ ス が可
能にな り ます。 ICAP プ リ ミ テ ィ ブお よ び こ の イ ン タ ーフ ェ イ ス の信号の動作は、 『UltraScale アーキ テ ク チ ャ コ ン
フ ィ ギ ュ レーシ ョ ン ユーザー ガ イ ド 』 (UG570) [参照 2] を参照 し て く だ さ い。
IP が使用す る コ ン フ ィ ギ ュ レーシ ョ ン プ リ ミ テ ィ ブ (ICAP お よ び FRAME_ECC) をサンプル デザ イ ンに配置 し た場
合、 こ の イ ン タ ーフ ェ イ ス は コ ア レベルで外部に現れます。
表 2-13 : ICAP イ ン タ ー フ ェ イ スの信号
名前
センス
方向
説明
icap_o[icap_width – 1:0]
High
入力
ICAP の O 出力を受信 し ます。 変数 icap_width は 32 です。
icap_csib
Low
出力
ICAP の CSIB 入力を駆動 し ます。
icap_rdwrb
Low
出力
ICAP の RDWRB 入力を駆動 し ます。読み出 し (ア ク テ ィ ブ
High) ま たは書き 込み (ア ク テ ィ ブ Low) セ レ ク ト 入力。
icap_i[icap_width – 1:0]
High
出力
ICAP の I 入力を駆動 し ます。 変数 icap_width は 32 です。
エッジ
入力
デザ イ ンの ク ロ ッ ク を受信 し ます。 こ の同じ ク ロ ッ ク を
ICAP の CLK 入力 と する必要があ り ます。 こ の ク ロ ッ ク 周
波数は、 ターゲ ッ ト デバ イ ス のデータ シー ト に記載 さ れた
ICAP 入力 ク ロ ッ ク の要件を満た し てい る必要があ り ます。
icap_prdone
Low
入力
ICAP の PRDONE 出力を受信 し ます。
icap_prerror
High
入力
ICAP の PRERROR 出力を受信 し ます。
icap_avail
High
入力
ICAP の AVAIL 出力を受信 し ます。
icap_clk
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
24
japan.xilinx.com
第 2 章 : 製品仕様
シス テム ク ロ ッ ク イ ン タ ー フ ェ イ ス
シ ス テ ム ク ロ ッ ク イ ン タ ーフ ェ イ ス は、 ICAP お よ び SEM Controller にシ ス テ ム レベル ク ロ ッ ク を供給す る ために
使用 し ます。 ク ロ ッ ク 信号は内部でグ ロ ーバル ク ロ ッ ク バ ッ フ ァ ーを経由 し てすべての同期 ロ ジ ッ ク セルへ分配 さ
れます。 こ の イ ン タ ーフ ェ イ ス はサポー ト ラ ッ パー レベルで利用で き ます。
こ の イ ン タ ーフ ェ イ ス の詳細は、 第 3 章の 「シ ス テ ム ク ロ ッ ク イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
表 2-14 : シ ス テム ク ロ ッ ク イ ン タ ー フ ェ イ スの信号
名前
センス
方向
High
入力
clk
説明
ICAP お よ び SEM Controller を駆動する ク ロ ッ ク 入力。
ICAP アービ ト レーシ ョ ン イ ン タ ー フ ェ イ ス
ICAP アービ ト レーシ ョ ン イ ン タ ーフ ェ イ ス は、 SEM Controller か ら ICAP へのア ク セ ス の管理、 お よ び ICAP をほか
のブ ロ ッ ク と 共有す る 際のア ク セ ス の安全なハン ド オ フ を簡単に実装で き る よ う にする ための も のです。 こ の イ ン
タ ーフ ェ イ ス は、 ユーザー定義の ICAP アービ タ と 併用する のが理想です。
こ の イ ン タ ーフ ェ イ ス の詳細は、 第 3 章の 「ICAP アービ ト レーシ ョ ン イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
表 2-15 : ICAP アービ ト レーシ ョ ン イ ン タ ー フ ェ イ スの信号
名前
cap_gnt
センス
High
方向
入力
説明
ICAP アービ タ に接続 し て使用 し ます。 こ の信号を アービ タ でアサー ト し
て、 SEM Controller に ICAP へのア ク セ ス許可が与え ら れた こ と を通知 し
ます。 い っ たんアサー ト し た cap_gnt は、 cap_req がデ ィ アサー ト さ れ る ま
でアサー ト し た ま ま に し て く だ さ い。
アービ ト レーシ ョ ンが必要ない場合は、 こ の信号を常に 1 に接続 し ます。
cap_rel
High
入力
ICAP アービ タ に接続 し て使用 し ます。 こ の信号は、 別の コ ン ポーネ ン ト
が ICAP へのア ク セ ス を要求 し てい る すべての ク ロ ッ ク サ イ ク ルで、 アー
ビ タ に よ っ て アサー ト さ れます。 1 にセ ッ ト さ れた場合、 cap_req が 0 に戻
る ま で、 こ の信号は 1 を保持する 必要があ り ます。
こ の信号は、 で き る だけ早期の安全な タ イ ミ ン グで ICAP の制御を放棄す
る 必要があ る こ と を IP に示 し ます。
アービ ト レーシ ョ ンが必要ない場合は、 こ の信号を常に 0 に接続 し ます。
cap_req
High
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
出力
ICAP アービ タ に接続 し て使用 し ます。 こ の信号は、 ICAP に転送す る デー
タ があ る すべての ク ロ ッ ク サ イ ク ルで、 IP に よ っ て アサー ト さ れます。
25
japan.xilinx.com
第 2 章 : 製品仕様
FRAME_ECC イ ン タ ー フ ェ イ ス
FRAME_ECC イ ン タ ーフ ェ イ スは、 SEM Controller と FRAME_ECC プ リ ミ テ ィ ブ を ポ イ ン ト ツー ポ イ ン ト で接続 し
ます。 FRAME_ECC プ リ ミ テ ィ ブ を通 じ て FPGA コ ン フ ィ ギ ュ レーシ ョ ン シ ス テ ムの ソ フ ト エ ラ ー検出機能を監視
で き ます。
表 2-16 : FRAME_ECC イ ン タ ー フ ェ イ スの信号
名前
センス
方向
説明
fecc_eccerrornotsingle
High
入力
FRAME_ECC の ECCERRORNOTSINGLE 出力を受信 し ます。
fecc_eccerrorsingle
High
入力
FRAME_ECC の ECCERRORSINGLE 出力を受信 し ます。
fecc_endofframe
High
入力
FRAME_ECC の ENDOFFRRAME 出力を受信 し ます。
fecc_endofscan
High
入力
FRAME_ECC の ENDOFSCAN 出力を受信 し ます。
fecc_crcerror
High
入力
FRAME_ECC の CRCERROR 出力を受信 し ます。
fecc_farsel[1:0]
High
出力
FRAME_ECC の FARSEL 入力を送信 し ます。
fecc_far[far_width – 1:0]
High
入力
FRAME_ECC の FAR 出力を受信 し ます。 UltraScale デバ イ ス
を タ ーゲ ッ ト と す る 場合、 変数 far_width の値は 26 です。 そ
れ以外のアーキ テ ク チ ャ では、 変数 far_width の値は 27 です。
ス テー タ ス イ ン タ ー フ ェ イ ス
ス テー タ ス イ ン タ ーフ ェ イ ス は、 現在の コ ン ト ロ ー ラ ーの動作を抽象度の高い形式にデコ ー ド し た各種信号を出力
し ます。
こ の イ ン タ ーフ ェ イ ス の詳細は、 第 3 章の 「ス テー タ ス イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
表 2-17 : ス テー タ ス イ ン タ ー フ ェ イ スの信号
名前
センス
方向
説明
status_heartbeat
High
出力
こ の信号は、 status_observation、 status_detect_only、
status_diagnostic_scan のいずれかがアサー ト さ れてい る 間有効
です。 コ ン フ ィ ギ ュ レーシ ョ ン フ レーム を 1 フ レーム読み出す
たびに 1 サ イ ク ルの High パルス が出力 さ れます。 こ の信号を
使用 し て外部ウ ォ ッ チ ド ッ グ タ イ マーを実装す る と 、 ソ フ ト
エ ラ ーに よ る コ ン ト ロ ー ラ ーま たは ク ロ ッ ク 分配の動作停止を
検出で き ます。 status_observation、 status_detect_only、
status_diagnostic_scan がすべてデ ィ アサー ト さ れてい る 場合、
こ のハー ト ビー ト 信号の動作は未定義です。
status_initialization
High
出力
こ の信号は、 デザ イ ンが動作を開始 し た後に 1 回だけ発生する
コ ン ト ロ ー ラ ー初期化の間ア ク テ ィ ブです。
status_observation
High
出力
こ の信号は、 コ ン ト ロ ー ラ ーがビ ッ ト ア ッ プセ ッ ト を監視 し
てい る 間ア ク テ ィ ブです。 エ ラ ー検出後、 コ ン ト ロ ー ラ ーが
ハー ド ウ ェ アに情報を問い合わせてい る 間 も こ の信号はア ク
テ ィ ブの ま ま です。
status_correction
High
出力
こ の信号は、 コ ン ト ロ ー ラ ーがエ ラ ーを訂正 し てい る 間ア ク
テ ィ ブです。 訂正を無効に し た場合 も 、 コ ン ト ロ ー ラ ーが訂正
ス テー ト を遷移中はア ク テ ィ ブにな り ます。
status_classification
High
出力
こ の信号は、 コ ン ト ロ ー ラ ーがエ ラ ーを分類 し てい る 間ア ク
テ ィ ブです。 エ ラ ー分類を無効に し た場合 も 、 コ ン ト ロ ー ラ ー
が分類ス テー ト を遷移中はア ク テ ィ ブにな り ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
26
japan.xilinx.com
第 2 章 : 製品仕様
表 2-17 : ス テー タ ス イ ン タ ー フ ェ イ スの信号 (続き)
名前
センス
status_injection
status_detect_only
status_diagnostic_scan
status_essential
status_uncorrectable
High
High
High
High
High
方向
説明
出力
こ の信号は、 コ ン ト ロ ー ラ ーがエ ラ ーを挿入 し てい る 間ア ク
テ ィ ブです。 エ ラ ー挿入が完了 し 、 コ ン ト ロ ー ラ ーが次のエ
ラ ーを挿入で き る 状態ま たは監視ス テー ト に復帰可能な状態に
な る と 、 こ の信号は非ア ク テ ィ ブに戻 り ます。
出力
こ の信号は、 コ ン ト ロ ー ラ ーが検出ス キ ャ ン を実行 し てい る 間
ア ク テ ィ ブです。 エ ラ ーが検出 さ れて ス キ ャ ンが中断する と 、
コ ン ト ロ ー ラ ーはア イ ド ル ス テー ト に移行 し 、 こ の信号は非
ア ク テ ィ ブに戻 り ます。
出力
こ の信号は、 コ ン ト ロ ー ラ ーが診断ス キ ャ ン を実行 し てい る 間
ア ク テ ィ ブです。 デバ イ ス の コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ
全体に対 し て 1 回の診断ス キ ャ ンが完了する と 、 コ ン ト ロ ー
ラ ーはア イ ド ル ス テー ト に移行 し 、 こ の信号は非ア ク テ ィ ブ
に戻 り ます。 こ の機能は リ アル タ イ ムの ソ フ ト エ ラ ー軽減に
は使用せず、 診断ツール と し てのみ使用 し て く だ さ い。
出力
こ の信号は、 エ ラ ー分類ス テー タ ス信号です。 分類ス テー ト 終
了前に、 コ ン ト ロ ー ラ ーはエ ラ ーがエ ッ セ ン シ ャ ル ビ ッ ト で
発生 し たか ど う か を こ の信号で示 し ます。 その後、 コ ン ト ロ ー
ラ ーは分類ス テー ト を終了 し ます。
出力
こ の信号は、 エ ラ ー訂正ス テー タ ス信号です。 訂正ス テー ト 終
了前に、 コ ン ト ロ ー ラ ーはエ ラ ーが訂正可能か ど う か を こ の信
号で示 し ます。 その後、 コ ン ト ロ ー ラ ーは訂正ス テー ト を終了
し ます。
status_heartbeat 出力は、 コ ン ト ロ ー ラ ーがア ク テ ィ ブであ る こ と を示 し ます。 コ ン ト ロ ー ラ ーは ソ フ ト エ
ラ ーを軽減す る ための も のですが、 それ自体 も ソ フ ト エ ラ ーの影響を受け る 可能性があ り ます。 た と えば、 コ ン ト
ロ ー ラ ーの ク ロ ッ ク が ソ フ ト エ ラ ーに よ っ て停止する 可能性 も あ り ます。 こ の よ う な場合、 ユーザーは
status_heartbeat 信号の停止を検出 し て対策を と る こ と がで き ます。
ヒ ン ト : コ ン ト ロ ー ラ ー自体が ソ フ ト エ ラ ーの影響を受けた場合の実行可能な対策の詳細は、 第 3 章の 「シ ス テ ム」
を参照 し て く だ さ い。
status_initialization、 status_observation、 status_correction、 status_detect_only、
status_diagnostic_scan、 status_classification、 status_injection 出力は コ ン ト ロ ー ラ ーの現在
の状態を示 し ます。 status_uncorrectable お よ び status_essential 出力は、 検出 し たエ ラ ーの性質を示
し ます。
上記の 7 つの コ ン ト ロ ー ラ ー ス テー ト 出力か ら 、 さ ら に 2 つの コ ン ト ロ ー ラ ー ス テー ト をデ コ ー ド で き ます。 7 つ
の信号すべてが Low の場合、 コ ン ト ロ ー ラ ーはア イ ド ル ス テー ト (いつで も 動作を再開可能な非ア ク テ ィ ブ状態) で
す。 7 つの信号すべてが High の場合、 コ ン ト ロ ー ラ ーは重大エ ラ ー ス テー ト で動作を停止 し てい ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
27
japan.xilinx.com
第 2 章 : 製品仕様
コマン ド イ ン ターフ ェ イス
コ マ ン ド イ ン タ ーフ ェ イ ス には、 コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ にビ ッ ト エ ラ ーを挿入する よ う コ ン ト ロ ー ラ ーに
コ マ ン ド を送信す る ための入力があ り ます。
こ の イ ン タ ーフ ェ イ ス の詳細は、 第 3 章の 「 コ マ ン ド イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
表 2-18 : コ マ ン ド イ ン タ ー フ ェ イ スの信号
名前
センス
command_strobe
High
方向
説明
入力
コ マ ン ド 要求を示す コ マ ン ド 制御信号です。
command_busy が Low の と き 、 command_strobe 信号を
icap_clk に同期 し て 1 サ イ ク ルだけ High にパルスす る と 同
時に、 command_code 入力に有効な コ マ ン ド を入力 し ます。
command_code[width – 1:0]
High
入力
コ ン ト ロ ー ラ ーが実行す る コ マ ン ド を指定 し ます。
command_strobe がア ク テ ィ ブ と し てサンプル さ れ る と 同時
に こ のバス の値が取 り 込まれます。 command_code ポー ト
の幅は タ ーゲ ッ ト アーキ テ ク チ ャ に よ り 異な り ます。
UltraScale デバ イ ス では、 幅は 40 です。 それ以外のアーキ
テ ク チ ャ では、 幅は 44 です。
command_busy
High
出力
コ ン ト ロ ー ラ ーが コ マ ン ド を処理で き る 状態か ど う か を示
し ます。 command_strobe は command_busy が Low の場合の
みアサー ト し て く だ さ い。
コ マ ン ド イ ン タ ーフ ェ イ ス を使用す る と 、 エ ラ ー挿入や ソ フ ト ウ ェ ア リ セ ッ ト を簡単に実行で き ます。 こ の イ ン
タ ーフ ェ イ ス の使用方法 と 有効な コ マ ン ド コ ー ド の詳細は、 第 3 章の 「コ マ ン ド イ ン タ ーフ ェ イ ス」 を参照 し て く
だ さ い。
注記 : ユーザー と コ ン ト ロ ー ラ ーの通信には、 よ り 高機能なモニ タ ー イ ン タ ーフ ェ イ ス の使用を推奨 し ます。 詳細
は、 第 3 章の 「モニ タ ー イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
こ の イ ン タ ーフ ェ イ ス の使用は完全に任意です。 使用 し ない場合は、 すべての入力を Low に接続で き ます。
モニ タ ー イ ン タ ー フ ェ イ ス
モニ タ ー イ ン タ ーフ ェ イ ス は コ ン ト ロ ー ラ ーの詳細な ス テー タ ス を受信する 手段 と し てのほか、 コ ン ト ロ ー ラ ー と
の通信手段 と し て も 使用で き ます。 コ ン ト ロ ー ラ ーがモニ タ ー イ ン タ ーフ ェ イ ス か ら 読み出す コ マ ン ド 、 お よ びモ
ニ タ ー イ ン タ ーフ ェ イ ス に書 き 込むス テー タ ス情報はいずれ も ASCII 文字列です。 モニ タ ー イ ン タ ーフ ェ イ ス は、
ス テー タ ス イ ン タ ーフ ェ イ スお よ びコ マ ン ド イ ン タ ーフ ェ イ ス の ス テー タ スお よ び コ マ ン ド 機能を含みます。 こ の
イ ン タ ーフ ェ イ ス は、 コ ア レベルで常に利用可能です。 サンプル デザ イ ンでは、 こ の イ ン タ ーフ ェ イ ス は UART ヘ
ルパー ブ ロ ッ ク に接続 さ れます。
後で必要にな っ た と き に IP の動作を簡単にデバ ッ グで き る よ う に、 少な く と も こ の イ ン タ ーフ ェ イ ス で送信 さ れ る
ス テー タ ス を FIFO に格納す る こ と を推奨 し ます。 詳細は、 第 3 章の 「モニ タ ー イ ン タ ーフ ェ イ ス」 を参照 し て く だ
さ い。
表 2-19 : モニ タ ー イ ン タ ー フ ェ イ スの信号
名前
センス
方向
monitor_txdata[7:0]
High
出力
コ ン ト ロ ー ラ ーか ら のパ ラ レル送信デー タ 。
monitor_txwrite
High
出力
パ ラ レル送信デー タ が有効であ る こ と を示す書き 込みス ト ロ ーブ。
monitor_txfull
High
入力
こ の信号で、 ヘルパー ブ ロ ッ ク か ら コ ン ト ロ ー ラ ーに対す る 送信
チ ャ ネルのフ ロ ー制御を実装 し ます。
monitor_rxdata[7:0]
High
入力
ヘルパー ブ ロ ッ ク か ら のパ ラ レル受信デー タ 。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
説明
28
japan.xilinx.com
第 2 章 : 製品仕様
表 2-19 : モニ タ ー イ ン タ ー フ ェ イ スの信号 (続き)
センス
方向
monitor_rxread
名前
High
説明
出力
パ ラ レル受信デー タ の受信完了を通知する 読み出 し ス ト ロ ーブ。
monitor_rxempty
High
入力
こ の信号で、 ヘルパー ブ ロ ッ ク か ら コ ン ト ロ ー ラ ーに対す る 受信
チ ャ ネルのフ ロ ー制御を実装 し ます。
UART イ ン タ ー フ ェ イ ス
ユーザーの便宜のため、 シ ス テ ム レベル サンプル デザ イ ンにおけ る モニ タ ー イ ン タ ーフ ェ イ ス は UART ヘルパー
ブ ロ ッ ク に接続 さ れてお り 、 モニ タ ー イ ン タ ーフ ェ イ ス を UART イ ン タ ーフ ェ イ ス経由でプ ロ セ ッ サ ブ ロ ッ ク に簡
単に統合で き ます。 こ の UART イ ン タ ーフ ェ イ スは、 コ ン ト ロ ー ラ ーか ら の ス テー タ ス情報の受信、 コ ン ト ロ ー
ラ ーへのエ ラ ー挿入 コ マ ン ド の送信、 お よ び挿入 し たエ ラ ーが コ ン ト ロ ー ラ ーに よ っ て検出お よ び訂正 さ れた こ と
の確認に使用で き ます。
UART ヘルパー ブ ロ ッ ク は、 コ ン ト ロ ー ラ ーが生成 し た ス テー タ ス情報 (1 バ イ ト の ASCII コ ー ド ) を シ リ ア ラ イ ズ
し てシ リ アル送信 し ます。 同様に、 UART ヘルパー ブ ロ ッ ク は入力 さ れた コ マ ン ド 情報 (ASCII コ ー ド のビ ッ ト ス ト
リ ーム) をデシ リ ア ラ イ ズ し てパ ラ レル デー タ と し て コ ン ト ロ ー ラ ーに送信 し ます。
UART ヘルパー ブ ロ ッ ク は標準のシ リ アル通信プ ロ ト コ ルを使用 し ます。 こ のヘルパー ブ ロ ッ ク は同期お よ びオー
バー サンプ リ ン グ ロ ジ ッ ク を備え てお り 、 同 じ 公称ボー レー ト で動作する 非同期シ リ アル デバ イ ス をサポー ト し
ます。 詳細は、 第 3 章の 「UART イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
こ のヘルパー ブ ロ ッ ク が提供す る イ ン タ ーフ ェ イ ス には、 エンベデ ッ ド マ イ ク ロ コ ン ト ロ ー ラ ーか ら デス ク ト ッ プ
コ ン ピ ュ ー タ ーま で幅広いデバ イ ス を直接接続で き ます。 シ ス テ ム要件に よ っ ては外部レベル変換器が必要です。
表 2-20 : UART イ ン タ ー フ ェ イ スの信号
名前
センス
方向
説明
uart_tx
Low
出力
シ リ アル送信デー タ 。
uart_rx
Low
入力
シ リ アル受信デー タ 。
フ ェ ッ チ イ ン ターフ ェ イス
フ ェ ッ チ イ ン タ ーフ ェ イ ス は、 コ ン ト ロ ー ラ ーが外部 ソ ース か ら デー タ を要求する 手段 と し て使用 し ます。
エ ラ ー分類中、 コ ン ト ロ ー ラ ーは 1 フ レーム分のエ ッ セ ン シ ャ ル ビ ッ ト デー タ を必要 と す る こ と があ り ます。 コ ン
ト ロ ー ラ ーは、 必要なデー タ を記述 し た コ マ ン ド をバ イ ナ リ 形式で フ ェ ッ チ イ ン タ ーフ ェ イ ス に書 き 込む よ う 設計
さ れてい ます。 外部 ソ ース は こ の情報を使用 し てデー タ を フ ェ ッ チ し 、 フ ェ ッ チ イ ン タ ーフ ェ イ ス に返す必要があ
り ます。
エ ラ ー分類を有効にす る と 、 こ の イ ン タ ーフ ェ イ ス は常に コ ア レベルで利用で き ます。 サンプル デザ イ ンでは、 こ
の イ ン タ ーフ ェ イ ス は SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク に接続 さ れてお り 、 こ の イ ン タ ーフ ェ イ ス を SPI
イ ン タ ーフ ェ イ ス を経由 し てエ ッ セ ン シ ャ ル ビ ッ ト デー タ が格納 さ れた外部 SPI フ ラ ッ シ ュ に接続 し ます。
こ の イ ン タ ーフ ェ イ ス の詳細は、 第 3 章の 「フ ェ ッ チ イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
表 2-21 : フ ェ ッ チ イ ン タ ー フ ェ イ スの信号
名前
センス
方向
fetch_txdata[7:0]
High
出力
コ ン ト ロ ー ラ ーか ら のパ ラ レル送信デー タ 。
fetch_txwrite
High
出力
パ ラ レル送信デー タ が有効であ る こ と を示す書き 込みス ト ロ ーブ。
fetch_txfull
High
入力
こ の信号で、 ヘルパー ブ ロ ッ ク か ら コ ン ト ロ ー ラ ーに対す る 送信
チ ャ ネルのフ ロ ー制御を実装 し ます。
fetch_rxdata[7:0]
High
入力
ヘルパー ブ ロ ッ ク か ら のパ ラ レル受信デー タ 。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
説明
29
japan.xilinx.com
第 2 章 : 製品仕様
表 2-21 : フ ェ ッ チ イ ン タ ー フ ェ イ スの信号 (続き)
センス
方向
fetch_rxread
名前
High
説明
出力
パ ラ レル受信デー タ の受信完了を通知する 読み出 し ス ト ロ ーブ。
fetch_rxempty
High
入力
こ の信号で、 ヘルパー ブ ロ ッ ク か ら コ ン ト ロ ー ラ ーに対す る 受信
チ ャ ネルのフ ロ ー制御を実装 し ます。
fetch_tbladdr[31:0]
High
入力
こ の信号で、 外部 ソ ース にあ る コ ン ト ロ ー ラ ー デー タ テーブルの
開始ア ド レ ス を指定 し ます。
SPI イ ン タ ー フ ェ イ ス
ユーザーの便宜のため、 シ ス テ ム レベル サンプル デザ イ ンにおけ る フ ェ ッ チ イ ン タ ーフ ェ イ ス は SPI フ ラ ッ シ ュ
マ ス タ ー ヘルパー ブ ロ ッ ク に接続 さ れてお り 、 SPI イ ン タ ーフ ェ イ ス経由で外部 SPI フ ラ ッ シ ュ か ら エ ッ セ ン シ ャ
ル ビ ッ ト デー タ を取得 し ます。 こ の イ ン タ ーフ ェ イ ス が存在す る 場合、 シ ス テ ム レベル サンプル デザ イ ンの SPI
フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク の機能は SPI バ ス マ ス タ ーに固定 さ れます。
こ のヘルパー ブ ロ ッ ク は、 ア ド レ ス と バ イ ト カ ウ ン ト で構成 さ れ る フ ェ ッ チ イ ン タ ーフ ェ イ ス経由で コ ン ト ロ ー
ラ ーか ら コ マ ン ド を受け取 り ます。 こ のヘルパー ブ ロ ッ ク は、 要求 さ れたデー タ を外部 SPI フ ラ ッ シ ュ か ら フ ェ ッ
チす る ための SPI バ ス ト ラ ンザ ク シ ョ ン を生成 し ます。 フ ェ ッ チ し たデー タ は、 コ ン ト ロ ー ラ ーが受信で き る
フ ォーマ ッ ト にヘルパー ブ ロ ッ ク に よ っ て変換 さ れます。
こ のヘルパー ブ ロ ッ ク は標準 SPI バ ス プ ロ ト コ ルを使用 し 、 「モー ド 0」 と 呼ばれ る 最 も 一般的なモー ド (CPOL = 0、
CPHA = 0) を実装 し ます。SPI バス の ク ロ ッ ク 周波数はシ ス テ ム レベル サンプル デザ イ ンのシ ス テ ム ク ロ ッ ク の 1/2
に固定 さ れます。 詳細は、 第 3 章の 「SPI イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
こ のヘルパー ブ ロ ッ ク が提供す る イ ン タ ーフ ェ イ ス には、 幅広い種類の標準 SPI フ ラ ッ シ ュ を直接接続で き ます。
シ ス テ ム要件に よ っ ては外部レベル変換器が必要です。
表 2-22 : SPI イ ン タ ー フ ェ イ スの信号
名前
センス
方向
説明
spi_c
エッジ
出力
外部 SPI フ ラ ッ シ ュ の SPI バ ス ク ロ ッ ク
spi_d
High
出力
外部 SPI フ ラ ッ シ ュ の SPI バ ス 「マ ス タ ー出力、 ス レーブ入力」 信号
spi_s_n
Low
出力
外部 SPI フ ラ ッ シ ュ の SPI バ ス セ レ ク ト 信号
spi_q
High
入力
外部 SPI フ ラ ッ シ ュ の SPI バ ス 「マ ス タ ー入力、 ス レーブ出力」 信号
補助イ ン タ ー フ ェ イ ス
補助 イ ン タ ーフ ェ イ ス は、 コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ の ス キ ャ ンでは コ ン ト ロ ー ラ ーが直接監視で き ない場所
で発生 し た ソ フ ト エ ラ ー イ ベン ト を コ ン ト ロ ー ラ ーに通知す る 手段 と し て使用 し ます。 詳細は、 第 3 章の 「補助 イ
ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
表 2-23 : 補助イ ン タ ー フ ェ イ スの信号
名前
センス
方向
aux_error_cr_ne
High
入力
訂正可能な非エ ッ セ ン シ ャ ル エ ラ ーを示す補助入力
aux_error_cr_es
High
入力
訂正可能なエ ッ セ ン シ ャ ル エ ラ ーを示す補助入力
aux_error_uc
High
入力
訂正不能エ ラ ーを示す補助入力
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
説明
30
japan.xilinx.com
第 3章
コ ア を使用するデザイ ン
こ の章では、 コ ア を使用 し た設計を よ り 容易にす る ためのガ イ ド ラ イ ンお よ び追加情報を紹介 し ます。
一般的なデザイ ン ガ イ ド ラ イ ン
こ のセ ク シ ョ ンでは、 UltraScale™ Architecture SEM Controller と ユーザー アプ リ ケーシ ョ ン ロ ジ ッ ク を組み合わせて
完全に機能す る デザ イ ン を作成す る 手順を説明 し ます。
重要 : こ の章に記載す る すべての設計手順がすべての イ ンプ リ メ ン テーシ ョ ンで必要 と は限 り ません。 こ のマニ ュ ア
ルの ロ ジ ッ ク デザ イ ン ガ イ ド ラ イ ンに注意深 く 従っ て く だ さ い。
ス タ ーテ ィ ン グ ポ イ ン ト と し てサン プル デザイ ン を使用
SEM Controller コ アに付属す る サンプル デザ イ ン を FPGA に イ ンプ リ メ ン ト し て、 コ ン ト ロ ー ラ ーの動作の理解に役
立て る こ と がで き ます。
シ ス テ ム レベル サンプル デザ イ ンは SEM Controller と 各種プ リ ミ テ ィ ブお よ びヘルパー ブ ロ ッ ク を カプセル化 し て
お り 、 こ れ ら のプ リ ミ テ ィ ブ と ヘルパー ブ ロ ッ ク が SEM Controller と ほかのデバ イ ス を接続す る イ ン タ ーフ ェ イ ス
と し ての役割を果た し ます (91 ページの図 5-1 参照)。
ス タ ッ ク ド シ リ コ ン イ ン タ ー コ ネ ク ト (SSI) デバ イ ス を タ ーゲ ッ ト に し たデザ イ ンの場合、 各 SLR で ソ フ ト エ ラ ー
を軽減す る 方法の例がシ ス テ ム レベル サンプル デザ イ ンに含まれてい ます。 少な く と も 、 こ のサンプルを参考に し
て く だ さ い。 コ アに付属す る サンプル デザ イ ンの詳細は第 5 章 「サンプル デザ イ ン」 を参照 し て く だ さ い。 シ ス テ
ム レベルのポー ト ソ リ ュ ーシ ョ ンについては第 2 章の 「ポー ト の説明」 を参照 し て く だ さ い。
ヒ ン ト : <component_name>_support_wrapper.v には ソ フ ト エ ラ ー軽減 ソ リ ュ ーシ ョ ンに必須の ロ ジ ッ ク がす
べて含ま れてい る ため、 すべてのサブモジ ュ ール と 一緒にユーザー デザ イ ンに統合する こ と を推奨 し ます。 こ の ソ
リ ュ ーシ ョ ンは、 完全に検証済みの状態で提供 さ れてい ます。 詳細は、 33 ページの 「構造オプシ ョ ン」 を参照 し て
く だ さ い。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
31
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
難易度を把握
SEM Controller デザ イ ンの イ ンプ リ メ ン ト は困難な こ と も あ り ますが、その難易度は次の要因に よ っ て も 変化 し ます。
•
最大シ ス テ ム ク ロ ッ ク 周波数
•
タ ーゲ ッ ト デバ イ ス アーキ テ ク チ ャ
•
アプ リ ケーシ ョ ンの性質
•
デバ イ ス の密集度
すべての SEM イ ンプ リ メ ン テーシ ョ ンでは、 シ ス テ ム性能の要件に注意を払 う 必要があ り ます。 こ のため、 設計サ
イ ク ルの早期段階で IP を統合す る こ と を強 く 推奨 し ます。 パ イ プ ラ イ ン処理、 ロ ジ ッ ク マ ッ プ、 配置制約、 お よ び
ロ ジ ッ ク 複製は、 シ ス テ ム性能を向上 さ せ る 最適な手段です。
重要 : SEM Controller と ICAP お よ び FRAME_ECC プ リ ミ テ ィ ブの間にパ イ プ ラ イ ン レ ジ ス タ を追加す る と SEM
Controller の動作に悪影響が及ぶため、 こ の追加は避けて く だ さ い。
レ ジス タ の使用
FPGA デザ イ ンの タ イ ミ ン グ を シ ンプルに し た り 、 シ ス テ ム性能を向上 さ せる には、 ユーザー アプ リ ケーシ ョ ン と
コ ア間のすべての入力 と 出力に レ ジ ス タ を使用 し て く だ さ い。 つま り 、 ユーザー アプ リ ケーシ ョ ンか ら のすべての
入力 と 出力はフ リ ッ プ フ ロ ッ プ を介す こ と にな り ます。 信号の レ ジ ス タ への格納はすべてのパ ス で可能 と は限 り ま
せんが、 こ れに よ っ て タ イ ミ ン グ解析が容易にな り 、 ま たザ イ リ ン ク ス ツールでのデザ イ ンの配置配線 も 簡単にな
り ます。
タ イ ミ ン グ ク リ テ ィ カルな信号を認識
コ アのサンプル デザ イ ンに付属す る XDC フ ァ イ ルは、 ク リ テ ィ カルな信号を識別 し て適用すべ き タ イ ミ ン グ制約を
特定す る のに役立ち ます。 詳細は、 第 4 章の 「 コ アへの制約」 を参照 し て く だ さ い。
許可 さ れた変更のみ実行
SEM Controller コ ア をユーザーが変更す る こ と はで き ません。 こ れは、 シ ス テ ム タ イ ミ ン グへの違反を防ぐ ためで
す。 ユーザー設定が可能なのは、 SEM Controller コ アの生成時に Vivado® Design Suite のオプシ ョ ン で指定可能な コ ン
フ ィ ギ ュ レーシ ョ ンのみです。 詳細は、 第 4 章の 「 コ アのカ ス タ マ イ ズお よ び生成」 を参照 し て く だ さ い。
早期の統合およびバ リ デーシ ョ ン
SEM IP コ アはプ ロ グ ラ マブル ロ ジ ッ ク の フ ッ ト プ リ ン ト をわずか し か占有 し ませんが、 プ ロ グ ラ マブル ロ ジ ッ ク の
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ シ ス テ ム を活性化 し ます。 で き る だけ早期にシ ス テ ムの統合 と バ リ デーシ ョ ン を行
い、 可能な限 り 多 く の機能を段階的に追加 し てい く と 、 代表的な ワー ク ロ ー ド でのシ ス テ ム評価の時間を最大限に
確保で き ます。 こ の手法は、 デザ イ ン再利用 と IP ベース設計を促進する 一般的なボ ト ム ア ッ プ設計アプ ロ ーチを補
完す る も の と し て推奨 さ れます。(1)
詳細は、 87 ページの 「統合お よ びバ リ デーシ ョ ン」 を参照 し て く だ さ い。
1. 『Comprehensive Full-Chip Methodology to Verify EM and Dynamic Voltage Drop on High Performance FPGA Designs in the 20nm
Technology』 (DesignCon 2014 でのプ レ ゼ ン テーシ ョ ン) [参照 6]
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
32
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
IP チ ェ ッ ク リ ス ト の確認
SEM IP を使用す る 際の注意点をチ ェ ッ ク リ ス ト 形式でわか り やす く ま と めた も のを用意 し てい ます。 詳細は、 付
録 F 「IP デザ イ ンのチ ェ ッ ク リ ス ト 」 を参照 し て く だ さ い。
構造オプ シ ョ ン
コ ン フ ィ ギ ュ レーシ ョ ン プ リ ミ テ ィ ブお よ び利用可能なヘルパー ブ ロ ッ ク を ど こ に配置す る かは、 [Customize IP]
ダ イ ア ロ グ ボ ッ ク ス の [Structural Options] で選択で き ます。 こ れ ら のオプシ ョ ンで IP 境界の順列を変更 し 、 提供 さ
れ る IP ソ リ ュ ーシ ョ ン を シ ンプルな イ ン タ ーフ ェ イ ス の ス タ ン ド ア ロ ン コ ア と し て動作 さ せ る か、 大規模なデザ イ
ンの一部 と し て動作 さ せて SEM Controller の イ ン タ ーフ ェ イ スへのア ク セ ス を容易にする か を選択で き ます。 こ れ ら
のオプシ ョ ンに よ り 、 IP コ ア を さ ま ざ ま な用途に柔軟に利用で き る よ う にな る と 同時に、 ソ リ ュ ーシ ョ ン を ユー
ザー デザ イ ンに統合す る 際の HDL 修正範囲が最小限に抑え ら れます。
階層レベルには次の 2 つがあ り ます。
•
<component_name>_support
•
<component_name>_support_wrapper
図 3-1 お よ び図 3-2 に、 コ ン フ ィ ギ ュ レーシ ョ ン プ リ ミ テ ィ ブを コ アに含めた場合 と サンプル デザ イ ンに含めた場
合の 2 つの階層を示 し ます。 図中の <component_name> には生成 さ れた コ アの名前が入 り ます。
こ れ ら 2 つの階層の違いは、 コ アの境界お よ びヘルパー ブ ロ ッ ク が イ ン ス タ ン シエー ト さ れ る 場所にあ り ます。 こ
れは、 Vivado 統合設計環境 (IDE) で SEM Controller を カ ス タ マ イ ズす る 際に [Structural Options] で設定 し ます。
X-Ref Target - Figure 3-1
6\VWHP/HYHO'HVLJQ([DPSOH
6\VWHP/HYHO6ROXWLRQVFRPSRQHQWBQDPH!BVXSSRUWBZUDSSHU
6XSSRUW/D\HUFRPSRQHQWBQDPH!'&3
9,2
&RQILJXUDWLRQ/RJLF
,&$3
)5$0(
(&&
8$57+HOSHU%ORFN
9,2
9,2
6(0&RQWUROOHU
63,)ODVK0DVWHU
+HOSHU%ORFN
9,2
%8)*&(
図 3-1 : コ ン フ ィ ギ ュ レーシ ョ ン プ リ ミ テ ィ ブ を コ アに配置
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
33
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-2
6\VWHP/HYHO'HVLJQ([DPSOH
6\VWHP/HYHO6ROXWLRQVFRPSRQHQWBQDPH!BVXSSRUWBZUDSSHU
6XSSRUWOD\HUFRPSRQHQWBQDPH!BVXSSRUW
9,2
&RQILJXUDWLRQ/RJLF
,&$3
)5$0(
(&&
8$57+HOSHU%ORFN
9,2
9,2
6(0&RQWUROOHU
FRPSRQHQWBQDPH!'&3
63,)ODVK0DVWHU
+HOSHU%ORFN
9,2
%8)*&(
図 3-2 : コ ン フ ィ ギ ュ レーシ ョ ン プ リ ミ テ ィ ブ をサン プル デザイ ンに配置
ヒ ン ト : <component_name>_support_wrapper には全体的な ソ フ ト エ ラ ー軽減 ソ リ ュ ーシ ョ ンに必須の ロ ジ ッ
ク がすべて含まれてお り 、 完全に検証済みの も のが提供 さ れてい る ため、 こ の階層レベルをデザ イ ンに統合する こ
と を推奨 し ます。
重要 : こ のサンプル デザ イ ン を統合 し ない場合は、 後述す る 各 イ ン タ ーフ ェ イ ス のシ ス テ ム レベル要件お よ び推奨
事項に従っ て く だ さ い。
コ ン フ ィ ギ ュ レーシ ョ ン プ リ ミ テ ィ ブ を コ アに配置
ICAP お よ び FRAME_ECC プ リ ミ テ ィ ブへのア ク セ ス を必要 と す る ロ ジ ッ ク がほかに存在 し ない場合は こ のオプシ ョ
ン を選択 し ます。 こ の場合、 こ れ ら のプ リ ミ テ ィ ブは コ アに配置 さ れ、 プ リ ミ テ ィ ブ と SEM Controller は自動的に接
続 さ れ る ため、 コ ア ポー ト と し ては外部に現れません。
コ ン フ ィ ギ ュ レーシ ョ ン プ リ ミ テ ィ ブ をサン プル デザイ ンに配置
ICAP お よ び FRAME_ECC プ リ ミ テ ィ ブへのア ク セ ス を必要 と す る ロ ジ ッ ク がほかに存在す る 場合は こ のオプシ ョ ン
を選択 し ます。 こ の場合、 こ れ ら のプ リ ミ テ ィ ブはサンプル デザ イ ン階層に イ ン ス タ ン シエー ト さ れます。
ユーザー デザ イ ン と SEM Controller で ICAP を共有す る 方法の詳細は、 ザ イ リ ン ク ス にお問い合わせ く だ さ い。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
34
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
イ ン ターフ ェ イス
こ のセ ク シ ョ ンでは、 コ ア をデザ イ ンに統合す る方法を各 イ ン タ ーフ ェ イ ス別に説明 し ます。 各セ ク シ ョ ンでは、
イ ン タ ーフ ェ イ ス の目的、 使用方法、 動作、 お よ びシ ス テ ム全体への統合方法について説明 し ます。
ICAP イ ン タ ー フ ェ イ ス
ICAP イ ン タ ーフ ェ イ スは第 2 章の 「ICAP イ ン タ ーフ ェ イ ス」 の説明に従っ て ICAP プ リ ミ テ ィ ブに接続 し ます。 こ
の イ ン タ ーフ ェ イ ス の icap_clk ポー ト は IP への主要な入力 ク ロ ッ ク です。 こ の ク ロ ッ ク の要件については、 次の
セ ク シ ョ ンで説明 し ます。
シス テム ク ロ ッ ク イ ン タ ー フ ェ イ ス
こ こ では、 シ ス テ ム入力 ク ロ ッ ク に関す る 推奨事項について説明 し ます。 こ れ ら の推奨事項は、 FPGA デー タ シー ト
に記載 さ れた FPGA コ ン フ ィ ギ ュ レーシ ョ ン シ ス テ ムに使用 さ れ る ク ロ ッ ク 信号の要件に基づいて決定 し てい ます。
•
デ ュ ーテ ィ サ イ ク ル : 45% 以上、 55% 以下
入力 ク ロ ッ ク の周波数が高いほ ど、 ソ リ ュ ーシ ョ ンのエ ラ ー軽減レ イ テ ン シは小 さ く な り ます。 し たがっ て、 周波
数はな る べ く 高い方が理想です。 入力 ク ロ ッ ク の最大周波数を決定す る 際には、 い く つかの重要な要因を考慮する
必要があ り ます。
•
FPGA コ ン フ ィ ギ ュ レーシ ョ ン シ ス テ ムの最大 ク ロ ッ ク 周波数を超え ない こ と 。 具体的な数値は、 タ ーゲ ッ ト
デバ イ ス のデー タ シー ト を参照 し て く だ さ い。
•
ス タ テ ィ ッ ク タ イ ミ ン グ解析ツールで報告 さ れた最大 ク ロ ッ ク 周波数を超えない こ と 。 通常、 こ の条件が制限
と な る こ と はあ り ません。
シ ス テ ム レベル サンプル デザ イ ン を使用する 場合、 完全同期設計手法に基づき 、 ク ロ ッ ク 周波数を選択する 際には
外部 イ ン タ ーフ ェ イ ス の タ イ ミ ン グ と の関係 も 考慮す る 必要があ り ます。
•
•
SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク と SPI イ ン タ ーフ ェ イ ス :
°
SPI バ ス の最大 ク ロ ッ ク 周波数を決定す る には、 SPI バ ス タ イ ミ ン グ バジ ェ ッ ト の評価が必要です。 解析
例は付録 C 「SPI バ ス タ イ ミ ン グ バジ ェ ッ ト 」 を参照 し て く だ さ い。
°
SPI バ ス ク ロ ッ ク は入力 ク ロ ッ ク の 1/2 です。 し たがっ て、 入力 ク ロ ッ ク は SPI バ ス の最大 ク ロ ッ ク 周波数
の 2 倍以下 と す る 必要があ り ます。
UART ヘルパー ブ ロ ッ ク と UART イ ン タ ーフ ェ イ ス :
°
°
入力 ク ロ ッ ク と シ リ アル イ ン タ ーフ ェ イ ス のボー レー ト は、 16 の整数倍の関係です。 標準ボー レー ト が
必要な場合、 非常に高いボー レー ト ま たは非常に低い入力 ク ロ ッ ク 周波数では解空間が限 ら れ る こ と があ
り ます。
解析例は 「ス イ ッ チン グ動作」 を参照 し て く だ さ い。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
35
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
シ ス テム レ ベル要件
シ ス テ ム ク ロ ッ ク は コ ン ト ロ ー ラ ーに と っ て非常に重要であ る ため、 な る べ く 信頼性の高い ク ロ ッ ク ソ ース か ら 供
給す る 必要があ り ます。 最大限の信頼性を確保す る には、 ク ロ ッ ク ソ ース と コ ン ト ロ ー ラ ーをで き る だけ直接接続
し ます。 つま り 、 目的の周波数の外部オシ レー タ ーを使用 し 、 グ ロ ーバル ク ロ ッ ク バ ッ フ ァ ーに直接関係す る ピ ン
に直接接続 し ます。
こ の ク ロ ッ ク パス にその他の ロ ジ ッ ク や イ ン タ ーコ ネ ク ト を含め る と 、 ク ロ ッ ク と コ ン ト ロ ー ラ ーの接続を制御す
る ために使用す る コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ の数が増大 し ます。 こ の結果、 コ ン ト ロ ー ラ ーの推定 FIT に悪影
響が及びます。 こ の影響はそれほ ど大 き く はあ り ませんが、 設計負担がそれほ ど増え ないのであれば、 信頼性向上
のために実行 し てお く こ と を推奨 し ます。
こ の ク ロ ッ ク パス に ク ロ ッ ク 管理ブ ロ ッ ク や ロ ジ ッ ク ベース の ク ロ ッ ク 分周器な ど の余分な ロ ジ ッ ク が存在す る 場
合、過渡中を含め FPGA コ ン フ ィ ギ ュ レーシ ョ ン シ ス テ ムの最大 ク ロ ッ ク 周波数 と シ ス テ ム レベル サンプル デザ イ
ンお よ び コ ン ト ロ ー ラ ーの最大 ク ロ ッ ク 周波数の要件に違反 し ない よ う にデザ イ ンで保証する 必要があ り ます。
た と えば遅延 ロ ッ ク ループ (DLL) や位相 ロ ッ ク ループ (PLL) の ク ロ ッ ク 出力は、 こ れ ら の機能が ロ ッ ク す る ま での
間、 仕様外の値 と な る こ と があ り ます。 こ の問題に対処す る には、 イ ネーブル信号を備え た グ ロ ーバル ク ロ ッ ク
バ ッ フ ァ ー (BUFGCE) を使用 し 、 ロ ッ ク が完了 し た後でグ ロ ーバル ク ロ ッ ク バ ッ フ ァ ーを有効にす る と い う 方法が
あ り ます。 た と えばサンプル デザ イ ンのシ ス テ ム レベル ク ロ ッ ク は BUFGCE の ク ロ ッ ク イ ネーブルを High に接続
し て分配 し てい ます。
シ ス テ ム レベル サンプル デザ イ ン、 コ ン ト ロ ー ラ ー、 お よ びコ ン フ ィ ギ ュ レーシ ョ ン シ ス テ ムはすべて ス タ
テ ィ ッ ク です。 つま り 、 FPGA コ ン フ ィ ギ ュ レーシ ョ ン シ ス テ ムの仕様上の最大許容周波数、 ま たはシ ス テ ム レベ
ル サンプル デザ イ ンお よ び コ ン ト ロ ー ラ ーの最大 ク ロ ッ ク 周波数の ど ち ら か低い方ま で任意の ク ロ ッ ク 周波数を使
用で き ます。 ただ し ク ロ ッ ク レー ト は高い方がエ ラ ー軽減を高速に実行で き る と い う 利点があ り ます。
こ れ ら の要因を考慮 し て、 すべての条件を満たす入力 ク ロ ッ ク 周波数を選択 し ます。
FRAME_ECC イ ン タ ー フ ェ イ ス
FRAME_ECC イ ン タ ーフ ェ イ スは 「FRAME_ECC イ ン タ ーフ ェ イ ス」 の説明に従っ て FRAME_ECC プ リ ミ テ ィ ブに
接続 し ます。 それ以外の要件はあ り ません。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
36
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
ICAP アービ ト レーシ ョ ン イ ン タ ー フ ェ イ ス
こ の イ ン タ ーフ ェ イ ス は、 ICAP プ リ ミ テ ィ ブ を シ ス テ ムの複数の フ ァ ン ク シ ョ ン で共有す る 場合や、 SEM
Controller か ら ICAP へのア ク セ ス を管理する 必要があ る 場合に使用する と 便利です。 複数の フ ァ ン ク シ ョ ンか ら
ICAP へのア ク セ ス を制御 し 、 SEM Controller か ら ICAP へのア ク セ ス タ イ ミ ン グ を管理す る には こ の イ ン タ ーフ ェ
イ ス を ユーザー定義の ICAP アービ タ で駆動する のが理想です。 SEM Controller で ICAP を共有す る 方法の詳細は、
ザ イ リ ン ク ス にお問い合わせ く だ さ い。
図 3-3 に、ICAP アービ ト レーシ ョ ン イ ン タ ーフ ェ イ ス の信号を変化 さ せた場合の SEM Controller の動作を示 し ます。
開始点は次の 2 つがあ り ます。
•
コ ン ト ロ ー ラ ーが監視、 検出、 診断ス キ ャ ン ス テー ト の場合 (図の開始点 1)
•
コ ン フ ィ ギ ュ レーシ ョ ン後に コ ン ト ロ ー ラ ーが初めて起動 し た場合 (図の開始点 2)
白のブ ロ ッ ク は、 コ ン ト ロ ー ラ ーが独占的に ICAP にア ク セ スす る ス テー ト を示 し ます。 グ レーのブ ロ ッ ク は コ ン ト
ロ ー ラ ーが ICAP にア ク セ ス し ない ス テー ト を示 し ます。
X-Ref Target - Figure 3-3
6WDUWLQJSRLQW&RQWUROOHULQ
2EVHUYDWLRQRU
'HWHFWRQO\RU
'LDJQRVWLF6FDQ
6WDWHE
&RQWUROOHULQ6WDWH$IWHU
,QLWLDOL]DWLRQ
$UELWHUVHWV
cap_rel = 1"
&RQWUROOHULQ,QLWLDOL]DWLRQ
6WDWH
)DOVH
7UXH
&RQWUROOHUWUDQVLWLRQVWR,GOHVWDWH
7UXH&RQWUROOHUWUDQVLWLRQVWR
,QLWLDOL]DWLRQVWDWH
Controller in Idle
Statea
$UELWHUVHWV (cap_gnt = 1
DQGcap_rel = 0)"D
False
$UELWHUFRQWLQXHVWRDVVHUW
cap_rel = 1
&RQWUROOHU6WDUW%RRW
3URFHVVD
&RQWUROOHUVHWV
cap_req = 0D
$UELWHUVKRXOGQRZVHW
cap_gnt = 0
)DOVH
&RQWUROOHUVFKHFNV
(cap_gnt = 0 DQG
cap_rel = 0)"D
7UXH
&RQWUROOHUVHWV
cap_req = 1D
6WDUWLQJSRLQW&ORFN
SURYLGHGWR,3DIWHU
FRQILJXUDWLRQD
D6(0FRQWUROOHUFRQWLQXHVWREH,GOHVWDWH1RZWKHFRQWUROOHUKDV
QRDFFHVVWRWKH,&$3SULPLWLYH
E6HH7DEOH
;
図 3-3 : ICAP アービ ト レーシ ョ ンのス イ ッ チ ング動作フ ローチ ャ ー ト
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
37
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
ICAP アービ ト レーシ ョ ン イ ン タ ーフ ェ イ ス の cap_rel お よ び cap_gnt 信号を操作 し て コ ン ト ロ ー ラ ーか ら ICAP
へのア ク セ ス を解放 し た場合、 ア ク セ ス が再び許可 さ れ る と コ ン ト ロ ー ラ ーは再起動 し て初期化を実行 し ます ( ソ フ
ト ウ ェ ア リ セ ッ ト と 同 じ 動作)。 コ ン ト ロ ー ラ ーか ら ICAP へのア ク セ ス が停止 さ れてい る 間に コ ン フ ィ ギ ュ レー
シ ョ ン メ モ リ ま たは設定が変更 さ れたか ど う か を コ ン ト ロ ー ラ ーは知る こ と がで き ないため、 コ ン ト ロ ー ラ ーか ら
ICAP へのア ク セ ス が再び許可 さ れた後に コ ン ト ロ ー ラ ーの正常動作を保証す る には、 こ れが最 も 安全な方法 と な り
ます。
こ の動作が好ま し く ない場合は、次の手順を手動で実行 し て コ ン ト ロ ー ラ ーか ら ICAP へのア ク セ ス を停止で き ます。
1.
コ ン ト ロ ー ラ ーを ア イ ド ル ス テー ト へ移行 さ せる コ マ ン ド を実行 し ます。
2.
ICAP へのア ク セ ス権を取得 し て目的の動作を実行 し ます。
3.
目的の動作を完了 し て ICAP へのア ク セ ス権を解放 し た ら 、 コ ン ト ロ ー ラ ーを監視ス テー ト や検出ス テー ト な ど
目的の ス テー ト へ移行 さ せ る コ マ ン ド を実行 し ます。
注記 : 上記の手順を実行 し て も コ ン ト ロ ー ラ ーは再起動/初期化 さ れませんが、 ICAP にア ク セ ス し て処理を実行
中、 コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ お よ び設定が変更 さ れない よ う にユーザーが責任を持つ必要があ り ます。
こ れは、 SEM Controller の正常動作を継続す る 上で非常に重要です。
ICAP 共有機能を使用 し ない場合は、 こ の イ ン タ ーフ ェ イ ス の入力を次の よ う に接続 し ます。
•
cap_gnt = High
•
cap_rel = Low
ス イ ッ チ ング動作
図 3-4 に ス イ ッ チ特性を示 し ます。 最初に コ ン ト ロ ー ラ ーがブー ト し ます。 次に、 コ ン ト ロ ー ラ ーが cap_req 信号
を アサー ト し て ICAP へのア ク セ ス を要求 し ます。 コ ン ト ロ ー ラ ーは、 ICAP にア ク セ スす る 必要があ る 間、 こ の信
号を アサー ト し 続け ます。 その後、 外部 ICAP アービ タ に よ っ て cap_gnt 信号がアサー ト さ れ、 コ ン ト ロ ー ラ ーに
ICAP の制御が与え ら れた こ と を通知 し ます。 cap_gnt がアサー ト さ れ る と コ ン ト ロ ー ラ ーは初期化ス テー ト へ移
行 し ます。 初期化が完了す る と 、 コ ン ト ロ ー ラ ーは監視ス テー ト へ移行 し ます。
コ ン ト ロ ー ラ ーが監視ス テー ト へ移行 し た後、 ICAP アービ タ は cap_rel 信号を アサー ト し 、 コ ン ト ロ ー ラ ーに対
し て ICAP を解放す る よ う 要求 し ます。 その後、 コ ン ト ロ ー ラ ーは自動的にア イ ド ル ス テー ト へ移行 し 、 cap_req
信号をデ ィ アサー ト し ます。 次に、 ICAP アービ タ は cap_gnt お よ び cap_rel 信号をデ ィ アサー ト し ます。 次に、
コ ン ト ロ ー ラ ーは cap_req 信号を アサー ト し てブー ト プ ロ セ ス を開始 し ます。 cap_gnt がアサー ト さ れ る と 、 コ
ン ト ロ ー ラ ーは初期化ス テー ト へ移行 し ます。 初期化が完了す る と 、 コ ン ト ロ ー ラ ーは監視ス テー ト へ移行 し ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
38
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-4
LFDSBFON
FDSBUHT
FDSBJQW
FDSBUHO
VWDWXVBLQLWLDOL]DWLRQ
VWDWXVBREVHUYDWLRQ
Boot
%RRW
Initialization
,QLWLDOL]DWLRQ
Observation
2EVHUYDWLRQ
Idle
,GOH
%RRW
Boot
,QLWLDOL]DWLRQ
Initialization
2EVHUYDWLRQ
Observation
図 3-4 : ICAP アービ ト レーシ ョ ンのス イ ッ チ ング動作
シ ス テム レ ベル要件
こ の イ ン タ ーフ ェ イ ス は、 ICAP にア ク セ スす る 必要のあ る ブ ロ ッ ク が複数存在す る シ ス テ ム で使用 し て く だ さ い。 こ の よ う なシ ス テ ムでは、 複数のブ ロ ッ ク 間
で ICAP のハン ド オ フ を管理お よ び制御す る ためのアービ タ を設計する 必要があ り ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
39
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
ス テー タ ス イ ン タ ー フ ェ イ ス
ス テー タ ス イ ン タ ーフ ェ イ ス か ら は、 ロ ジ ッ ク 信号に基づ く イ ベン ト レ ポー ト が直接出力 さ れます。 ス テー タ ス イ
ン タ ーフ ェ イ ス は多 く の用途に利用で き ますが、 その使用は必須ではあ り ません。 こ の イ ン タ ーフ ェ イ ス で報告 さ
れ る 情報は、 次の 3 つの種類に分類 さ れます。
•
ス テー ト – コ ン ト ロ ー ラ ーの現在の動作を示 し ます。
•
フ ラ グ – 検出 し たエ ラ ーの タ イ プ を特定 し ます。
•
ハー ト ビー ト – コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ の ス キ ャ ンが動作中であ る こ と を示 し ます。
シ ス テ ム レベル サンプル デザ イ ン を SSI デバ イ ス に イ ンプ リ メ ン ト し た場合、各 SLR に 1 つずつコ ン ト ロ ー ラ ー イ
ン ス タ ン ス があ る ため、 ス テー タ ス イ ン タ ーフ ェ イ ス も SLR ご と に個別に存在 し ます。 ほ と ん ど の場合、 ス テー タ
ス イ ン タ ーフ ェ イ ス の信号は必要な も のだけ を FPGA の I/O ピ ンに接続 し ます。 シ ス テ ム レベル サンプル デザ イ ン
では、 すべての信号を I/O ピ ンに接続 し てい ます。
ス テー タ ス信号は外部で イ ン ジ ケー タ ーに接続 し て表示す る こ と も 、 別のデバ イ ス に接続 し て観測する こ と も で き
ます。 別のデバ イ ス に接続 し て イ ベン ト 報告を正 し く 取 り 込むには、 ス テー タ ス イ ン タ ーフ ェ イ ス の ス イ ッ チン グ
動作を考慮す る 必要があ り ます。
特に SSI デバ イ スへの イ ンプ リ メ ン テーシ ョ ンでは信号の数が多 く な る ため、 ス テー タ ス イ ン タ ーフ ェ イ ス は複雑
にな り がちです。 ただ し ス テー タ ス イ ン タ ーフ ェ イ ス で し か取得で き ないのはハー ト ビー ト イ ベン ト のみです。 そ
れ以外の情報はモニ タ ー イ ン タ ーフ ェ イ ス で も 得 ら れます。
ス テー タ ス イ ン タ ーフ ェ イ ス の信号は、 シ ス テ ム レベル サンプル デザ イ ンに供給 さ れ る ク ロ ッ ク を使用 し て コ ン
ト ロ ー ラ ー内部の順次 ロ ジ ッ ク 処理で生成 さ れます。 こ のため、 パルス幅は常に ク ロ ッ ク サ イ ク ルの整数倍です。
ス テー ト
SEM Controller には 9 つの有効な ス テー ト があ り ます。
•
初期化
•
監視
•
訂正
•
分類
•
アイ ドル
•
挿入
•
検出
•
診断ス キ ャ ン
•
重大エ ラ ー
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
40
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
図 3-5 ~図 3-7 に、 各 IP モー ド におけ る 有効な ス テー ト と ス テー ト 遷移を示 し ます。
X-Ref Target - Figure 3-5
&RUUHFWDEOH
(UURU
$OORWKHU
FRPPDQGV
,QLWLDOL]DWLRQ
VWDWXVBLQLWLDOL]DWLRQ VWDWXVB D
2EVHUYDWLRQ
&RPSOHWH
,QLWLDOL]DWLRQ
(UURUGHWHFWHG
VWDWXVBREVHUYDWLRQ VWDWXVB D
,GOH
FRPPDQG
2EVHUYDWLRQ
FRPPDQG
6RIWZDUHUHVHW
7UXH
(UURU,QMHFWLRQE
&RUUHFWLRQ
,GOHG
7UXH
DIWHUDVLQJOH
GHYLFHVZHHS
FRPSOHWHV
,GOH
&RPPDQG
RU(UURU
'HWHFWHG
'HWHFW2QO\
6HW)&IODJEHIRUHH[LWLQJ
$OORWKHU
FRPPDQGV
(UURULQMHFWLRQ
FRPPDQGF
VWDWXVBGHWHFWBRQO\ VWDWXVB D
6HW)&IODJ EHIRUHH[LWLQJ
ZKHQHUURULVGHWHFWHG
VWDWXVBFODVVLILFDWLRQ VWDWXVB D
8QFRUUHFWDEOH
(UURU
VWDWXVB D
VWDWXVBLQMHFWLRQ VWDWXVB D
&ODVVLILFDWLRQ
&ODVVLI\
HUURU
VWDWXVBFRUUHFWLRQ VWDWXVB D
6HW)&IODJEHIRUHH[LWLQJ
'HWHFWRQO\
&RPPDQG
'LDJQRVWLF6FDQ
&RPPDQG
'LDJQRVWLF6FDQ
VWDWXVBGLDJQRVWLFBVFDQ VWDWXVB D
1RWHV
DVWDWXVBFRQVLVWVRIVWDWXVBREVHUYDWLRQLQLWLDOL]DWLRQFRUUHFWLRQLQMHFWLRQFODVVLILFDWLRQGHWHFWRQO\DQGGLDJQRVWLFBVFDQ
RPLWWLQJWKHVLJQDOWKDWKDVDOUHDG\EHHQGHILQHGLQWKHVWDWH
E2QO\DYDLODEOHLQ0LWLJDWLRQDQGWHVWLQJPRGH
F2QO\6&IODJLVXSGDWHGEHIRUH,3H[LWVDVWDWH
G7KLVLVDWUXH,GOHVWDWHZKHUHWKH,3LVQRWVFDQQLQJDQ\FRQILJXUDWLRQIUDPHV
7UDQVLWLRQWR)DWDO(UURUVWDWHLVQRWVKRZQ
図 3-5 : 軽減 (+ テ ス ト ) モー ド の場合の有効なス テー ト 遷移図
X-Ref Target - Figure 3-6
Software
reset
,QLWLDOL]DWLRQ
VWDWXVBLQLWLDOL]DWLRQ ,GOHF
True
(UURU,QMHFWLRQE
VWDWXVB D
Inject
error
VWDWXVBLQMHFWLRQ VWDWXVB D
Complete
initialization
Detect-only
Command
'HWHFW2QO\
VWDWXVBGHWHFWBRQO\ VWDWXVB D
6HW)&IODJ EHIRUHH[LWLQJ
ZKHQHUURULVGHWHFWHG
VWDWXVB D
Diagnostic
Scan
Command
Idle
Command
or Error
Detected
True
(after a single
device sweep
completes)
'LDJQRVWLF6FDQ
VWDWXVBGLDJQRVWLFBVFDQ VWDWXVB D
Notes:
a
status_* consist of status_observation, initialization, correction, injection, classification, detect_only,
and diagnostic_scan (omitting the signal that has already been defined in the state).
b
Only available in Detect and Testing mode.
c
This is a true Idle state where the IP is not scanning any configuration frames.
SC flag is updated before IP exits a state
;
図 3-6 : 検出 (+ テ ス ト ) モー ド の場合の有効なス テー ト 遷移図
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
41
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-7
All other
commands
Software
reset
,QLWLDOL]DWLRQ
VWDWXVBLQLWLDOL]DWLRQ ,GOHF
VWDWXVB
Complete
initialization
VWDWXVB D
D
VWDWXVBGHWHFWBRQO\ VWDWXVB D
6HW)&IODJ EHIRUHH[LWLQJ
ZKHQHUURULVGHWHFWHG
(UURU,QMHFWLRQE
Inject
error
VWDWXVBLQMHFWLRQ VWDWXVB D
Diagnostic
Scan
Command
Detect-only
Command
'HWHFW2QO\
True
Idle
Command
or Error
Detected
True
(after a single
device sweep
completes)
'LDJQRVWLF6FDQ
VWDWXVBGLDJQRVWLFBVFDQ VWDWXVB D
Notes:
a
status_* consist of status_observation, initialization, correction, injection, classification, detect_only,
and diagnostic_scan (omitting the signal that has already been defined in the state).
b
Only available in Emulation mode.
c
This is a true Idle state where the IP is not scanning any configuration frames.
SC flag is updated before IP exits a state
図 3-7 : その他のモー ド の場合の有効なス テー ト 遷移図
こ れ ら ス テー ト の遷移に関 し ては、 モニ タ ー イ ン タ ーフ ェ イ ス か ら の レ ポー ト の方が詳細な情報が得 ら れます。 詳
細は、 「モニ タ ー イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
以降のセ ク シ ョ ンでは、 各ス テー ト の詳細について説明 し ます。
初期化
コ ン ト ロ ー ラ ーは FPGA のグ ロ ーバル セ ッ ト / リ セ ッ ト 信号に よ っ て非ア ク テ ィ ブな状態に置かれます。 コ ン フ ィ
ギ ュ レーシ ョ ンが完了す る と 、 FPGA コ ン フ ィ ギ ュ レーシ ョ ン シ ス テ ムがグ ロ ーバル セ ッ ト / リ セ ッ ト 信号をデ ィ ア
サー ト し 、 コ ン ト ロ ー ラ ーがブー ト し ます。 ブー ト プ ロ セ ス の間、 コ ン ト ロ ー ラ ーは ス テー タ ス イ ン タ ーフ ェ イ ス
の 7 つの ス テー ト ビ ッ ト をすべてデ ィ アサー ト し た ま ま維持 し ます。
コ ン ト ロ ー ラ ーはブー ト 中に cap_gnt お よ び cap_rel 入力を ポー リ ン グ し 、 初期化ス テー ト へ移行 し て ICAP の
使用を開始で き る か ど う か を確認 し ます。 外部 ICAP アービ タ で こ れ ら 信号を駆動 し ない場合は、 cap_gnt 信号を
High、 cap_rel を Low に接続 し ます。
初期化ス テー ト の間、 status_initialization は High にアサー ト さ れます。 初期化ス テー ト では、 モニ タ ー イ
ン タ ーフ ェ イ ス か ら 出力 さ れ る 初期化レ ポー ト な ど直接観測可能な イ ベン ト 以外に、 内部のハ ウ ス キーピ ン グ処理
も い く つか実行 さ れます。 具体的には、 次の処理が実行 さ れます。
•
1 回目の リ ー ド バ ッ ク サ イ ク ルで フ レーム レベルの ECC チ ェ ッ ク サム を計算
•
2 回目の リ ー ド バ ッ ク サ イ ク ルでデバ イ ス レベルの CRC チ ェ ッ ク サム を計算
初期化が完了す る と 、 コ ン ト ロ ー ラ ーは IP のモー ド に応 じ て監視、 検出、 ア イ ド ルのいずれかの ス テー ト へ移行し
ます。
監視 (軽減 (+ テ ス ト ) モー ド のみ)
コ ン ト ロ ー ラ ーはほ と ん どすべての時間を監視ス テー ト で費や し ます。 監視ス テー ト の間、
status_observation は High にアサー ト さ れ、 コ ン ト ロ ー ラ ーは FPGA コ ン フ ィ ギ ュ レーシ ョ ン シ ス テ ム を監視
し てエ ラ ーの発生を検出 し ます。 ま た、 ECC ベース の ROM エ ラ ー と 補助 イ ン タ ーフ ェ イ ス か ら のエ ラ ー も 監視 し
ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
42
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
エ ラ ーが存在 し てお ら ず、 コ マ ン ド イ ン タ ーフ ェ イ ス ま たはモニ タ ー イ ン タ ーフ ェ イ ス か ら コ マ ン ド を受信す る
と 、 コ ン ト ロ ー ラ ーはその コ マ ン ド を処理 し ます。 監視ス テー ト でサポー ト さ れ る コ マ ン ド は Enter Idle と Status
Report の 2 つのみです。 コ ン ト ロ ー ラ ーはそれ以外の コ マ ン ド をすべて無視 し ます。
•
Enter Idle – こ の コ マ ン ド は コ マ ン ド イ ン タ ーフ ェ イ ス と モニ タ ー イ ン タ ーフ ェ イ ス の ど ち ら か ら で も 入力で き
ます。 こ れは、 コ ン ト ロ ー ラ ーを ア イ ド ル状態に し てほかの コ マ ン ド を実行で き る よ う にする ための も のです。
こ の コ マ ン ド を実行す る と コ ン ト ロ ー ラ ーはア イ ド ル ス テー ト へ移行 し ます。
•
Status Report – こ の コ マ ン ド は診断情報を取得す る ための コ マ ン ド で、 コ ン ト ロ ー ラ ーに対す る 「ping」 の よ う
な働 き を し ます。 こ の コ マ ン ド はモニ タ ー イ ン タ ーフ ェ イ ス か ら のみ入力で き ます。
エ ラ ーが検出 さ れた場合、 コ ン ト ロ ー ラ ーは訂正を試み る ために必要な情報を コ ン フ ィ ギ ュ レーシ ョ ン ロ ジ ッ ク か
ら 読み出 し ます。 情報の収集が完了す る と 、 コ ン ト ロ ー ラ ーは訂正ス テー ト へ移行 し ます。
訂正 (軽減 (+ テ ス ト ) モー ド のみ)
訂正ス テー ト では、 コ ン ト ロ ー ラ ーはエ ラ ーの訂正を試みます。 訂正に失敗 し た場合 も 、 コ ン ト ロ ー ラ ーは必ず訂
正ス テー ト を通過 し ます。 訂正ス テー ト の間、 status_correction は High にアサー ト さ れます。
エ ラ ーが CRC のみのエ ラ ーの場合、 コ ン ト ロ ー ラ ーは status_uncorrectable を セ ッ ト し てモニ タ ー イ ン タ ー
フ ェ イ ス に レ ポー ト を出力 し ます。 その後、 コ ン ト ロ ー ラ ーは分類ス テー ト へ移行 し ます。 エ ラ ーが CRC のみのエ
ラ ーでない場合、 コ ン ト ロ ー ラ ーはアルゴ リ ズ ム を用いてエ ラ ーの訂正を試みます。
エ ラ ーが訂正可能な場合、 コ ン ト ロ ー ラ ーはア ク テ ィ ブ パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン を実行 し て フ レーム
を訂正後の内容で書 き 換え、 status_uncorrectable を ク リ ア し ます。 エ ラ ーが訂正不能な場合、 コ ン ト ロ ー
ラ ーは status_uncorrectable を セ ッ ト し ます。 ど ち ら の場合 も 、 コ ン ト ロ ー ラ ーはモニ タ ー イ ン タ ーフ ェ イ ス
に訂正レ ポー ト を出力 し た後、 分類ス テー ト へ移行 し ます。
ヒ ン ト : status_uncorrectable は status_correction の立ち下が り エ ッ ジでサンプル し て く だ さ い。
分類 (軽減 (+ テ ス ト ) モー ド のみ)
分類ス テー ト では、 コ ン ト ロ ー ラ ーはエ ラ ーを分類 し ます。 エ ラ ー分類機能を有効に し ていない場合 も 、 コ ン ト
ロ ー ラ ーは必ず分類ス テー ト を通過 し ます。 分類ス テー ト の間、 status_classification は High にアサー ト さ
れます。
訂正ス テー ト 中に訂正不能 と 報告 さ れたエ ラ ーはすべてエ ッ セ ン シ ャ ル と し て報告 さ れます。 エ ラ ーを訂正で き な
いのは、 エ ラ ーの場所を特定で き ないのが唯一の理由です。 こ の場合、 コ ン ト ロ ー ラ ーはエ ラ ーがエ ッ セ ン シ ャ ル
か ど う か をル ッ ク ア ッ プ テーブルで判定で き ません。 コ ン ト ロ ー ラ ーは status_essential を アサー ト し 、 モニ
タ ー イ ン タ ーフ ェ イ ス に分類レ ポー ト を出力 し た後、 ア イ ド ル ス テー ト へ移行 し ます。 訂正不能エ ラ ーが 1 つ検出
さ れ る と 、 コ ン ト ロ ー ラ ーはその時点でエ ラ ーの検出を中止 し ます。 こ の場合、 FPGA の リ コ ン フ ィ ギ ュ レーシ ョ ン
が必要です。
ヒ ン ト : status_essential は status_classification の立ち下が り エ ッ ジでサンプル し て く だ さ い。
訂正ス テー ト で訂正可能 と 報告 さ れたエ ラ ーの取 り 扱いは、 コ ン ト ロ ー ラ ーのオプシ ョ ン設定に よ り 異な り ます。
エ ラ ー分類が無効な場合、 訂正可能エ ラ ーはすべて無条件でエ ッ セ ン シ ャ ル と し て報告 さ れます。 エ ラ ー分類が有
効な場合、 コ ン ト ロ ー ラ ーはエ ッ セ ン シ ャ ル ビ ッ ト デー タ の要求を フ ェ ッ チ イ ン タ ーフ ェ イ ス に出力 し ます。
シ ス テ ム レベル サンプル デザ イ ンでは、 SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク に よ っ て こ の要求が外部 メ モ
リ の読み出 し に変換 さ れます。 読み出 し たデー タ は、 SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク を経由 し て コ ン ト
ロ ー ラ ーに返 さ れます。 こ のデー タ に基づ き 、 コ ン ト ロ ー ラ ーはエ ラ ーがエ ッ セ ン シ ャ ルか ど う かを判定 し ます。
判定が完了す る と コ ン ト ロ ー ラ ーは分類レ ポー ト を モニ タ ー イ ン タ ーフ ェ イ ス に出力 し 、 status_essential を
適宜変更 し た後、 監視ス テー ト へ移行 し てエ ラ ーの監視を続け ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
43
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
アイ ドル
ア イ ド ル ス テー ト へ移行す る と 、 コ ン ト ロ ー ラ ーは内蔵の コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ ス キ ャ ンお よ びチ ェ ッ ク
機能を無効に し ます。 し たがっ て、 コ ン ト ロ ー ラ ーは SEU イ ベン ト を検出 も 訂正 も し ません。 こ の ス テー ト はテ ス
ト お よ びデバ ッ グの目的で使用 し ます。 ア イ ド ル ス テー ト では、 ス テー タ ス イ ン タ ーフ ェ イ ス の 7 つの ス テー ト
ビ ッ ト がすべてデ ィ アサー ト さ れます。 ア イ ド ル ス テー ト で利用可能な コ マ ン ド と 、 その コ マ ン ド を入力可能な イ
ン タ ーフ ェ イ ス を次の表に ま と め ます。
表 3-1 : ア イ ド ル ス テー ト で利用可能な コ マ ン ド
コマン ド
説明
入力可能な イ ン タ ー フ ェ イ ス
監視ス テー ト への移行
コ ン ト ロ ー ラ ーを監視ス テー ト に戻 し てエ ラ ーの
検出を再開す る 場合に使用 し ます。 こ の コ マ ン ド
は IP が軽減 (+ テ ス ト ) モー ド に コ ン フ ィ ギ ュ レー
シ ョ ン さ れてい る 場合のみ有効です。 IP がその他
のモー ド の場合、 こ の コ マ ン ド は無視 さ れます。
コ マ ン ド お よ びモニ タ ー イ ン タ ー
フェイス
検出ス テー ト への移行
コ ン ト ロ ー ラ ーは コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ
を継続的に ス キ ャ ン し てエ ラ ーを検出 し ます。 エ
ラ ーが検出 さ れ る と モニ タ ー イ ン タ ーフ ェ イ ス か
ら レ ポー ト が出力 さ れ、 コ ン ト ロ ー ラ ーはア イ ド
ル ス テー ト へ移行 し ます。
コ マ ン ド お よ びモニ タ ー イ ン タ ー
フェイス
診断ス キ ャ ン ス テー ト への移行
こ デバ イ ス の コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ 全体
に対 し て ス キ ャ ン を 1 回実行 し 、 検出 し たすべて
の フ レーム レベル ECC エ ラ ーの レ ポー ト を モニ
タ ー イ ン タ ーフ ェ イ ス か ら 出力す る よ う コ ン ト
ロ ー ラ ーに指示 し ます。 1 回の コ ン フ ィ ギ ュ レー
シ ョ ン メ モ リ ス キ ャ ンが完了する と 、 コ ン ト
ロ ー ラ ーは自動的にア イ ド ル ス テー ト へ移行 し ま
す。
コ マ ン ド お よ びモニ タ ー イ ン タ ー
フェイス
エ ラ ー挿入
コ ン ト ロ ー ラ ーに対 し てエ ラ ー挿入を実行する よ
う 命令 し ます。 複数ビ ッ ト エ ラ ーは、 1 ビ ッ ト エ
ラ ーの挿入を複数回繰 り 返 し て挿入 し ます。
コ マ ン ド お よ びモニ タ ー イ ン タ ー
フェイス
ソ フ ト ウ ェア リ セッ ト
ソ フ ト ウ ェ ア リ セ ッ ト ( コ ン ト ロ ー ラ ーの再起動
と 再初期化) を実行す る よ う コ ン ト ロ ー ラ ーに指
示 し ます。
コ マ ン ド お よ びモニ タ ー イ ン タ ー
フェイス
完全な ス テー タ ス レ ポー ト
詳細な診断情報を取得する ための コ マ ン ド で、 コ
ン ト ロ ー ラ ーに対する 「ping」 の よ う な働 き を し
ます。 こ の コ マ ン ド はモニ タ ー イ ン タ ーフ ェ イ ス
か ら のみ入力で き ます。
モニ タ ー イ ン タ ーフ ェ イ ス のみ
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ の内容を読み出 し
ます。 コ ン フ ィ ギ ュ レーシ ョ ン ア ド レ ス にエ ラ ー
を挿入す る 前後に Query コ マ ン ド を実行 し てエ
ラ ーが正 し く 挿入 さ れた こ と を確認する こ と を推
奨 し ます。 詳細は、 「コ ン フ ィ ギ ュ レーシ ョ ン メ
モ リ のマ ス ク 」 を参照 し て く だ さ い。
モニ タ ー イ ン タ ーフ ェ イ ス のみ
コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ の内容を読み出
し ます。
モニ タ ー イ ン タ ーフ ェ イ ス のみ
リ ニア フ レーム ア ド レ ス (LFA) と 物理フ レーム
ア ド レ ス (PFA) を双方向に変換 し ます。
モニ タ ー イ ン タ ーフ ェ イ ス のみ
外部 メ モ リ デバ イ ス の内容を読み出 し ます。
モニ タ ー イ ン タ ーフ ェ イ ス のみ
コ ン フ ィ ギ ュ レーシ ョ ン
フ レーム読み出 し
(Query コ マ ン ド )
コ ン フ ィ ギ ュ レーシ ョ ン
レ ジ ス タ 読み出 し
(Peek コ マ ン ド )
フ レーム ア ド レ ス変換
(Translate コ マ ン ド )
外部 メ モ リ 読み出 し
(Xmem コ マ ン ド )
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
44
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
上記 コ マ ン ド の生成方法の詳細は、 「 コ マ ン ド イ ン タ ーフ ェ イ ス」 お よ び 「モニ タ ー イ ン タ ーフ ェ イ ス」 を参照 し
て く だ さ い。
検出スキ ャ ン
検出ス テー ト では、 コ ン ト ロ ー ラ ーはエ ラ ー検出ス キ ャ ン を実行 し ます。 こ の ス テー ト では、 コ ン ト ロ ー ラ ーはデ
バ イ ス の コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ 全体を継続的に監視 し てエ ラ ー (フ レーム レベル ECC お よ びデバ イ ス レベ
ル CRC) を検出 し ます。 ま た、 ECC ベース の ROM エ ラ ー と 補助 イ ン タ ーフ ェ イ ス か ら のエ ラ ー も 監視 し ます。 エ
ラ ーが検出 さ れ る と 、 コ ン ト ロ ー ラ ーはモニ タ ー イ ン タ ーフ ェ イ ス経由でエ ラ ーを報告 し ます。 その後、 コ ン ト
ロ ー ラ ーはア イ ド ル ス テー ト へ移行 し ます。
検出ス テー ト でサポー ト さ れ る コ マ ン ド は Enter Idle のみです。 コ ン ト ロ ー ラ ーはそれ以外の コ マ ン ド をすべて無視
し ます。
•
Enter Idle – こ の コ マ ン ド は コ マ ン ド イ ン タ ーフ ェ イ ス と モニ タ ー イ ン タ ーフ ェ イ ス の ど ち ら か ら で も 入力で き
ます。 こ れは、 コ ン ト ロ ー ラ ーを ア イ ド ル状態に し てほかの コ マ ン ド を実行で き る よ う にする ための も のです。
こ の コ マ ン ド を実行す る と コ ン ト ロ ー ラ ーはア イ ド ル ス テー ト へ移行 し ます。
コ ン ト ロ ー ラ ーが検出ス テー ト へ移行す る のは次の 2 つの場合のみです。
•
ケース 1 – 検出 (+ テ ス ト ) モー ド に コ ン フ ィ ギ ュ レーシ ョ ン し た コ ン ト ロ ー ラ ーが初期化を完了 し た場合。
•
ケース 2 – ア イ ド ル ス テー ト の コ ン ト ロ ー ラ ーに対 し て検出ス テー ト への移行 コ マ ン ド を実行 し た場合。 こ の
コ マ ン ド は、 コ マ ン ド ま たはモニ タ ー イ ン タ ーフ ェ イ ス か ら 入力で き ます。
診断スキ ャ ン
こ の ス テー ト では、 コ ン ト ロ ー ラ ーは診断ス キ ャ ン を実行 し ます。 こ の ス テー ト では、 コ ン ト ロ ー ラ ーはデバ イ ス
の コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ 全体に対 し て ス キ ャ ン を 1 回実行 し 、 検出 し たすべてのフ レーム レベル ECC エ
ラ ーの レ ポー ト を モニ タ ー イ ン タ ーフ ェ イ ス か ら 出力 し ます。 1 回の コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ ス キ ャ ンが完
了す る と 、 コ ン ト ロ ー ラ ーはア イ ド ル ス テー ト へ移行 し ます。
診断ス キ ャ ン ス テー ト では、 コ ン ト ロ ー ラ ーは コ マ ン ド を受け付け ません。
コ ン ト ロ ー ラ ーが診断ス キ ャ ン ス テー ト へ移行する のは、 ア イ ド ル ス テー ト で診断ス キ ャ ン ス テー ト への移行コ マ
ン ド を実行 し た場合のみです。 こ の コ マ ン ド は、 コ マ ン ド ま たはモニ タ ー イ ン タ ーフ ェ イ ス か ら 入力で き ます。
挿入 (軽減 + テ ス ト 、 検出 + テ ス ト 、 エ ミ ュ レーシ ョ ン モー ド のみ)
挿入ス テー ト では、 コ ン ト ロ ー ラ ーはエ ラ ーを挿入 し ます。 エ ラ ー挿入が有効な場合、 コ ン ト ロ ー ラ ーはア イ ド ル
ス テー ト で有効なエ ラ ー挿入 コ マ ン ド を受信 し た場合のみ挿入ス テー ト へ移行 し ます。 エ ラ ー挿入が無効な場合、
ま たは有効なエ ラ ー挿入 コ マ ン ド でない場合は、 コ ン ト ロ ー ラ ーは挿入ス テー ト へ移行 し ません。 挿入ス テー ト の
間、 status_injection は High にアサー ト さ れます。
エ ラ ー挿入プ ロ セ ス は、 エ ラ ー挿入 コ マ ン ド で指定 し た コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ ア ド レ ス の 1 ビ ッ ト を シ ン
プルな Read-Modify-Write に よ っ て反転 さ せます。 コ ン ト ロ ー ラ ーは、 挿入ス テー ト の次は必ずア イ ド ル ス テー ト へ
移行 し ます。 エ ラ ー挿入 コ マ ン ド を繰 り 返 し 実行す る と 、 1 回の コ マ ン ド 実行のたびに挿入ス テー ト へ移行 し て複数
ビ ッ ト エ ラ ーを挿入で き ます。
重大エ ラ ー
コ ン ト ロ ー ラ ーは、 内部で何 ら かの不整合性を検出す る と 重大エ ラ ー ス テー ト へ移行 し ます。 確率は非常に低い も
のの、 コ ン ト ロ ー ラ ーに関係す る コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ ま たは コ ン ト ロ ー ラ ーのデザ イ ン ス テー ト エ レ メ
ン ト が ソ フ ト エ ラ ーの影響を受けて コ ン ト ロ ー ラ ーの動作が停止する 可能性があ り ます。 重大エ ラ ー ス テー ト にな
る と 、 ス テー タ ス イ ン タ ーフ ェ イ ス の 7 つの ス テー ト ビ ッ ト がすべてアサー ト さ れ、 場合に よ っ ては重大エ ラ ー レ
ポー ト メ ッ セージ (HLT) も 出力 さ れます。 こ のエ ラ ー条件は回復不能であ る ため、 FPGA を も う 一度 コ ン フ ィ ギ ュ
レーシ ョ ンす る 必要があ り ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
45
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
ス イ ッ チ ング動作
図 3-8 に、 ス テー ト 信号 status_initialization、 status_observation、 status_correction、
status_classification、 status_injection、 status_detect_only、 お よ び
status_diagnostic_scan の全体的な ス イ ッ チン グ動作を示 し ます。 こ の図で、 status_[state] 信号は 7 つ
の ス テー ト 信号を グループ と し て表 し た も ので、 こ れに よ っ て コ ン ト ロ ー ラ ーの ス テー ト が示 さ れます。
X-Ref Target - Figure 3-8
clk
status_[state]
≥
図 3-8 : ス テー タ ス イ ン タ ー フ ェ イ スのス テー ト 信号のス イ ッ チ特性
シ ス テム レベル要件
コ ン ト ロ ー ラ ーが正常に動作 し てい る こ と を確認す る には、 初期化ス テー ト 完了後にモー ド に応 じ て監視/ア イ ド ル/
検出ス テー ト へ移行 し たか ど う か、 重大エ ラ ーが発生 し たか ど う かを ス テー タ ス信号を監視 し て確認する こ と を推
奨 し ます。 コ ン ト ロ ー ラ ーの正常動作 と ス テー ト を シ ス テ ム レベルで監視する 際のその他の推奨事項は、 「シ ス テ
ム」 を参照 し て く だ さ い。
フ ラグ
status_uncorrectable お よ び status_essential 信号は、 検出 し たエ ラ ーが訂正可能か ど う か、 エ ッ セ ン
シ ャ ルか ど う か を示すフ ラ グです。 分類機能が無効な場合、 最初のエ ラ ーが検出お よ び訂正 さ れた後で
status_essential 信号がアサー ト さ れてその ま ま の状態を維持 し ます。 こ れ ら の信号は軽減 (+ テ ス ト ) モー ド の
場合のみ有効で、 コ ン ト ロ ー ラ ーが訂正ス テー ト (status_uncorrectable) ま たは分類ス テー ト (status_essential) へ移行す
る と 更新 さ れます。 検出 (+ テ ス ト ) モー ド では、 こ れ ら の フ ラ グはエ ラ ーが検出 さ れ る と アサー ト さ れます。
ス イ ッ チ ング動作
軽減 (+ テ ス ト ) モー ド では、 フ ラ グ信号 status_uncorrectable お よ び status_essential は ス テー ト 終了時
に更新 さ れます。 図 3-9 と 図 3-10 に、 ス テー ト 終了時点を基準 と し た ス イ ッ チン グ動作を示 し ます。 こ れ ら の図は、
コ ン ト ロ ー ラ ーが ス テー ト を終了す る 時点を基準 と し て フ ラ グが有効な期間を示 し てお り 、 こ の期間内に フ ラ グ を
更新で き ます。 こ の波形には特定の フ ラ グ値は示 し てい ません。
X-Ref Target - Figure 3-9
clk
status_correction
status_uncorrectable
≥
≥
≥
図 3-9 : ス テー タ ス イ ン タ ー フ ェ イ スの uncorrectable フ ラ グのス イ ッ チ特性 (軽減 (+ テ ス ト ) モー ド )
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
46
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-10
clk
status_classification
status_essential
≥
≥
≥
図 3-10 : ス テー タ ス イ ン タ ー フ ェ イ スの essential フ ラ グのス イ ッ チ特性 (軽減 (+ テ ス ト ) モー ド )
検出 (+ テ ス ト ) モー ド では、 こ れ ら フ ラ グはど ち ら も エ ラ ーを検出 し て コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト へ移行
す る 直前にアサー ト さ れます。
シ ス テム レベル要件
コ ン ト ロ ー ラ ーに よ っ て訂正不能エ ラ ーが検出 さ れた場合、 ど の よ う に対応す る かを シ ス テ ム レベル デザ イ ンで評
価す る 必要があ り ます。 分類機能が有効な場合、 エ ッ セ ン シ ャ ル エ ラ ーが検出お よ び訂正 さ れた場合の対応 も シ ス
テ ム レベル デザ イ ンで検討す る 必要があ り ます。 シ ス テ ム レベルで ど の よ う な対応を実行する かは、 デザ イ ンの全
体的な SEU 軽減目標に よ っ て異な り ます。 少な く と も 、 こ れ ら の条件が発生 し た こ と を示すシ ス テ ム ロ グ を生成す
る こ と を推奨 し ます。
ヒ ン ト : コ ン ト ロ ー ラ ーは、 訂正ス テー ト で訂正不能エ ラ ーを検出する と コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ の監視に
よ る SEU 検出を停止 し 、 ア イ ド ル ス テー ト へ移行 し ます。
コ ン ト ロ ー ラ ーの正常動作 と ス テー ト を シ ス テ ム レベルで監視する 際のその他の推奨事項は、 「シ ス テ ム」 を参照 し
て く だ さ い。
ハー ト ビー ト
こ の信号は、 コ ン フ ィ ギ ュ レーシ ョ ン リ ー ド バ ッ ク シ ス テ ム ( リ ー ド バ ッ ク CRC) ま たは コ ン ト ロ ー ラ ーが コ ン フ ィ
ギ ュ レーシ ョ ン メ モ リ に対 し て実行す る いずれかの手動ス キ ャ ンの ス テー タ ス を監視する 目的で使用 し ます。 こ の
信号は リ ー ド バ ッ ク プ ロ セ ス か ら 直接出力 さ れ、 監視、 検出、 お よ び診断ス テー ト の間のみ有効です。 監視、 検出、
ま たは診断ス キ ャ ン ス テー ト へ移行後、 リ ー ド バ ッ ク プ ロ セ ス がエ ラ ーの ス キ ャ ン を開始す る と 、 こ のハー ト ビー
ト 信号が有効にな り ます。 監視、 検出、 お よ び診断ス キ ャ ン ス テー ト 中に検出 し た最初のハー ト ビー ト パルス を使
用 し て、 ハー ト ビー ト の停止を監視す る 回路を起動す る 必要があ り ます。 監視ス テー ト 以外では、 ハー ト ビー ト 信
号の動作は定義 さ れてい ません。
ス イ ッ チ ング動作
図 3-11 に、 ハー ト ビー ト 信号 status_heartbeat の ス イ ッ チン グ動作を示 し ます。
X-Ref Target - Figure 3-11
ื 1FONF\FOHV
1 .IRU8OWUD6FDOHGHYLFHVDQG1 7%'IRU8OWUD6FDOHGHYLFHV
図 3-11 : ス テー タ ス イ ン タ ー フ ェ イ スのハー ト ビー ト のス イ ッ チ特性 (KU040 の場合)
こ のパルス幅は非常に小 さ いため、 エンベデ ッ ド プ ロ セ ッ サの汎用 I/O (GPIO) を利用 し て ソ フ ト ウ ェ ア ポー リ ン グ
で ス テー タ ス イ ン タ ーフ ェ イ ス信号をサンプ リ ン グする 方法は正 し く 動作 し ない こ と が考え ら れます。 こ の方法で
はな く 、 カ ウ ン タ ー / タ イ マー入力、 エ ッ ジ セ ン ス割 り 込み入力、 ま たは イ ベン ト キ ャ プチ ャ 機能を備え た入力な
ど を使用 し て く だ さ い。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
47
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
シ ス テム レベル要件
コ ン ト ロ ー ラ ーが監視、 検出、 ま たは診断ス キ ャ ン ス テー ト の間、 ハー ト ビー ト 信号を監視す る こ と で コ ン ト ロ ー
ラ ーの全体的な正常動作 と 機能を確認で き ます。 デバ イ ス の種類、 シ ス テ ム ク ロ ッ ク 、 ICAP ク ロ ッ ク 、 お よ びコ ン
ト ロ ー ラ ーの現在の ス テー ト に よ っ てハー ト ビー ト 信号の間隔が異な る ため、 ハー ト ビー ト 信号が停止 し て 1 秒が
経過 し てか ら エ ラ ー と 判定す る こ と を推奨 し ます。 コ ン ト ロ ー ラ ーの正常動作 と ス テー ト を シ ス テ ム レベルで監視
す る 際のその他の推奨事項は、 「シ ス テ ム」 を参照 し て く だ さ い。
コマン ド イ ン ターフ ェ イス
コ マ ン ド イ ン タ ーフ ェ イ ス を使用す る と 、 ア イ ド ル、 監視、 検出、 ま たは診断ス キ ャ ン ス テー ト への移行、 エ ラ ー
挿入、 ま たは ソ フ ト ウ ェ ア リ セ ッ ト を簡単に実行で き ます。 コ マ ン ド イ ン タ ーフ ェ イ ス は入力 コ マ ン ド 、 入力ス ト
ロ ーブ、 お よ び出力ビ ジー信号で構成 さ れ、 こ れ ら を使用 し て ビ ジー出力を備え たシ ンプルなパ ラ レル入力ポー ト
を実装 し ます。 こ の イ ン タ ーフ ェ イ ス はオプシ ョ ンです。 使用 し ない場合は、 すべての入力を Low に接続で き ます。
こ の イ ン タ ーフ ェ イ ス は次に示す種類の コ マ ン ド を受け付け ます。
•
ア イ ド ル ス テー ト へ移行す る ための コ マ ン ド (通常の ス キ ャ ン を中断)
•
監視ス テー ト へ移行す る ための コ マ ン ド (通常の ス キ ャ ン を再開)
•
検出ス テー ト へ移行す る ための コ マ ン ド (訂正機能な し で通常の ス キ ャ ン を再開)
•
診断ス キ ャ ン ス テー ト へ移行す る ための コ マ ン ド (デバ イ ス のすべての コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ に対 し
て診断ス キ ャ ン を実行 し 、 すべての フ レーム レベル ECC エ ラ ーを報告)
•
ソ フ ト ウ ェ ア リ セ ッ ト (再起動 と 初期化) を実行する ための コ マ ン ド
•
フ レーム ア ド レ ス を指定 し てエ ラ ーを挿入す る ための コ マ ン ド
こ れ ら コ マ ン ド の一部は コ ン ト ロ ー ラ ーが監視、 ア イ ド ル、 検出ス テー ト でない と 実行で き ないため、 コ マ ン ド を
実行す る 際は ス テー タ ス イ ン タ ーフ ェ イ ス を監視する 必要があ り ます。
コ マ ン ド イ ン タ ーフ ェ イ ス か ら コ マ ン ド を入力する と 、 モニ タ ー イ ン タ ーフ ェ イ ス に も 反映 さ れて レ ポー ト が出力
さ れます。
推奨 : ユーザー と コ ン ト ロ ー ラ ーの通信には、 よ り 高機能なモニ タ ー イ ン タ ーフ ェ イ ス の使用を推奨 し ます。 詳細
は、 「モニ タ ー イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
シ ス テ ム レベル サンプル デザ イ ン を SSI デバ イ ス に イ ンプ リ メ ン ト し た場合、各 SLR に 1 つずつコ ン ト ロ ー ラ ー イ
ン ス タ ン ス があ り 、 すべての コ ン ト ロ ー ラ ー イ ン ス タ ン ス が コ マ ン ド イ ン タ ーフ ェ イ ス か ら の信号を受信 し ます。
多 く の場合、 コ マ ン ド イ ン タ ーフ ェ イ ス か ら の信号は FPGA の I/O ピ ンに接続で き ます。
こ の イ ン タ ーフ ェ イ ス は、 Vivado Design Suite のデバ ッ グ機能に接続す る な ど FPGA 内部か ら 駆動する こ と も 、 別の
デバ イ ス に接続 し て I/O ピ ンか ら 制御す る こ と も で き ます。 後者の場合、 別のデバ イ ス に接続 し て入力 し た コ マ ン ド
を正 し く 取 り 込むには、 コ マ ン ド イ ン タ ーフ ェ イ ス の タ イ ミ ン グ要件を考慮する 必要があ り ます。 詳細は、 「ス イ ッ
チン グ動作」 を参照 し て く だ さ い。
コ マン ド
コ マ ン ド を入力す る には、 command_code バ ス に値を与えた後、 command_strobe 信号をパルス し ます。 コ マ ン
ド を入力す る と command_busy 信号がアサー ト さ れ、 コ マ ン ド がキ ュ ーに格納 さ れ る ま でアサー ト さ れた ま ま と な
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
48
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
り ます。 command_busy 信号がデ ィ アサー ト さ れ る ま で次の コ マ ン ド を入力 し ないで く だ さ い。 表 3-2 に、 コ マ ン
ド の一覧 と その生成方法を示 し ます。 その後で、 各モー ド の詳細を説明 し ます。
表 3-2 : コ マ ン ド の フ ォ ーマ ッ ト と 使用方法
command_code[n – 1:0] のフ ォ ーマ ッ ト
(UltraScale デバイ スの場合 n = 40、 それ以外は n = 44)
コマン ド
UltraScale = 1110 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
ア イ ド ル ス テー ト への移行
それ以外 = 1110 0000 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
x = Don’t Care
コ ン ト ロ ー ラ ーが監視お よ び検出ス テー ト の場合に有効。
UltraScale = 1100 0000 0ssl 1111 1111 1111 1111 wwww wwwb bbbb
それ以外 = 1100 0000 0000 ss11 1111 1111 1111 1111 wwww wwwb bbbb
2 進数の値
ハー ド ウ ェ ア slr 番号 (2 ビ ッ ト )
ss
LFA を使用 し たエ ラ ー挿入
説明
有効な範囲 : 0 ~ 2
11111111111111111
ま たは
111111111111111111
リ ニア フ レーム ア ド レ ス (UltraScale の場合 17 ビ ッ ト 、
それ以外は 18 ビ ッ ト )
有効な範囲 : 0 ~ Max Frame – 2
ワー ド ア ド レ ス (7 ビ ッ ト )
UltraScale の有効な範囲 : 0 ~ 122
それ以外の有効な範囲 : 0 ~ 92
wwwwwww
ビ ッ ト ア ド レ ス (5 ビ ッ ト )
bbbbb
有効な範囲 : 0 ~ 31
コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト の場合に有効。 軽減 + テ ス ト 、 検出 + テ ス ト 、
エ ミ ュ レーシ ョ ン モー ド の場合のみ有効。 エ ラ ー挿入を利用 し てデザ イ ン を テ ス
ト する 方法の詳細は、 付録 E 「エ ラ ー挿入のガ イ ダ ン ス」 を参照 し て く だ さ い。
UltraScale = 1010 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
それ以外 = 1010 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
監視ス テー ト への移行
x = Don’t Care
コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト の場合に有効。 軽減 (+ テ ス ト ) モー ド の場合の
み有効。
UltraScale = 1111 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
検出ス テー ト への移行
それ以外 = 1111 xxxx xxxx xxxx xxxx xxxx xxxx
xxxx xxxx xxxx xxxx
x = Don’t Care
コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト の場合に有効。
UltraScale = 1101 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
診断ス キ ャ ン ス テー ト への移行
それ以外 = 1101 xxxx xxxx xxxx xxxx xxxx xxxx
xxxx xxxx xxxx xxxx
x = Don’t Care
コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト の場合に有効。
UltraScale = 1011 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
ソ フ ト ウ ェア リ セッ ト
それ以外 = 1011 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
x = Don’t Care
コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト の場合に有効。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
49
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
ア イ ド ル ス テー ト への移行
こ の コ マ ン ド は、 コ ン ト ロ ー ラ ーが監視ま たは検出ス テー ト の場合のみ有効です。 こ の コ マ ン ド を実行する 前に
status_observation お よ び status_detect_only 信号を確認 し て く だ さ い。
LFA を使用 し たエ ラ ー挿入
こ の コ マ ン ド は、 コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト の場合のみ有効です。 コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト
(7 つの status_* 信号がすべて Low) でない場合、 ア イ ド ル ス テー ト への移行命令を実行 し て コ ン ト ロ ー ラ ーを ア
イ ド ル ス テー ト に遷移 さ せ る 必要があ り ます。 ア イ ド ル ス テー ト へ移行 し た ら こ の コ マ ン ド を実行で き ます。
エ ラ ー挿入を利用 し てデザ イ ン を テ ス ト す る 方法の詳細は、 付録 E 「エ ラ ー挿入のガ イ ダ ン ス」 を参照 し て く だ さ
い。
ソフ ト ウェア リセッ ト
こ の コ マ ン ド は、 コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト の場合のみ有効です。 コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト
(status_* 信号がデ ィ アサー ト 、 つま り Low) でない場合、 ア イ ド ル ス テー ト への移行命令を実行 し て コ ン ト ロ ー
ラ ーを ア イ ド ル ス テー ト に遷移 さ せ る 必要があ り ます。 ア イ ド ル ス テー ト へ移行 し た ら こ の コ マ ン ド を実行で き ま
す。
監視ス テー ト への移行
こ の コ マ ン ド は、 コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト の場合のみ有効です。 こ の コ マ ン ド を実行す る と コ ン ト ロ ー
ラ ーは監視ス テー ト へ移行 し 、 コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ の ス キ ャ ン と ソ フ ト エ ラ ーの検出、 訂正、 分類を継
続 し ます。
検出ス テー ト への移行
こ の コ マ ン ド は、 コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト の場合のみ有効です。 コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト
(status_* 信号がデ ィ アサー ト 、 つま り Low) でない場合、 ア イ ド ル ス テー ト への移行命令を実行 し て コ ン ト ロ ー
ラ ーを ア イ ド ル ス テー ト に遷移 さ せ る 必要があ り ます。 ア イ ド ル ス テー ト へ移行 し た ら こ の コ マ ン ド を実行で き ま
す。
診断スキ ャ ン ス テー ト への移行
こ の コ マ ン ド は、 コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト の場合のみ有効です。 コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト
(status_* 信号がデ ィ アサー ト 、 つま り Low) でない場合、 ア イ ド ル ス テー ト への移行命令を実行 し て コ ン ト ロ ー
ラ ーを ア イ ド ル ス テー ト に遷移 さ せ る 必要があ り ます。 ア イ ド ル ス テー ト へ移行 し た ら こ の コ マ ン ド を実行で き ま
す。
コ マ ン ド が無効な場合の動作
command_busy は command_strobe を 1 回パルス し て コ マ ン ド を入力す る と アサー ト さ れ、 コ マ ン ド が処理 さ れ
る と デ ィ アサー ト さ れます。 し か し 入力 し た コ マ ン ド が無効な場合、 コ マ ン ド は実行 さ れずス テー タ ス信号 も 変化
し ません。 ま た、 無効な コ マ ン ド がモニ タ ーま たは UART イ ン タ ーフ ェ イ ス にエ コ ー バ ッ ク す る こ と も あ り ません。
ス イ ッ チ ング動作
コ マ ン ド イ ン タ ーフ ェ イ ス の信号は、 入力レ ジ ス タ を有効にす る ス ト ロ ーブ を使用 し て コ ン ト ロ ー ラ ー内部の順次
ロ ジ ッ ク 処理に よ り 受信 し ます。 デー タ を正 し く 取 り 込むには、 図 3-12 に示 し た タ イ ミ ン グ要件に従 う 必要があ り
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
50
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
ます。 command_strobe は icap_clk に同期 し てお り 、 コ マ ン ド を発行す る たびに 1 ク ロ ッ ク サ イ ク ルだけパル
スす る 必要があ り ます。
X-Ref Target - Figure 3-12
図 3-12 : コ マ ン ド イ ン タ ー フ ェ イ スのス イ ッ チ特性
マ ス ク し た フ レーム、 あ る いはデバ イ ス ま たは SEU でカバー さ れないア ド レ ス空間にあ る フ レームにエ ラ ーを挿入
し た場合、 エ ラ ー挿入 コ マ ン ド は無視 さ れ、 監視ス テー ト でエ ラ ーは検出 さ れません。
シ ス テム レ ベル要件
こ の イ ン タ ーフ ェ イ ス にはシ ス テ ム レベル要件はあ り ません。
モニ タ ー イ ン タ ー フ ェ イ ス
モニ タ ー イ ン タ ーフ ェ イ ス は コ ン ト ロ ー ラ ー と の通信手段 と し てのほか、 コ ン ト ロ ー ラ ーの動作 と 現在の ス テー ト
についての詳細な情報を得 る 手段 と し て も 使用で き ます。 こ の イ ン タ ーフ ェ イ ス は、 コ マ ン ド イ ン タ ーフ ェ イ ス と
ス テー タ ス イ ン タ ーフ ェ イ ス を合わせた よ り も 多 く の コ マ ン ド と 情報をサポー ト し てい ます。
コ ン ト ロ ー ラ ーがモニ タ ー イ ン タ ーフ ェ イ ス か ら 読み出すコ マ ン ド 、 お よ びモニ タ ー イ ン タ ーフ ェ イ ス に書 き 込む
ス テー タ ス情報はいずれ も ASCII 文字列です。 ポー ト の一覧お よ び こ の イ ン タ ーフ ェ イ ス の定義については、
表 2-19 を参照 し て く だ さ い。
コ ン ト ロ ー ラ ーの ス テー ト 、 ス テー ト 遷移、 お よ び コ ン ト ロ ー ラ ーに よ っ て検出 さ れたエ ラ ーは ス テー タ ス イ ン
タ ーフ ェ イ ス で も 監視で き ますが、 モニ タ ー イ ン タ ーフ ェ イ ス の方が コ ン ト ロ ー ラ ーの ス テー ト お よ び コ ン ト ロ ー
ラ ーが検出 し たエ ラ ーについて よ り 詳細な情報 ( コ ン フ ィ ギ ュ レーシ ョ ン フ レームのア ド レ ス な ど) が得 ら れます。
コ ン ト ロ ー ラ ーの ス テー ト お よ びス テー ト 遷移の詳細は、 「ス テー タ ス イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
検出お よ び診断ス キ ャ ン な ど の検出機能の場合、 コ ン ト ロ ー ラ ーが検出 し たエ ラ ーに関する 情報はモニ タ ー イ ン
タ ーフ ェ イ ス か ら のみ出力 さ れます。 し たがっ て、 こ れ ら の機能を使用す る 場合は こ の イ ン タ ーフ ェ イ ス か ら エ
ラ ー情報を取得す る 必要があ り ます。
特定ス テー ト への移行お よ びエ ラ ー挿入 コ マ ン ド に加え、 こ の イ ン タ ーフ ェ イ ス か ら は コ ン フ ィ ギ ュ レーシ ョ ン レ
ジ ス タ お よ びフ レームの内容の読み出 し 、 物理 コ ン フ ィ ギ ュ レーシ ョ ン フ レーム ア ド レ ス (PFA) と リ ニ ア フ レーム
ア ド レ ス (LFA) の双方向変換、 お よ びデザ イ ンに接続 し た外部 メ モ リ の読み出 し コ マ ン ド も 実行で き ます。 コ マ ン
ド イ ン タ ーフ ェ イ ス と モニ タ ー イ ン タ ーフ ェ イ ス で利用で き る コ マ ン ド の比較は、 表 3-1 を参照 し て く だ さ い。
こ の イ ン タ ーフ ェ イ ス には、 主に 3 つの利用方法があ り ます。
•
モニ タ ーお よ びデバ ッ グ
•
コ ン ト ロ ー ラ ー と ユーザー デザ イ ンのテ ス ト
•
対話型の ソ フ ト エ ラ ー軽減
次に、 それぞれの利用方法について説明 し ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
51
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
モ ニ タ ーお よびデバ ッ グ
推奨 : こ の イ ン タ ーフ ェ イ ス は、 コ ン ト ロ ー ラ ーの ス テー ト と 動作について最 も 多 く の情報を提供 し ます。 少な く と
も こ の イ ン タ ーフ ェ イ ス を FIFO に接続 し て イ ン タ ーフ ェ イ ス の出力を格納す る こ と を推奨 し ます。 得 ら れた情報
は、 デザ イ ン内部の動作を理解す る 上で欠かせないほか、 ザ イ リ ン ク ス のテ ク ニ カル サポー ト でケース を開 く 際に
も 必要にな る こ と があ り ます。
FIFO のサ イ ズは用途に よ り 異な り ます。 FIFO デー タ をデバ ッ グに使用す る だけで周期的にデー タ を取得す る のでな
ければ、 少な く と も 1 回分の初期化レ ポー ト と 2 回分のエ ラ ー レ ポー ト を完全に格納で き る FIFO サ イ ズ を推奨 し ま
す。 こ の場合、 必要な最小 FIFO サ イ ズは 512 × 8 です。
診断ス キ ャ ン機能を使用す る 場合、 モニ タ ー イ ン タ ーフ ェ イ ス は コ ン ト ロ ー ラ ーに対 し て診断ス キ ャ ンの実行命令
を送信す る 手段 と し てだけでな く 、 検出 さ れたエ ラ ーの タ イ プ と 位置を記録す る 手段 と し て も 使用で き ます。 こ の
場合、 FIFO サ イ ズは N 回のエ ラ ー レ ポー ト (N は軽減措置を実行する ま でに取得する エ ラ ー レ ポー ト の数) を追加
で格納で き る 大 き さ と す る 必要があ り ます。
こ の情報を周期的に受信す る 場合、 FIFO サ イ ズはデー タ 取得頻度に よ っ て決定 し ます。
こ の使用方法では、 イ ン タ ーフ ェ イ ス の入力を次の よ う に接続 し て コ ン ト ロ ー ラ ーへの書き 込みコ マ ン ド を無効に
し て く だ さ い。
monitor_txfull = 0 or to the FIFO full flag
monitor_rxdata = to all 0s
monitor_rxempty = 1
モニ タ ーお よ びデバ ッ グ用にモニ タ ー TX イ ン タ ーフ ェ イ ス を FIFO に接続する 方法は、 図 3-13 のブ ロ ッ ク 図の例を
参照 し て く だ さ い。
X-Ref Target - Figure 3-13
(5525
PRQLWRUBW[GDWD>@
PRQLWRUBW[ZULWH
PRQLWRUBW[IXOO
',1
'287
'$7$>@
:5(1
5'(1
5'(1
)8//
PRQLWRUBU[GDWD>@
ಯರ
1RWFRQQHFWHG
PRQLWRUBU[UHDG
PRQLWRUBU[HPSW\
),)2(
ಫ
(037<
:5&/.
6(0&RQWUROOHU
,17(55837
5'&/.
図 3-13 : モニ タ ー TX イ ン タ ー フ ェ イ ス と FIFO の接続
モニ タ ー ポー ト か ら は、 検出 し たエ ラ ーのア ド レ スや タ イ ム ス タ ンプな ど の詳細情報が得 ら れます。 こ れ ら の詳細
なエ ラ ー情報は、 シ ス テ ムで発生 し た SEU イ ベン ト の ロ グ を記録す る 際に役立ち ます。
コ ン ト ロー ラ ー と ユーザー デザイ ンのテ ス ト
こ の イ ン タ ーフ ェ イ ス をプ ロ セ ッ サ ブ ロ ッ ク に簡単に統合で き る よ う に、 シ ス テ ム レベル サンプル デザ イ ンのモ
ニ タ ー イ ン タ ーフ ェ イ ス には UART ヘルパー ブ ロ ッ ク が接続 さ れてい ます。 UART イ ン タ ーフ ェ イ ス は、 コ ン ト
ロ ー ラ ーか ら の ス テー タ ス情報の受信、 コ ン ト ロ ー ラ ーへのエ ラ ー挿入 コ マ ン ド の送信、 お よ び挿入 し たエ ラ ーが
コ ン ト ロ ー ラ ーに よ っ て検出お よ び訂正 さ れた こ と の確認に使用で き ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
52
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
対話型の ソ フ ト エ ラ ー軽減
モニ タ ー、 テ ス ト 以外に、 モニ タ ー イ ン タ ーフ ェ イ ス はデザ イ ン要件に合わせて カ ス タ マ イ ズ し た対話型の ソ フ ト
エ ラ ー軽減 ソ リ ュ ーシ ョ ンの実装に も 使用で き ます。 モニ タ ー イ ン タ ーフ ェ イ ス を使用する と 、 コ ン ト ロ ー ラ ーが
検出 し たすべての ソ フ ト エ ラ ーについての ロ グ を取得で き ます。 こ の情報を使用する こ と で、 IP に内蔵の機能 (す
なわち軽減 (+ テ ス ト ) モー ド での訂正機能) を利用す る のではな く 、 発生 し たエ ラ ーの タ イ プに応 じ た措置が可能で
す。
た と えば ソ フ ト エ ラ ー軽減ス ト ラ テジ と し てエ ラ ーの ロ グのみを記録 し 、 約 10 回のエ ラ ーが累積 し た時点でデバ イ
ス の リ コ ン フ ィ ギ ュ レーシ ョ ン を実行す る 場合を考え ます。
こ れを実装す る には、 た と えば SEM Controller を エ ミ ュ レーシ ョ ン モー ド に コ ン フ ィ ギ ュ レーシ ョ ン し 、 モニ タ ー
イ ン タ ーフ ェ イ ス経由で異な る タ イ プのエ ラ ー検出 コ マ ン ド (検出ス キ ャ ン ま たは診断ス キ ャ ン) を発行 し ます。 初
期化が完了 し た ら ただちに コ ン ト ロ ー ラ ーに コ マ ン ド を発行 し て検出ス キ ャ ン を実行 し ます。 エ ラ ーを検出 し て レ
ポー ト を出力す る と 、 コ ン ト ロ ー ラ ーはア イ ド ル ス テー ト へ移行 し ます。 こ れ と は別に、 1 日に 1 回な ど定期的に
診断ス キ ャ ン を実行 し 、 エ ラ ーの累積数を追跡 し て ロ グに記録 し ます。 エ ラ ーの累計数が 10 に達 し た ら 、 シ ス テ ム
が停止 し てデバ イ ス を リ コ ン フ ィ ギ ュ レーシ ョ ン し 、 再起動 し ます。 ま た、 SEM Controller を検出 (+ テ ス ト ) モー ド
に コ ン フ ィ ギ ュ レーシ ョ ン し 、 最初のエ ラ ーが検出 さ れた時点で コ マ ン ド を発行 し て診断ス キ ャ ン を実行する と い
う 方法で も 同 じ 軽減ス ト ラ テジ を実装で き ます。
注記 : ソ フ ト エ ラ ーを累積 さ せた ま ま で、 デバ イ ス を元の ス テー ト に リ コ ン フ ィ ギ ュ レーシ ョ ン し ない場合、 ザ イ
リ ン ク ス はデバ イ ス の機能を保証 し ません。
次のセ ク シ ョ ンでは、 UART イ ン タ ーフ ェ イ ス の動作について説明 し ます。
UART イ ン タ ー フ ェ イ ス
UART イ ン タ ーフ ェ イ ス は、 モニ タ ー イ ン タ ーフ ェ イ ス で使用す る バ イ ト ス ト リ ーム ASCII コ ー ド のシ リ ア ラ イ ズ
と デシ リ ア ラ イ ズ を実行す る UART ヘルパー ブ ロ ッ ク です。 こ のヘルパー ブ ロ ッ ク は標準シ リ アル通信プ ロ ト コ ル
を使用 し てお り 、 標準 RS-232 ポー ト に接続で き る ほか、 USB-UART ブ リ ッ ジ を介 し て USB に も 接続で き ます。 詳
細は、 第 2 章の 「UART イ ン タ ーフ ェ イ ス」 を参照し て く だ さ い。
次に、 こ の イ ン タ ーフ ェ イ ス で利用可能な メ ッ セージ と コ マ ン ド について説明 し ます。
UART イ ン タ ー フ ェ イ スの メ ッ セージ
こ こ では、 コ ン ト ロ ー ラ ーが UART イ ン タ ーフ ェ イ ス か ら 出力す る メ ッ セージについて説明 し ます。 こ れ ら の メ ッ
セージの一部は、 ス テー タ ス イ ン タ ーフ ェ イ ス か ら 出力 さ れ る レ ポー ト と 同 じ も のです。
初期化レポー ト
コ ン ト ロ ー ラ ーが初期化シーケ ン ス を実行中、 初期化レ ポー ト が生成 さ れます。 こ の レ ポー ト には診断情報が含ま
れ、 コ ン ト ロ ー ラ ーの初回起動時お よ び ソ フ ト ウ ェ ア リ セ ッ ト 時に生成 さ れます。
SEM_ULTRA_V3_1
SC 01
FS {2 digit hex value}
AF {2 digit hex value}
ICAP OK
RDBK OK
INIT OK
SC {00, 02}
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
Name and version
State transition to Initialization state
Core Configuration Information
Additional Core Configuration Information
Status: ICAP Available
Status: Readback Active
Status: Completed Setup
State transition to Idle or Observation state
53
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
コマン ド プロンプ ト
コ ン ト ロ ー ラ ーか ら 出力 さ れ る コ マ ン ド プ ロ ンプ ト 文字は、 コ ン ト ロ ー ラ ーの ス テー ト に応 じ て 3 種類あ り ます。
コ ン ト ロ ー ラ ーが監視ス テー ト (軽減 (+ テ ス ト ) モー ド で初期化完了後のデフ ォ ル ト ス テー ト ) の場合は、 コ マ ン ド
プ ロ ンプ ト O> が出力 さ れます。
コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト (モニ タ ーま たはエ ミ ュ レーシ ョ ン モー ド で初期化完了後のデフ ォ ル ト ス テー
ト ) の場合は、 コ マ ン ド プ ロ ンプ ト I> が出力 さ れます。 コ ン ト ロ ー ラ ーが検出ス テー ト (検出 (+ テ ス ト ) モー ド で初
期化完了後のデフ ォ ル ト ス テー ト ) の場合は、 コ マ ン ド プ ロ ンプ ト D> が出力 さ れます。
ス テー ト 変化レポー ト
コ ン ト ロ ー ラ ー ス テー ト が変化す る と 、 必ずス テー ト 変化レ ポー ト が出力 さ れます。 こ の レ ポー ト は 1 行で、
フ ォーマ ッ ト は次の と お り です。
SC {2-digit hex value}
2 桁の 16 進数値で ス テー タ ス イ ン タ ーフ ェ イ ス の出力を表現 し ます。
表 3-3 : ス テー ト 変化レポー ト のデ コ ー ド
レ ポー ト の文字列
ス テー ト 名
SC 00
アイ ドル
SC 01
初期化
SC 02
監視
SC 04
訂正
SC 20
検出
SC 40
診断ス キ ャ ン
SC 08
分類
SC 10
挿入
SC 1F
重大エ ラ ー
重大エ ラ ー ス テー ト への移行はいつで も 起 こ る 可能性があ り ます。 ま た、 ス テー ト 変更レ ポー ト が明示的に出力 さ
れない場合 も あ り ます。 重大エ ラ ー ス テー ト へ移行 し た際、 コ ン ト ロ ー ラ ーは可能であれば次の重大エ ラ ー メ ッ
セージ を出力 し ます。
HLT
フ ラ グ変化レポー ト
コ ン ト ロ ー ラ ーは、 フ ラ グ を変更す る と 必ずフ ラ グ変化レ ポー ト を出力 し ます。 こ の レ ポー ト は 1 行で、 フ ォー
マ ッ ト は次の と お り です。
FC {2-digit hex value}
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
54
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
2 桁の 16 進数値で ス テー タ ス イ ン タ ーフ ェ イ ス の出力を表現 し ます。
表 3-4 : フ ラ グ変化レポー ト のデ コ ー ド
レ ポー ト の文字列
条件名
FC 00
訂正可能、 非エ ッ セ ン シ ャ ル
FC 20
訂正不能、 非エ ッ セ ン シ ャ ル
FC 40
訂正可能、 エ ッ セ ン シ ャ ル
FC 60
訂正不能、 エ ッ セ ン シ ャ ル
コ ン ト ロ ー ラ ーが診断ス キ ャ ン ス テー ト の場合、 フ ラ グ変化レ ポー ト は出力 さ れません。
エ ラ ー検出レポー ト – 軽減 (+ テ ス ト ) モー ド (訂正機能有効)
エ ラ ー条件を検出す る と 、 コ ン ト ロ ー ラ ーはな る べ く 短時間でエ ラ ーを訂正 し よ う と 試みます。 し たがっ て、 エ
ラ ーが訂正可能であ る と い う 前提に立ち、 最初に訂正を実行 し てか ら レ ポー ト 情報が生成 さ れます。 次の よ う な レ
ポー ト が出力 さ れます。
診断 : CRC エ ラ ーのみ (エ ラ ー ビ ッ ト の位置ま たは数を特定で き ない)。
RI XX
SC 04
CRC
TS {8-digit hex value}
Reserved information
State Transition to Correction state
CRC error detected
Timestamp
診断 : ECC ベース のエ ラ ー – 訂正不能
RI XX
Reserved information
SC 04
State Transition to Correction state
ECC
ECC Error Detected
TS {8-digit hex value} Timestamp
PA {n-digit hex value} PFA of Detected Error. n = 7 for UltraScale and 8 for others.
LA {n-digit hex value} LFA of Detected Error. n = 7 for UltraScale and 8 for others.
診断 : ECC ベース のエ ラ ー – 訂正可能
RI XX
Reserved information
SC 04
State Transition to Correction state
ECC
ECC error detected
TS {8-digit hex value} Timestamp
PA {n-digit hex value} PFA of Detected Error. n = 7 for UltraScale and 8 for others.
LA {n-digit hex value} LFA of Detected Error. n = 7 for UltraScale and 8 for others.
診断 : 補助 イ ン タ ーフ ェ イ ス の入力に よ っ て検出 さ れたエ ラ ー。 補助エ ラ ーの レ ポー ト は コ ン ト ロ ー ラ ーが訂正ス
テー ト 時に出力 さ れます。
RI XX
SC 04
AUX
TS {8-digit hex value}
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
Reserved information
State Transition to Correction state
AUX Error
Timestamp
55
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
診断 : ECC ベース の ROM エ ラ ー – 訂正可能。 前述の と お り 、 コ ン ト ロ ー ラ ーが使用する ブ ロ ッ ク RAM の大半は
ECC で保護 さ れてい ます。 こ の ロ ジ ッ ク に よ っ て訂正可能エ ラ ー (1 ビ ッ ト エ ラ ー ) が検出 さ れ る と 、 次のエ ラ ー
メ ッ セージが出力 さ れます。 こ のエ ラ ーは、 コ ン ト ロ ー ラ ーが訂正ス テー ト の場合に報告 さ れます。
こ のエ ラ ーが検出 さ れて も 、 1 ビ ッ ト ECC エ ラ ーの読み出 し 出力はブ ロ ッ ク RAM に よ っ て自動的に訂正 さ れ る た
め、 ブ ロ ッ ク RAM か ら 実際に読み出 さ れ る デー タ にエ ラ ーは含まれません。 こ の メ モ リ 空間でエ ラ ーが蓄積 さ れ る
のを防 ぐ ため、 訂正後のデー タ が同 じ ア ド レ ス に書 き 戻 さ れます。
RI XX
Reserved information
SC 04
State Transition to Correction state
ROM
TS (8-digit hex value) Timestamp
エ ラ ー検出レポー ト – 検出ス テー ト
コ ン ト ロ ー ラ ーが検出ス テー ト の場合にエ ラ ーを検出す る と 、 レ ポー ト が出力 さ れます。 エ ラ ー検出レ ポー ト の出
力が終わ る と 、 コ ン ト ロ ー ラ ーはア イ ド ル ス テー ト へ移行 し ます。 次の よ う な レ ポー ト が出力 さ れます。
診断 : CRC エ ラ ーのみ (エ ラ ー ビ ッ ト の位置ま たは数を特定で き ない)。
RI XX
Reserved information
CRC
CRC error detected
TS (8-digit hex value) Timestamp
診断 : ECC ベース のエ ラ ー – 訂正不能
RI XX
Reserved Information
ECC
ECC error detected
TS {8-digit hex value} Timestamp
PA {n-digit hex value} PFA of Detected Error. n = 7 for UltraScale and 8 for others.
LA {n-digit hex value} LFA of Detected Error. n = 7 for UltraScale and 8 for others.
診断 : ECC ベース のエ ラ ー – 訂正可能
RI XX
ECC
TS {8-digit
PA {n-digit
LA {n-digit
WD {2-digit
hex
hex
hex
hex
value}
value}
value}
value}
Reserved Information
ECC error detected
Timestamp
PFA of Detected Error. n = 7 for UltraScale and 8 for others.
LFA of Detected Error. n = 7 for UltraScale and 8 for others.
BT {2-digit hex value} Word and Bit of the Detected Error
訂正可能な ECC エ ラ ーの場合、 コ ン ト ロ ー ラ ーはエ ラ ーが検出 さ れたすべての ワ ー ド お よ びビ ッ ト 位置を報告 し ま
す。 こ の レ ポー ト では、 1 フ レーム内で最大 4 つのエ ラ ーが報告 さ れます。
診断 : 補助 イ ン タ ーフ ェ イ ス の入力に よ っ て検出 さ れたエ ラ ー
RI XX
Reserved Information
AUX
AUX error
TS {8-digit hex value} Timestamp
診断 : ECC ベース の ROM エ ラ ー – 訂正可能。 前述の と お り 、 コ ン ト ロ ー ラ ーが使用する ブ ロ ッ ク RAM の大半は
ECC で保護 さ れてい ます。 こ の ロ ジ ッ ク に よ っ て訂正可能エ ラ ー (1 ビ ッ ト エ ラ ー ) が検出 さ れ る と 、 次のエ ラ ー
メ ッ セージが出力 さ れます。
こ のエ ラ ーが検出 さ れて も 、 1 ビ ッ ト ECC エ ラ ーの読み出 し 出力はブ ロ ッ ク RAM に よ っ て自動的に訂正 さ れ る た
め、 ブ ロ ッ ク RAM か ら 実際に読み出 さ れ る デー タ にエ ラ ーは含まれません。 こ の メ モ リ 空間でエ ラ ーが蓄積 さ れ る
のを防 ぐ ため、 訂正後のデー タ が同 じ ア ド レ ス に書 き 戻 さ れます。
RI XX
Reserved information
ROM
TS (8-digit hex value) Timestamp
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
56
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
エ ラ ー検出レポー ト – 診断スキ ャ ン
コ ン ト ロ ー ラ ーが診断ス キ ャ ン ス テー ト の場合、 エ ラ ー条件が検出 さ れ る と すぐ に コ ン ト ロ ー ラ ーはエ ラ ー レ ポー
ト を出力 し ます。 エ ラ ーを検出す る と コ ン ト ロ ー ラ ーは ス キ ャ ン を中断 し 、 モニ タ ー イ ン タ ーフ ェ イ スへエ ラ ー レ
ポー ト を出力 し ます。 現在の フ レームのすべてのエ ラ ーが報告 さ れ る と 、 コ ン ト ロ ー ラ ーは ス キ ャ ン を再開 し ます。
し たがっ て、 こ の機能を実行す る 際はモニ タ ー イ ン タ ーフ ェ イ ス でな る べ く バ ッ ク プ レ ッ シ ャ ーが発生 し ない よ う
に注意が必要です。 次の よ う な レ ポー ト が出力 さ れます。
診断 : ECC ベース のエ ラ ー – 訂正不能 (エ ラ ー ビ ッ ト の位置ま たは数を特定で き ない)
RI XX
Reserved Information
ECC
ECC error detected
TS {8-digit hex value} Timestamp
PA {n-digit hex value} PFA of Detected Error. n = 7 for UltraScale and 8 for others.
LA {n-digit hex value} LFA of Detected Error. n = 7 for UltraScale and 8 for others.
診断 : ECC ベース のエ ラ ー – 訂正可能
RI XX
ECC
TS {8-digit
PA {n-digit
LA {n-digit
WD {2-digit
hex
hex
hex
hex
value}
value}
value}
value}
Reserved Information
ECC error detected
Timestamp
PFA of Detected Error. n = 7 for UltraScale and 8 for others.
LFA of Detected Error. n = 7 for UltraScale and 8 for others.
BT {2-digit hex value} Word and Bit of the Detected Error
訂正可能エ ラ ーの場合、 コ ン ト ロ ー ラ ーは検出 し たすべてのエ ラ ー (1 フ レームにつ き 4 つま で) の ワー ド 位置 と ビ ッ
ト 位置をすべて報告 し ます。
エ ラ ー訂正レポー ト – 軽減 (+ テ ス ト ) モー ド のみ
エ ラ ー訂正プ ロ セ ス は、 コ ン ト ロ ー ラ ーの設定、 検出 さ れたエ ラ ーの タ イ プ、 お よ びエ ラ ーが訂正可能か ど う かに
よ り 異な り ます。
訂正不能エ ラ ーの場合の レ ポー ト の フ ォーマ ッ ト は次の と お り です。
COR
END
こ の後に、 次の行が続 き ます。
FC 20
Bit 5, uncorrectable set (stale essential flag)
ま たは
FC 60
Bit 5, uncorrectable set (stale essential flag)
訂正可能エ ラ ーの場合の レ ポー ト の フ ォーマ ッ ト は次の と お り です。
COR
{correction list}
END
こ の後に、 次の行が続 き ます。
FC 00
Bit 5, uncorrectable cleared (stale essential flag)
ま たは
FC 40
Bit 5, uncorrectable cleared (stale essential flag)
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
57
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
{correction list} には、 1 件の訂正 さ れたエ ラ ーにつき フ レーム内の ワー ド 位置 と ワー ド 内のビ ッ ト 位置が 1 行で表示
さ れます。 こ の記法は、 エ ラ ー検出レ ポー ト の場合 と 同 じ です。 リ ス ト の各行は次の フ ォーマ ッ ト で表示 さ れます。
WD {2-digit hex value} BT {2-digit hex value}
エ ラ ー分類レポー ト – 軽減 (+ テ ス ト ) モー ド のみ
エ ラ ー分類プ ロ セ ス では、 フ レーム内で発生 し たエ ラ ーの中にエ ッ セ ン シ ャ ルな も のがあ る か ど う かをル ッ ク ア ッ
プ テーブルで判定 し ます。 1 つま たは複数のエ ラ ーがエ ッ セ ン シ ャ ル と 判定 さ れた場合、 イ ベン ト 全体がエ ッ セ ン
シ ャ ル と 見な さ れます。
エ ラ ー分類が有効な場合、 訂正可能な非エ ッ セ ン シ ャ ル イ ベン ト の レ ポー ト の フ ォーマ ッ ト は次の と お り です。
SC 08
CLA
END
FC 00
Bit 6, essential is cleared
エ ラ ー分類が有効な場合、 訂正可能なエ ッ セ ン シ ャ ル イ ベン ト の レ ポー ト の フ ォーマ ッ ト は次の と お り です。
SC 08
CLA
{classification list}
END
FC 40
Bit 6, essential is set
{classification list} には、 1 件のエ ッ セ ン シ ャ ル ビ ッ ト につ き フ レーム内の ワー ド 位置 と ワー ド 内の ビ ッ ト 位置が 1 行
で表示 さ れます。 こ の記法は、 エ ラ ー検出レ ポー ト の場合 と 同 じ です。 リ ス ト の各行は次の フ ォーマ ッ ト で表示 さ
れます。
WD {2-digit hex value} BT {2-digit hex value}
エ ラ ー分類を無効に し た場合は、 詳細な分類 リ ス ト は出力 さ れません。 こ の場合、 コ ン ト ロ ー ラ ーはエ ラ ーがエ ッ
セ ン シ ャ ルでない と 判定す る 根拠を持た ないため、 すべてのエ ラ ーを エ ッ セ ン シ ャ ル と 見なす必要があ り ます。 訂
正可能 イ ベン ト の場合の レ ポー ト の フ ォーマ ッ ト は次の と お り です。
SC 08
FC 40
Bit 6, essential is set
エ ラ ーが訂正不能な場合、 コ ン ト ロ ー ラ ーはエ ラ ーがエ ッ セ ン シ ャ ルでない と 判定する 根拠を持たないため、 すべ
ての訂正不能エ ラ ーを エ ッ セ ン シ ャ ル と 見なす必要があ り ます。 訂正不能 イ ベン ト の場合の レ ポー ト の フ ォーマ ッ
ト は次の と お り です。
SC 08
FC 60
Bit 6, essential is set
ス テー タ ス レポー ト
ス テー タ ス レ ポー ト か ら は、 コ ン ト ロ ー ラ ーの ス テー ト に関する よ り 詳細な情報が得 ら れます。 こ の レ ポー ト は、
コ ン ト ロ ー ラ ーが監視ス テー ト ま たはア イ ド ル ス テー ト の と き に S コ マ ン ド を入力す る と 、 複数行の レ ポー ト と し
て出力 さ れます。
ス テー タ ス レ ポー ト の分量は コ ン ト ロ ー ラ ーの現在の ス テー ト に よ り 異な り ます。 コ ン ト ロ ー ラ ーがア イ ド ル ス
テー ト の場合は完全な ス テー タ ス レ ポー ト が出力 さ れます。 監視ス テー ト では短縮版の レ ポー ト が出力 さ れます。
非 SSI デバ イ ス の場合、 ア イ ド ル ス テー ト で出力 さ れ る ス テー タ ス レ ポー ト のフ ォーマ ッ ト は次の と お り です。
SN
SC
FC
RI
MF
{2-digit
{2-digit
{2-digit
{2-digit
{8-digit
hex
hex
hex
hex
hex
value}
value}
value}
value}
value}
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
SLR Number
Current State
Current Flags
Reserved information
Maximum Linear Frame Count
58
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
TS {8-digit hex value} Timestamp
TB {8-digit hex value} Table Base (valid when error classification is enabled;
otherwise X's)
CB {8-digit hex value} Classification Base (valid when error classification is
enabled; otherwise X's)
CL {3-digit hex value} Classification Level
非 SSI デバ イ ス の場合、 監視ス テー ト で出力 さ れ る ス テー タ ス レ ポー ト には MF (最大 リ ニア フ レーム数)、 TS ( タ イ
ム ス タ ンプ)、 TB (テーブル ベース)、 CB (分類ベース)、 CL (分類レベル) の項目はあ り ません。
CL の値は分類機能が有効か ど う かに よ り 異な り ます。 分類機能が有効な場合、 CL = 02 (2 段階分類) です。 分類機能
が無効な場合は CL = 01 (1 段階分類) で、 すべてのエ ラ ーがエ ッ セ ン シ ャ ル と し て分類 さ れます。
SSI デバ イ ス の ス テー タ ス レ ポー ト も 基本的な フ ォーマ ッ ト は非 SSI デバ イ ス と 同 じ ですが、 SLR ご と にサブ レ ポー
ト が出力 さ れます。 サブ レ ポー ト はハー ド ウ ェ ア SRL 番号の順に ソ ー ト さ れます。
た と えば 3 つの SLR で構成 さ れ る デバ イ ス の場合、 ス テー タ ス レ ポー ト の フ ォーマ ッ ト は次の と お り です。
SN 00
SC {2-digit hex
FC {2-digit hex
RI {2-digit hex
MF {8-digit hex
TS {8-digit hex
TB {8-digit hex
X's)
CB {8-digit hex
otherwise X's)
CL {3-digit hex
SN 01
SC {2-digit hex
FC {2-digit hex
RI {2-digit hex
MF {8-digit hex
TS {8-digit hex
TB {8-digit hex
X's)
CB {8-digit hex
otherwise X's)
CL {3-digit hex
SN 02
SC {2-digit hex
FC {2-digit hex
RI {2-digit hex
MF {8-digit hex
TS {8-digit hex
TB {8-digit hex
X's)
CB {8-digit hex
otherwise X's)
CL {3-digit hex
value}
value}
value}
value}
value}
value}
SLR Number
Current State
Current Flags
Reserved information
Maximum Linear Frame Count
Timestamp
Table Base (valid when classification is enabled; otherwise
value} Classification Base (valid when classification is enabled;
value} Classification Level
SLR Number
value} Current State
value} Current Flags
value} Reserved information
value} Maximum Linear Frame Count
value} Timestamp
value} Table Base (valid when classification is enabled; otherwise
value} Classification Base (valid when classification is enabled;
value} Classification Level
SLR Number
value} Current State
value} Current Flags
value} Reserved information
value} Maximum Linear Frame Count
value} Timestamp
value} Table Base (valid when classification is enabled; otherwise
value} Classification Base (valid when classification is enabled;
value} Classification Level
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
59
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
UART イ ン タ ー フ ェ イ スの コ マ ン ド
こ こ では、 モニ タ ー イ ン タ ーフ ェ イ ス か ら ユーザーが コ ン ト ロ ー ラ ーに送信で き る コ マ ン ド について説明 し ます。
こ れ ら の コ マ ン ド の一部は、 コ マ ン ド イ ン タ ーフ ェ イ ス で利用で き る コ マ ン ド と 同 じ です。
表 3-5 : UART コ マ ン ド と 使用方法
コマン ド
UART コ マ ン ド セ ッ ト
「O」 = 監視ス テー ト への移行。 軽減 (+テ ス ト ) モー ド でア イ ド ル ス テー ト の場合のみ有効。
特定ス テー ト への移行
「I」 = ア イ ド ル ス テー ト への移行。 監視お よ び検出ス テー ト の場合に有効。
「D」 = 検出ス テー ト への移行。 ア イ ド ル ス テー ト の場合に有効。
「U」 = 診断ス キ ャ ン ス テー ト への移行。 ア イ ド ル ス テー ト の場合に有効。
ス テー タ ス レ ポー ト
「S」 ア イ ド ルお よ び監視ス テー ト の場合に有効。
「N {n-digit hex value}」
UltraScale の場合 n = 10、 それ以外は n = 11。
UltraScale = 1100 0000 0ss1 1111 1111 1111 1111 wwww wwwb bbbb
それ以外 = 1100 0000 0000 ss11 1111 1111 1111 1111 wwww wwwb bbbb
2 進数の値
説明
ハー ド ウ ェ ア slr 番号 (2 ビ ッ ト )
ss
有効な範囲 : 0 ~ 2
11111111111111111
LFA を使用 し たエ ラ ー挿入
リ ニ ア フ レーム ア ド レ ス (UltraScale の場合 17 ビ ッ ト 、それ以外は 18 ビ ッ ト )
ま たは
111111111111111111
有効な範囲 : 0 ~ Max Frame – 2
wwwwwww
UltraScale の有効な範囲 : 0 ~ 122
ワー ド ア ド レ ス (7 ビ ッ ト )
それ以外の有効な範囲 : 0 ~ 92
ビ ッ ト ア ド レ ス (5 ビ ッ ト )
bbbbb
有効な範囲 : 0 ~ 31
ア イ ド ル ス テー ト の場合に有効。 軽減 + テ ス ト 、 検出 + テ ス ト 、 エ ミ ュ レーシ ョ ン モー ド の場
合のみ有効。 エ ラ ー挿入を利用 し てデザ イ ン を テ ス ト する 方法の詳細は、 付録 E 「エ ラ ー挿入
のガ イ ダ ン ス」 を参照 し て く だ さ い。
コ ン フ ィ ギ ュ レーシ ョ ン
フ レーム読み出 し
(Query コ マ ン ド )
「Q {n-digit hex value}」
「n-digit hex value」 はエ ラ ー挿入コ マ ン ド で使用する PFA ま たは LFA ア ド レ ス フ ォーマ ッ ト 。
UltraScale の場合は n = 10、 それ以外の場合は n = 11。
ア イ ド ル ス テー ト の場合に有効。
「P {2-digit hex value}」
2 進数の値 = 0ssr rrrr
2 進数の値
説明
コ ン フ ィ ギ ュ レーシ ョ ン
レ ジ ス タ 読み出 し
ss
ハー ド ウ ェ ア slr (2 ビ ッ ト )
(Peek コ マ ン ド )
rrrrr
レ ジ ス タ ア ド レ ス (5 ビ ッ ト )
詳細は、 62 ページの 「コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 読み出 し (Peek コ マ ン ド )」 を参照 し
て く だ さ い。
ア イ ド ル ス テー ト の場合に有効。
外部 メ モ リ 読み出 し
(Xmem コ マ ン ド )
「X {8-digit hex value}」
「8-digit hex value」 は、 外部 メ モ リ か ら 1 バ イ ト を読み出すために使用する ア ド レ ス。
エ ラ ー分類が有効でア イ ド ル ス テー ト の場合に有効。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
60
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
表 3-5 : UART コ マ ン ド と 使用方法 (続き)
コマン ド
ソ フ ト ウ ェア リ セッ ト
UART コ マ ン ド セ ッ ト
「R xx」
xx = Don’t care
ア イ ド ル ス テー ト の場合に有効。
フ レーム ア ド レ ス変換
LFA <-> PFA
(Translate コ マ ン ド )
「T {n-digit hex value}」
「n-digit hex value」 はエ ラ ー挿入コ マ ン ド で使用する PFA ま たは LFA ア ド レ ス フ ォーマ ッ ト 。
UltraScale の場合は n = 10、 それ以外の場合は n = 11。
ア イ ド ル ス テー ト の場合に有効。
特定ス テー ト への移行
特定ス テー ト への移行 コ マ ン ド 「O」、 「I」、 「D」、 「U」 を実行する と 、 コ ン ト ロ ー ラ ーの ス テー ト を監視、 ア イ ド
ル、 検出、 診断ス キ ャ ンへ と それぞれ移行 さ せ る こ と がで き ます。
コ ン フ ィ ギ ュ レーシ ョ ン フ レーム読み出 し (Query コ マ ン ド )
こ の コ マ ン ド を実行す る と 、 コ ン フ ィ ギ ュ レーシ ョ ン フ レームの内容が読み出 さ れます。 エ ラ ー挿入 コ マ ン ド で使
用す る の と 同 じ ア ド レ ス値を 16 進数で指定 し ます (表 3-5 参照)。 こ の コ マ ン ド の フ ォーマ ッ ト は次の と お り です。
Q {n-digit hex value}
UltraScale の場合 n = 10、 それ以外は n = 11 です。
UltraScale デバ イ ス で Query コ マ ン ド を実行す る と 、 コ ン ト ロ ー ラ ーは 123 行の 16 進数デー タ (31:0) を返 し ます。
次に示すデー タ はすべて 0 ですが、 必ず し も そ う と は限 り ません。
I> Q C000000000
00000000
00000000
00000000
...
00000000
00000000
00000000
I>
UltraScale+ デバ イ ス で Query コ マ ン ド を実行する と 、 コ ン ト ロ ー ラ ーは 93 行の 16 進数デー タ (31:0) を返 し ます。
次に示すデー タ はすべて 0 ですが、 必ず し も そ う と は限 り ません。
I> Q C0000000000
00000000
00000000
00000000
...
00000000
00000000
00000000
I>
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
61
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
エ ラ ー挿入を実行す る 際に コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ の内容を読み出す と 、 コ ン ト ロ ー ラ ーの動作のデバ ッ グ
に役立ち ます。
推奨 : エ ラ ー挿入を実行す る 際は、 その前後で コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ の内容を読み出す こ と を推奨 し ます。
こ れに よ り 、 エ ラ ー挿入に よ っ て コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ の内容が変化 し たか ど う か、 そ し て挿入 し たエ
ラ ーを コ ン ト ロ ー ラ ーが検出お よ び訂正 し たか ど う かを確認で き ます。
コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ 読み出 し (Peek コ マ ン ド )
こ の コ マ ン ド を実行す る と 、 指定 し た コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ が読み出 さ れ、 その内容が報告 さ れます。
こ の コ マ ン ド の フ ォーマ ッ ト は次の と お り です。
P {2-digit hex value}
表 3-6 に、 コ ン ト ロ ー ラ ーに よ る 読み出 し がサポー ト さ れてい る レ ジ ス タ の一覧を示 し ます。
表 3-6 : Peek コ マ ン ド で読み出 し 可能な コ ン フ ィ ギ ュ レーシ ョ ン レ ジス タ
名前
ア ド レス
CRC
00000
FAR
00001
CMD
00100
CTL0
00101
MASK
00110
STAT
00111
COR0
01001
IDCODE
01100
AXSS
01101
COR1
01110
WBSTAR
10000
TIMER
10001
BOOTSTS
10110
Peek コ マ ン ド を実行す る と 、 コ ン ト ロ ー ラ ーは次の よ う な 16 進数フ ォーマ ッ ト でデー タ を返 し ます。
I> P 00
20BD8EDE
I>
こ の コ マ ン ド お よ び返 さ れ る デー タ の フ ォーマ ッ ト は、 UltraScale デバ イ ス と UltraScale+ デバ イ ス で共通です。
こ の レ ジ ス タ の詳細は、『UltraScale アーキ テ ク チ ャ コ ン フ ィ ギ ュ レーシ ョ ン ユーザー ガ イ ド 』 (UG570) [参照 2] を参
照 し て く だ さ い。
外部 メ モ リ 読み出 し (Xmem コ マ ン ド )
こ の コ マ ン ド を実行す る と 、 外部 メ モ リ の内容が読み出 さ れます。 1 つのア ド レ ス を読み出す と 、 1 バ イ ト のデー タ
が返 さ れます。 こ の コ マ ン ド の フ ォーマ ッ ト は次の と お り です。
X {8-digit hex value}
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
62
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
外部 メ モ リ 読み出 し コ マ ン ド を実行す る と 、 コ ン ト ロ ー ラ ーは次の よ う な 16 進数フ ォーマ ッ ト でデー タ を返 し ます。
I> X 00000000
94
I>
こ の コ マ ン ド お よ び返 さ れ る デー タ の フ ォーマ ッ ト は、 UltraScale デバ イ ス と UltraScale+ デバ イ ス で共通です。
エ ラ ー挿入
「N」 コ マ ン ド を実行す る と 、 LFA を使用 し てエ ラ ー挿入が実行 さ れます。 こ の コ マ ン ド は、 コ ン ト ロ ー ラ ーがア イ
ド ル ス テー ト の場合のみ実行で き ます。 こ の コ マ ン ド の フ ォーマ ッ ト は次の と お り です。
N {n-digit hex value}
UltraScale の場合 n = 10、 それ以外は n = 11 です。
こ の コ マ ン ド は、 コ マ ン ド イ ン タ ーフ ェ イ ス のエ ラ ー挿入コ マ ン ド と 同 じ 働き を し ます。 こ の コ マ ン ド で指定す る
16 進数値は、 表 3-5 で説明 し てい ます。 エ ラ ー挿入を利用 し てデザ イ ン を テ ス ト する 方法の詳細は、 付録 E 「エ
ラ ー挿入のガ イ ダ ン ス」 を参照 し て く だ さ い。 ま た、 付録 D 「評価ボー ド を使用 し た SEM Controller の動作デモ」
の例 も 参照 し て く だ さ い。
ス テー タ ス レポー ト
「S」 コ マ ン ド は コ ン ト ロ ー ラ ーに対 し て ス テー タ ス レ ポー ト の出力を要求 し ます。 ス テー タ ス レ ポー ト のフ ォー
マ ッ ト は、 コ ン ト ロ ー ラ ーか ら 出力 さ れ る ス テー タ ス レ ポー ト について説明 し たセ ク シ ョ ン を参照 し て く だ さ い。
こ の コ マ ン ド は、 コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト ま たは監視ス テー ト の場合のみ実行で き ます。
フ レーム ア ド レ ス変換 (Translate コ マ ン ド )
こ の コ マン ド は LFA ア ド レ ス と PFA ア ド レ ス を双方向に変換する コ マン ド で、 デバ ッ グに役立ち ます。 エ ラ ー挿入コ
マン ド で使用する の と 同じ値を 16 進数で指定し ます (表 3-5 参照)。 こ の コ マン ド のフ ォーマ ッ ト は次の と お り です。
T {n-digit hex value}
UltraScale の場合 n = 10、 それ以外は n = 11 です。
UltraScale デバ イ ス で フ レーム ア ド レ ス変換コ マ ン ド を実行する と 、 コ ン ト ロ ー ラ ーは次の よ う な 16 進数フ ォー
マ ッ ト でデー タ を返 し ます。
I> T C000000000
0000000000
I>
UltraScale+ デバ イ ス で フ レーム ア ド レ ス変換 コ マ ン ド を実行す る と 、 コ ン ト ロ ー ラ ーは次の よ う な 16 進数フ ォー
マ ッ ト でデー タ を返 し ます。
I> T C0000000000
00000000000
I>
ソフ ト ウェア リセッ ト
「R」 コ マ ン ド は ソ フ ト ウ ェ ア リ セ ッ ト を実行 し ます。 こ の コ マ ン ド は、 コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト の場合
のみ実行で き ます。 こ の コ マ ン ド の フ ォーマ ッ ト は次の と お り です。
R {2-digit hex value}
こ の コ マ ン ド の使用方法の詳細は、 表 3-5 を参照 し て く だ さ い。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
63
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
こ の コ マ ン ド が正 し く 実行 さ れ る と 、 コ ン ト ロ ー ラ ーは初期化レ ポー ト を出力 し ます。 詳細は、 こ の レ ポー ト につ
いて説明 し たセ ク シ ョ ン を参照 し て く だ さ い。
コ マ ン ド が無効な場合の動作
無効な コ マ ン ド を発行 し て も 実行 さ れません。 ス テー タ ス信号は変化せず、 コ マ ン ド のエ コ ー バ ッ ク も あ り ません。
不完全な コ マ ン ド (引数が不完全ま たは不正な コ マ ン ド ) はエ コ ー バ ッ ク さ れますが、 コ ン ト ロ ー ラ ーに よ っ て実行
さ れ る こ と はあ り ません。
ス イ ッ チ ング動作
モニ タ ー イ ン タ ーフ ェ イ ス は 2 つの信号で構成 さ れ、 こ れ ら を使用 し て コ マ ン ド お よ びス テー タ ス情報を交換する
ための RS-232 プ ロ ト コ ル互換の全二重シ リ アル ポー ト を実装 し ます。 次の設定を使用 し ます。
•
ボー : 115200
•
設定 : 8-N-1
•
フ ロ ー制御 : な し
•
端末設定 : VT100
°
TX 改行 : CR (改行 コ ー ド と し て CR [0x0D] を端末か ら 送信)
°
RX 改行 : CR+LF (改行 コ ー ド と し て CR [0x0D] を端末が受信 し 、 CR+LF [0x0D, 0x0A] に展開)
°
ロ ーカル エ コ ー : な し
UART イ ン タ ーフ ェ イ ス に接続す る 外部デバ イ ス は、 こ の設定をサポー ト し てい る 必要があ り ます。 図 3-14 に、 送
信お よ び受信の ス イ ッ チン グ動作を示 し ます。
X-Ref Target - Figure 3-14
XDUWBW[
XDUWBU[
VWDUW
G
G
G
G
G
G
G
G
VWRS
図 3-14 : UART イ ン タ ー フ ェ イ スのス イ ッ チ特性
送信お よ び受信 タ イ ミ ン グは、 シ ス テ ム レベル サンプル デザ イ ン内部でカ ウ ン タ ーを使用 し て生成 さ れ る 16x ビ ッ
ト レー ト イ ネーブル信号か ら 求め ます。 こ のカ ウ ン タ ーの動作は 0 か ら カ ウ ン ト を開始 し 、 タ ー ミ ナル カ ウ ン ト
(カ ウ ン タ ーを同期的に リ セ ッ ト す る ために検出お よ び使用す る 条件) ま でカ ウ ン ト し ます。 タ ー ミ ナル カ ウ ン ト 出
力は、 送信お よ び受信プ ロ セ ス に も タ イ ム ベース と し て供給 さ れます。
115,200 ボーは標準ビ ッ ト レー ト であ り 、 さ ま ざ ま な入力 ク ロ ッ ク 周波数か ら 生成で き る ため、 互換性には優れてい
ます。 こ のため、 シ ス テ ム レベル サンプル デザ イ ンで も こ のボー レー ト を使用 し てい ます。
実際には、 デー タ レー ト と レ イ テ ン シの両方を考慮 し て必要な通信パフ ォーマ ン ス が得 ら れ る よ う にボー レー ト を
選択 し て く だ さ い。 通信パフ ォーマ ン ス が低い と コ ン ト ロ ー ラ ーで ス ロ ッ ト リ ン グが発生する 可能性があ り ます。
こ のため、 よ り 高いビ ッ ト レー ト の使用を強 く 推奨 し ます。 9,600、 230,400、 460,800、 921,600 ボーな ど の標準ビ ッ
ト レー ト を含め、 さ ま ざ ま な設定が可能です。
シ ス テ ム レベル サンプル デザ イ ンの UART ヘルパー ブ ロ ッ ク では、 パ ラ メ ー タ ー V_ENABLETIME で通信ビ ッ ト
レー ト を設定 し ます。 V_ENABLETIME の値は次の式で求め ます。
input clock frequency
V_ENABLETIME= round to integer -------------------------------------------------------------- – 1
16 × nominal bitrate
式 3-1
上の式で V_ENABLETIME を求め る と 、 最大で ±0.5 の丸め誤差が発生し ます。 こ の誤差に よ り 、 公称ビ ッ ト レー ト
と は若干異な る ビ ッ ト レー ト が生成 さ れます。 RS-232 デバ イ ス間での許容誤差が 2% と する と 、 デバ イ ス あ た り の
ビ ッ ト レー ト の許容誤差は ±1% ま で と な り ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
64
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
例 : 入力 ク ロ ッ ク が 66MHz、 目的のビ ッ ト レー ト が 115,200 ボー ト の場合
66000000
V_ENABLETIME= round to integer -------------------------------- – 1 =35
16 × 115200
式 3-2
実際に得 ら れ る ビ ッ ト レー ト は約 114583 ボーで、 公称ビ ッ ト レー ト の 115,200 ボーに対する 誤差は -0.54% です。 こ
れは、 誤差が ±1% 以内であ る ため許容範囲内です。
公称ビ ッ ト レー ト に対す る 誤差が ±1% を超え る 場合、 誤差が小 さ く な る よ う にビ ッ ト レー ト と 入力 ク ロ ッ ク 周波数
の組み合わせを変え て く だ さ い。 それ以外の ス イ ッ チ特性は定義 さ れてい ません。
ヒ ン ト : シ ス テ ム レベル サンプル デザ イ ンに付属する UART ヘルパー ブ ロ ッ ク の タ ーゲ ッ ト ボー レー ト は 115,200
ボーです。 ほ と ん ど の ク ロ ッ ク 周波数では、 実際に得 ら れ る ビ ッ ト レー ト の誤差が ±1% 以内に抑え ら れますが、 ク
ロ ッ ク 周波数が低い場合は こ の許容誤差を超え る 可能性があ り ます。 こ の場合、 ボー レー ト と 入力 ク ロ ッ ク 周波数
を変更す る 必要があ り ます。
電気的に、 UART イ ン タ ーフ ェ イ ス が使用する I/O ピ ンは LVCMOS 信号で、 ほかのデバ イ ス と の接続に適 し てい ま
す。 特別な I/O モー ド は必要あ り ません。 RS-232 と の完全な電気的互換性が必要な場合は、 外部レベル変換器を使
用す る 必要があ り ます。
シ ス テム レ ベル要件
こ れま で説明 し た以外に特別なシ ス テ ム レベル要件はあ り ません。
フ ェ ッ チ イ ン ターフ ェ イス
フ ェ ッ チ イ ン タ ーフ ェ イ ス は、 コ ン ト ロ ー ラ ーが外部 ソ ース か ら デー タ を要求する 手段 と し て使用 し ます。 こ の イ
ン タ ーフ ェ イ ス は、 エ ラ ー分類機能を有効に し た場合のみ存在 し ます。 ポー ト の一覧お よ び こ の イ ン タ ーフ ェ イ ス
の定義については、 表 2-21 を参照 し て く だ さ い。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
65
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
表 2-21 で説明 し た よ う に、 fetch_tbladdr 入力を使用 し て外部 メ モ リ ソ ース内の コ ン ト ロ ー ラ ー デー タ テーブ
ルの開始ア ド レ ス を指定 し ます。 図 3-15 は、 こ れを視覚的に表現 し た も のです。
X-Ref Target - Figure 3-15
• • • • •• •• • • ••••••••••••
••
••
• •• • • •
• •• • •
••
••
• • ••• •• • • •• • • • •• • • • • • • • • • • • • • • • •
• • •• • •
• ••• ••• • •• ••• ••• • •• • •• ••• ••• • • •• • • • • •
• • •• • •
• ••• ••• • •• ••• ••• • •• • •• ••• ••• • • •• • • • • •
• • •• • •
• ••• ••• • •• ••• ••• • •• • •• ••• ••• • • •• • • • • •
••
••
••
••
••
• • •• • • ••
• •••• •• • ••• •• • • • • • • • • • • • •
• • •• •••• • •• ••••••
• • •• •••• • •• ••••••
• • •• •••• • •• ••••••
図 3-15 : fetch_tbladdr 入力
コ ン ト ロ ー ラ ーは、fetch_tbladdr (TB) ア ド レ ス の下位 31 ビ ッ ト に SLR0 のエ ッ セ ン シ ャ ル ビ ッ ト デー タ の最初
のバ イ ト のア ド レ ス を指 し 示す分類ベース (CB0) ポ イ ン タ ーが含まれ る も の と 見な し ます。 タ ーゲ ッ ト デバ イ ス が
マルチ SLR デバ イ ス の場合、 次の fetch_tbladdr の 32 ビ ッ ト に SLR1 のエ ッ セ ン シ ャ ル ビ ッ ト デー タ の最初の
バ イ ト のア ド レ ス を指 し 示す分類ベース (CB1) ポ イ ン タ ーが含まれます (以降同様)。 タ ーゲ ッ ト がモ ノ リ シ ッ ク デ
バ イ ス の場合、 必要な分類ベース ポ イ ン タ ーは 1 つです。
fetch_tbladdr と 分類ベース ポ イ ン タ ーをユーザーが定義で き る ため、 SPI フ ラ ッ シ ュ メ モ リ にほかのデー タ を
格納 し 、 必要に応 じ て メ モ リ の内容を構成可能です。
コ ン ト ロ ー ラ ーが正 し い TB お よ び CB 値を使用 し てい る こ と を確認で き る よ う に、 こ れ ら の値はモニ タ ー イ ン タ ー
フ ェ イ ス か ら 出力 さ れ る ス テー タ ス レ ポー ト で も 報告 さ れます。 詳細は、 「ス テー タ ス レ ポー ト 」 を参照 し て く だ
さ い。
SPI フ ラ ッ シ ュ プ ロ グ ラ ミ ン グ フ ァ イ ルを生成する 付属の makedata.tcl ス ク リ プ ト は fetch_tbladdr の値が 0
と 仮定 し てお り 、 TB お よ び CB 値を カ ス タ マ イ ズす る 場合は ス ク リ プ ト を変更す る 必要があ り ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
66
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
ユーザーの便宜のため、 シ ス テ ム レベル サンプル デザ イ ンにはサンプル と し て SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー
ブ ロ ッ ク が用意 さ れてお り 、 フ ェ ッ チ イ ン タ ーフ ェ イ ス は こ のヘルパー ブ ロ ッ ク を経由 し て外部 SPI フ ラ ッ シ ュ か
ら デー タ を取得 し ます。 次のセ ク シ ョ ンでは、 SPI イ ン タ ーフ ェ イ ス におけ る SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ
ロ ッ ク の動作について説明 し ます。
SPI イ ン タ ー フ ェ イ ス
SPI イ ン タ ーフ ェ イ ス は 4 つの信号で構成 さ れ、 こ れ ら を使用 し て SPI バ ス プ ロ ト コ ル互換の全二重シ リ アル ポー
ト を実装 し ます。 こ の イ ン タ ーフ ェ イ ス は、 エ ラ ー分類機能を有効に し た場合のみ存在 し ます。 こ の機能を実装す
る には、 外部ス ト レージが必要です。 シ ス テ ム レベル サンプル デザ イ ンでは、 SPI バ ス マ ス タ ーに機能が固定 さ れ
た SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク を使用 し て外部 SPI フ ラ ッ シ ュ デバ イ ス か ら デー タ を フ ェ ッ チ し ま
す。 表 3-7 に、 サポー ト さ れ る 各 FPGA で必要な SPI フ ラ ッ シ ュ の容量を示 し ます。
表 3-7 : 外部ス ト レージの要件
デバイ ス
UltraScale
エ ラ ー分類に必要なス ト レージ容量 (Mb)
XCKU035
128
XCKU040
128
XCKU060
256
XCKU075
256
XCKU100
512
XCKU115
512
XCVU065
256
XCVU080
256
XCVU095
256
XCVU125
512
XCVU160
1,024
XCVU190
1,024
(1)
XCVU440
1,024
注記 :
1. XCVU440 では、1 回の コ マ ン ド でダ イ 境界を ま たいでシーム レ ス に読み出 し が可能な SPI フ ラ ッ シ ュ メ モ リ デバ イ ス (MT25Q
な ど) を使用す る 必要があ り ます。
SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク は高速読み出 し コ マ ン ド (0x0B) を使用 し ます。 ま た、 何種類かあ る SPI
フ ラ ッ シ ュ フ ァ ミ リ の 1 つをサポー ト す る よ う に設定で き ます。 デフ ォ ル ト でサポー ト さ れ る フ ァ ミ リ は、 表 3-7
に示 し た外部ス ト レージの要件に よ っ て異な り ます。シ ス テ ム レベル サンプル デザ イ ンの SPI フ ラ ッ シ ュ マ ス タ ー
ヘルパー ブ ロ ッ ク には、 SPI フ ラ ッ シ ュ デバ イ ス に送信す る コ マ ン ド シーケ ン ス を制御する ためのパ ラ メ ー タ ーが
3 つあ り ます。
•
B_ISSUE_WREN – デバ イ ス の動作を変更する コ マ ン ド の前に書き 込み イ ネーブル コ マ ン ド (0x06) を発行する
必要があ る か ど う か を示 し ます。 通常は 「0」 に設定 し 、 N25Q お よ び MT25Q デバ イ ス の場合のみ 「1」 に設定
し ます。
•
B_ISSUE_WVCR – 高速読み出 し ダ ミ ー サ イ ク ル数を明示的に 8 サ イ ク ルに設定す る ために揮発性 コ ン フ ィ
ギ ュ レーシ ョ ン レ ジ ス タ への書 き 込みコ マ ン ド (0x81) を発行す る 必要があ る か ど う か を示 し ます。 SPI フ ラ ッ
シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク 内部の ス テー ト マシ ンはバ イ ト 指向のため、 高速読み出 し ダ ミ ー サ イ ク ル数
を 8 に設定す る 必要があ り ます。 揮発性 コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ のデー タ は上書 き さ れます (0x8B)。
通常は 「0」 に設定 し 、 N25Q お よ び MT25Q デバ イ ス の場合のみ 「1」 に設定 し ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
67
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
•
B_ISSUE_EN4B – 4 バ イ ト ア ド レ ス 指定モー ド に明示的に移行す る た めに 4 バ イ ト ア ド レ ス 指定 イ ネーブ
ル コ マ ン ド (0xB7) を 発行す る 必要が あ る か ど う か を 示 し ま す。 128Mb を 超え る デバ イ ス では 「1」 に設定
し ま す。
ス ト レージ要件が 128Mb 以下の場合、 SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク はデフ ォ ル ト で M25P デバ イ ス を
サポー ト し ます (B_ISSUE_WREN = 0、 B_ISSUE_WVCR = 0、 B_ISSUE_EN4B = 0)。 こ れ ら のデバ イ ス は 4 バ イ ト ア
ド レ ス指定モー ド には対応 し ません。
ス ト レージ要件が 128Mb を超え る 場合、 SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク はデフ ォ ル ト で よ り 大容量の
N25Q お よ び MT25Q デバ イ ス をサポー ト し ます (B_ISSUE_WREN = 1、 B_ISSUE_WVCR = 1、 B_ISSUE_EN4B = 1)。
こ れ ら のデバ イ ス は 4 バ イ ト ア ド レ ス指定モー ド に対応 し ます。
こ れ以外に、 ス ト レージ要件が 128Mb 以下の場合は小容量の N25Q デバ イ ス (B_ISSUE_WREN = 1、
B_ISSUE_WVCR = 1、 B_ISSUE_EN4B = 0)、 ス ト レージ要件が 128Mb を超え る 場合は大容量の MX25 デバ イ ス
(B_ISSUE_WREN = 0、 B_ISSUE_WVCR = 0、 B_ISSUE_EN4B = 1) も サポー ト さ れます。
注記 : SPI フ ラ ッ シ ュ マ ス ター ヘルパー ブ ロ ッ ク の イ ンプ リ メ ン テーシ ョ ンがサポー ト す る SPI フ ラ ッ シ ュ読み出 し
コ マン ド は、 1 つの SPI フ ラ ッ シ ュ デバ イ ス に対する SPI モー ド 0 (CPOL = 0、 CPHA = 0) の高速読み出 し のみです。
図 3-16 に、 FPGA と SPI フ ラ ッ シ ュ デバ イ ス の接続を示 し ます。 「LT」 はレベル変換器を表 し てい ます。 一般的な
SPI フ ラ ッ シ ュ デバ イ ス は 3.3V I/O を使用 し ますが、 FPGA ま たは I/O バン ク 電圧に よ っ ては こ の電圧を利用で き な
い こ と があ る ため、 レベル変換器が必要です。
X-Ref Target - Figure 3-16
)3*$
VSLBT
/7
63,)ODVK
VSLBG
VSLBF
G
/7
VSLBVBQ
T
F
VBQ
図 3-16 : SPI フ ラ ッ シ ュ デバイ スの接続 (レ ベル変換器を含む)
SPI バ ス の性能を最大化す る には、 伝搬遅延の小 さ い レベル変換器を使用す る 必要があ り ます。 SPI バ ス の性能がシ
ス テ ム レベル サンプル デザ イ ン全体の最大動作周波数に影響する こ と があ り ます。
注記 : ス イ ッ チン グ動作、 シ ス テ ム レベル要件、 お よ び SPI バ ス タ イ ミ ン グ バジ ェ ッ ト 例については、 付録 C 「SPI
バ ス タ イ ミ ン グ バジ ェ ッ ト 」 を参照 し て く だ さ い。
エ ラ ー分類機能を使用す る 場合、 コ ン ト ロ ー ラ ーは外部に格納 し たデー タ にア ク セ スする 必要があ り ます。 こ の
デー タ は、 write_bitstream に よ っ て FPGA のプ ロ グ ラ ミ ン グ フ ァ イ ル と 同時に作成 さ れます。
FPGA デザ イ ン を変更 し て新 し いプ ロ グ ラ ミ ン グ フ ァ イ ルを作成す る 際は、 コ ン ト ロ ー ラ ーが使用す る 外部デー タ
フ ァ イ ル も 更新す る 必要があ り ます。 ハー ド ウ ェ ア デザ イ ン を新 し いプ ロ グ ラ ミ ン グ フ ァ イ ルで更新す る 際に、 外
部に格納す る デー タ も 更新す る 必要があ り ます。
重要 : データ の整合性が失われる と 、 不正確な値の分類レ ポー ト が出力 さ れ、 誤った軽減措置が実行 さ れる こ と があ り
ます。 プ ロ グ ラ ミ ン グ フ ァ イル と 外部データ フ ァ イ ルが常に同期する よ う な更新方法を採用する こ と を推奨し ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
68
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
補助イ ン タ ー フ ェ イ ス
こ の イ ン タ ーフ ェ イ ス は、 コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ の ス キ ャ ンでは コ ン ト ロ ー ラ ーが直接監視で き ない場所
で発生 し た ソ フ ト エ ラ ー イ ベン ト を コ ン ト ロ ー ラ ーに通知す る 手段 と し て使用 し ます。 た と えばブ ロ ッ ク RAM に
ECC 機能を使用 し てい る デザ イ ンの場合、 ECC 機能に よ っ て検出 し たエ ラ ーを こ の イ ン タ ーフ ェ イ ス の入力にで き
ます。 コ ン ト ロ ー ラ ーが監視ま たは検出ス テー ト の場合、 こ の イ ン タ ーフ ェ イ ス経由で通知 さ れたエ ラ ーが検出 さ
れ、 それに応 じ てモニ タ ーお よ びス テー タ ス イ ン タ ーフ ェ イ ス の出力が変化 し ます。 同 じ エ ラ ーが複数回報告 さ れ
る のを防ぐ ため、 1 つのエ ラ ーを報告す る 際は 1 ク ロ ッ ク サ イ ク ルのみパルス し て く だ さ い。
こ の イ ン タ ーフ ェ イ ス を使用 し ない場合は、 イ ン タ ーフ ェ イ ス の入力を Low に接続する 必要があ り ます。
ス イ ッ チ ング動作
図 3-17 に ス イ ッ チ特性を示 し ます。 波形の前半で、 補助 イ ン タ ーフ ェ イ ス に よ っ て訂正可能なエ ッ セ ン シ ャ ル エ
ラ ーが報告 さ れ、 その結果、 status_essential 信号が High にアサー ト さ れてい ます。
こ れに よ り 、 コ ン ト ロ ー ラ ーが監視ス テー ト の場合は次の よ う なエ ラ ー レ ポー ト がモニ タ ー イ ン タ ーフ ェ イ ス に出
力 さ れます。
aux_error_cr_es
SC 04
AUX
TS {8-digit hex value}
FC 00
SC 08
FC 40
SC 02
aux_error_cr_ne
SC 04
AUX
TS {8-digit hex value}
FC 40
SC 08
FC 00
SC 02
こ れ ら のエ ラ ーが報告 さ れ る のは、 コ ン ト ロ ー ラ ーが監視ま たは検出ス テー ト の場合のみです。
波形の後半では、 補助 イ ン タ ーフ ェ イ ス に よ っ て訂正不能エ ラ ーが報告 さ れてい ます。 こ の結果、 コ ン ト ロ ー ラ ー
はア イ ド ル ス テー ト へ移行 し 、 コ ン ト ロ ー ラ ー自身が訂正不能エ ラ ーを検出 し た場合 と 同 じ 動作を し ます。 エ ラ ー
状態はモニ タ ー イ ン タ ーフ ェ イ ス と ス テー タ ス イ ン タ ーフ ェ イ ス の両方に反映 さ れます。 こ れに よ り 、 コ ン ト ロ ー
ラ ーが監視ス テー ト の場合は次の よ う なエ ラ ー レ ポー ト がモニ タ ー イ ン タ ーフ ェ イ ス に出力 さ れます。
aux_error_uc
SC 04
AUX
TS {8-digit hex value}
FC 20
SC 08
FC 60
SC 00
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
69
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
X-Ref Target - Figure 3-17
図 3-17 : 補助イ ン タ ー フ ェ イ スのス イ ッ チ ング動作
シ ス テム レ ベル要件
こ の イ ン タ ーフ ェ イ ス は、 SEM Controller を使用 し てデバ イ ス で発生 し た ソ フ ト エ ラ ー イ ベン ト のすべての レ ポー
ト を統合 し 、 ス テー タ スお よ びモニ タ ー イ ン タ ーフ ェ イ ス を シ ス テ ム レベルで使用 し てエ ラ ーに対す る 措置を実行
す る 場合に使用 し ます。 ま たは、 こ の よ う な機能を IP 外部に作成 し 、 こ れ ら エ ラ ーに対する シ ス テ ム応答を独立 し
て管理す る こ と も で き ます。
シ ス テム
こ の ソ フ ト エ ラ ー軽減 ソ リ ュ ーシ ョ ンは自律動作が可能ですが、 多 く のアプ リ ケーシ ョ ンでは こ の ソ リ ュ ーシ ョ ン
を シ ス テ ム レベルの監視機能 と 組み合わせて使用 し ます。 シ ス テ ム レベルの監視機能を実装すべ き か ど う か、 そ し
て こ の監視機能に どれだけの役割を持たせ る かは、 個々のシ ス テ ムに よ り 異な り ます。
注記 : 次に示す推奨事項は、 各 イ ン タ ーフ ェ イ ス のシ ス テ ム レベル要件の欄で説明 し た内容 も 含みます。
シ ス テ ム レベルの監視機能を用いて ソ フ ト エ ラ ー軽減 ソ リ ュ ーシ ョ ン を監視す る 方法には、 次の も のがあ り ます。
•
ソ フ ト エ ラ ー軽減 ソ リ ュ ーシ ョ ン を監視 し 、 ソ フ ト エ ラ ー イ ベン ト への応答 と し てシ ス テ ム レベルでの追加
措置が必要か ど う か を判定す る 。 こ れには、 検出 し たすべての ソ フ ト エ ラ ー イ ベン ト の ロ グ を記録す る と いっ
た単純な も のか ら 、 エ ラ ー分類値やエ ラ ーが訂正可能か ど う かな ど の要因に基づいてシ ス テ ム レベルで適切な
応答を決定す る と いっ た複雑な措置ま で さ ま ざ ま な も のがあ り ます。 こ れ ら の各種要因を解析 し て、 デザ イ ン
の リ セ ッ ト 、 FPGA の リ コ ン フ ィ ギ ュ レーシ ョ ン、 シ ス テ ムの再起動な ど シ ス テ ム レベルの措置を実行 し ます。
軽減 (+ テ ス ト ) モー ド で ソ フ ト エ ラ ー軽減 ソ リ ュ ーシ ョ ンの イ ベン ト レ ポー ト を監視す る には、 ス テー タ ス イ
ン タ ーフ ェ イ ス の status_correction お よ び status_uncorrectable 信号、 ス テー タ ス イ ン タ ーフ ェ イ
ス の status_classification お よ び status_essential 信号、 ま たはモニ タ ー イ ン タ ーフ ェ イ ス の
monitor_tx 信号に よ る エ ラ ー検出、 訂正、 分類レ ポー ト を使用 し ます。
検出 (+ テ ス ト ) モー ド で ソ フ ト エ ラ ー軽減 ソ リ ュ ーシ ョ ンの イ ベン ト レ ポー ト を監視す る には、 ス テー タ ス イ
ン タ ーフ ェ イ ス の status_uncorrectable、 ま たはモニ タ ー イ ン タ ーフ ェ イ ス の monitor_tx 信号に よ る エ
ラ ー検出レ ポー ト を使用 し ます。
•
ソ フ ト エ ラ ー軽減 ソ リ ュ ーシ ョ ン を監視 し 、 ソ リ ュ ーシ ョ ン自体に問題がないか を確認す る 。 第 2 章の 「 ソ
リ ュ ーシ ョ ンの信頼性」 で数値を示 し て説明 し た と お り 、 ご く わずかなが ら ソ フ ト エ ラ ー軽減 ソ リ ュ ーシ ョ ン
自体が障害を起 こ す可能性があ り ます。 統計的に、 こ の障害は コ ン ト ロ ー ラ ーのすべての ス テー ト で発生する
可能性があ り ます。
°
ブー ト お よ び初期化ス テー ト – ソ フ ト エ ラ ー軽減 ソ リ ュ ーシ ョ ンがブー ト お よ び初期化の後、 選択 し た
モー ド に応 じ て監視、 検出、 ア イ ド ル ス テー ト のいずれかに正 し く 移行する か を監視 し ます。 ザ イ リ ン ク
ス は、 ソ フ ト エ ラ ー軽減 ソ リ ュ ーシ ョ ンがブー ト お よ び初期化を実行 し て上記いずれかの ス テー ト へ移行
す る 時間を表 2-5 お よ び式 2-1 で定義 し てい ます。 ただ し こ れは cap_gnt 信号がアサー ト さ れてお り 、 ソ
フ ト エ ラ ー軽減 ソ リ ュ ーシ ョ ンが ICAP プ リ ミ テ ィ ブ経由で FPGA コ ン フ ィ ギ ュ レーシ ョ ン ロ ジ ッ ク を利
用で き 、 モニ タ ー イ ン タ ーフ ェ イ ス で ス ロ ッ ト リ ン グが発生 し ていない場合の値です。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
70
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
ソ フ ト エ ラ ー軽減 ソ リ ュ ーシ ョ ンが初期化に失敗ま たは正 し い ス テー ト への移行に失敗す る 主な理由は、
ソ フ ト エ ラ ー イ ベン ト ではな く デザ イ ン エ ラ ーです。 こ れには、 未使用ポー ト の接続の誤 り 、
cap_grant 信号の制御の誤 り 、 ICAP 共有の実装の誤 り な ど があ り ます。 ま た、 ソ フ ト エ ラ ー軽減 ソ
リ ュ ーシ ョ ンが ICAP プ リ ミ テ ィ ブ経由で FPGA コ ン フ ィ ギ ュ レーシ ョ ン ロ ジ ッ ク を利用で き ない こ と が
原因 と な る こ と も あ り ます。 こ の問題が発生す る 理由 と し ては、 ソ フ ト エ ラ ー軽減 ソ リ ュ ーシ ョ ン と 互換
性のないビ ッ ト ス ト リ ーム オプシ ョ ン を使用 し た、 JTAG 経由で FPGA に発行 さ れた FPGA コ ン フ ィ ギ ュ
レーシ ョ ン命令を シ ス テ ム レベルの JTAG コ ン ト ロ ー ラ ーが正 し く 完了ま たは ク リ アで き なか っ た、 な ど
が考え ら れます。
ソ リ ュ ーシ ョ ンが初期化を完了 し て正 し い ス テー ト へ移行 し た こ と を確認する には、 シ ス テ ム レベルの監
視機能で ス テー タ ス イ ン タ ーフ ェ イ ス の status_initialization お よ び該当す る status_* 信号がア
サー ト さ れ る のを監視す る か (図 3-5 ~図 3-7 参照)、 モニ タ ー イ ン タ ーフ ェ イ ス の monitor_tx 信号か ら
出力 さ れ る 初期化レ ポー ト を監視 し ます。
こ の ス テー ト では、 CRC エ ラ ー ス テー タ ス信号の INIT_B は無視で き ます。
°
監視ス テー ト (軽減 (+ テ ス ト ) モー ド の場合) – コ ン ト ロ ー ラ ーはほ と ん どすべての時間を こ の ス テー ト で費
や し ます。 こ の ス テー ト の コ ン ト ロ ー ラ ーを監視す る 方法は少な く と も 3 つあ り 、 コ ン ト ロ ー ラ ーの状態
に関 し て得 ら れ る 情報がそれぞれ少 し ずつ異な り ます。
-
コ ン ト ロ ー ラ ーのハー ト ビー ト 信号 status_heartbeat – こ の信号は、 ソ フ ト エ ラ ー軽減 ソ リ ュ ー
シ ョ ンか ら 直接出力 さ れます。 こ の信号は、 リ ー ド バ ッ ク プ ロ セ ス が動作中であ る こ と を示すパルス
です (仕様の詳細は 「ス テー タ ス イ ン タ ーフ ェ イ ス」 参照)。 監視ス テー ト 中に こ のパルス が仕様か ら
逸脱 し た場合、 シ ス テ ム レベルの監視機能は リ ー ド バ ッ ク プ ロ セ ス に障害が発生 し た も の と 判定で き
ます。 こ れは訂正不能なエ ッ セ ン シ ャ ル エ ラ ーです。 SSI への イ ンプ リ メ ン テーシ ョ ンでは SLR ご と
に status_heartbeat 出力があ る ため、 すべての SLR か ら のハー ト ビー ト 信号を監視す る 必要があ
り ます。
status_heartbeat はほかの コ ン ト ロ ー ラ ー ス テー ト では未定義のため、 監視ス テー ト でのみ監視
す る よ う に し て く だ さ い。
詳細は、 第 3 章 「ハー ト ビー ト 」 を参照 し て く だ さ い。
-
CRC エ ラ ー ス テー タ ス信号 INIT_B – こ の信号は、 リ ー ド バ ッ ク プ ロ セ ス か ら 直接出力 さ れます。
リ ー ド バ ッ ク プ ロ セ ス で CRC エ ラ ーが検出 さ れ る と 、 INIT_B がアサー ト さ れます。 監視ス テー ト 中
に INIT_B がアサー ト さ れてか ら 1 秒以内に訂正ス テー ト へ移行 し ない場合、 コ ン ト ロ ー ラ ーに障害
が発生 し てい ます。 ス テー ト の移行は、 ス テー タ ス イ ン タ ーフ ェ イ ス の status_correction 信号
ま たはモニ タ ー イ ン タ ーフ ェ イ ス の ス テー ト 変化レ ポー ト で確認で き ます。 こ れは訂正不能なエ ッ セ
ン シ ャ ル エ ラ ーです。 SSI デバ イ スへの イ ンプ リ メ ン テーシ ョ ンでは SLR ご と に内部 CRC エ ラ ー ス
テー タ ス信号があ る ため、 こ れ ら の信号を ワ イ ヤー ド OR に よ っ て 1 つの INIT_B デバ イ ス ピ ンに接
続 し ます。 ただ し ス テー タ ス イ ン タ ーフ ェ イ ス は SLR ご と に status_correction 信号があ り ます。
CRC エ ラ ー ス テー タ ス信号 INIT_B は監視お よ び検出ス テー ト でのみ監視す る よ う に し て く だ さ い。
それ以外の ス テー ト では、 こ の信号は未定義です。
-
コ ン ト ロ ー ラ ーの ス テー タ ス コ マ ン ド お よ びレ ポー ト – モニ タ ー イ ン タ ーフ ェ イ ス の monitor_rx
お よ び monitor_tx 信号を使用 し てシ ス テ ム レベルの監視機能か ら 周期的に ス テー タ ス コ マ ン ド を
送信 し 、 予想 さ れ る ス テー タ ス レ ポー ト が出力 さ れ る か を確認 し ます。 コ ン ト ロ ー ラ ーの ス テー ト が
変化 し ていない場合、 1 秒以内に予想 さ れ る ス テー タ ス レ ポー ト が出力 さ れなければ、 シ ス テ ム レベ
ルの監視機能は コ ン ト ロ ー ラ ーに障害が発生 し た も の と 判定で き ます。 こ れは訂正不能なエ ッ セ ン
シ ャ ル エ ラ ーです。
こ の方法を使用す る 場合、 「 コ ン ト ロ ー ラ ー応答な し 」 条件を検出す る 時間を許容範囲内 と し つつ、 ス
テー タ ス コ マ ン ド の送信間隔を な る べ く 長 く する 必要があ り ます。
ス テー タ ス コ マ ン ド と レ ポー ト を コ ン ト ロ ー ラ ーで処理する 方法は、 レ イ テ ン シ を増大 さ せ る 要因 と
な る こ と があ り ます。 た と えばス テー タ ス コ マ ン ド を 60 秒ご と に送信する のは、 全般的な動作の レ イ
テ ン シ を それほ ど増やす こ と な く 、 ほ と ん ど発生 し ない 「 コ ン ト ロ ー ラ ー応答な し 」 条件を防ぐ こ と
がで き る ため、 妥当な ト レー ド オ フ と いえ ます。 こ れに対 し 、 ス テー タ ス コ マ ン ド を 1 秒ご と に送信
す る のは賢明な選択 と はいえ ません。 こ の場合、 ス テー タ ス レ ポー ト に よ っ て UART ヘルパー ブ ロ ッ
ク の送信バ ッ フ ァ ーが空にな る こ と がほ と ん ど な く 、 モニ タ ー イ ン タ ーフ ェ イ ス で ス ロ ッ ト リ ン グが
発生 し てエ ラ ー検出、 訂正、 お よ び分類の レ イ テ ン シが増大 し ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
71
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
コ ン ト ロ ー ラ ーの ス テー タ ス コ マ ン ド お よ びレ ポー ト を使用する 方法は、 監視お よ びア イ ド ル ス テー
ト で し か利用で き ない点に注意が必要です。 ほかの ス テー ト 中に ス テー タ ス コ マ ン ド を送信 し た場合、
UART ヘルパー ブ ロ ッ ク の受信バ ッ フ ァ ーがオーバーフ ロ ー し なければ コ マ ン ド はバ ッ フ ァ ー内に残
り 、 監視ま たはア イ ド ル ス テー ト へ移行 し た時点で処理 さ れます。
°
訂正お よ び分類ス テー ト – モニ タ ー イ ン タ ーフ ェ イ ス で ス ロ ッ ト リ ン グが発生 し ていなければ、 ソ フ ト エ
ラ ー軽減 ソ リ ュ ーシ ョ ンは訂正お よ び分類ス テー ト に表 2-7/式 2-3 お よ び表 2-8/式 2-4 に示 し た時間内に遷
移 し ます。 ソ フ ト エ ラ ーが発生する 確率は非常に低いため、 コ ン ト ロ ー ラ ーが こ れ ら の ス テー ト で費やす
時間は非常に短 く 、 通常はす ぐ に監視ス テー ト (ま たはご く まれにア イ ド ル ス テー ト ) に戻 り ます。 ス テー
タ ス イ ン タ ーフ ェ イ ス の status_correction お よ び status_classification 信号、 ま たはモニ
タ ー イ ン タ ーフ ェ イ ス の ス テー ト 変化レ ポー ト を監視 し て、 コ ン ト ロ ー ラ ーが訂正ま たは分類ス テー ト に
と ど ま る 時間が 1 秒を超えた こ と が確認 さ れ る と 、 シ ス テ ム レベルの監視機能は コ ン ト ロ ー ラ ーに障害が
発生 し た も の と 判定で き ます。 こ れは訂正不能なエ ッ セ ン シ ャ ル エ ラ ーです。
こ れ と は別に、 ソ フ ト エ ラ ー軽減 ソ リ ュ ーシ ョ ンが同 じ ア ド レ ス を繰 り 返 し 訂正 し ていないか を シ ス テ ム
レベルの監視機能で監視す る こ と も で き ます。 こ の よ う な症状が起 こ る こ と はほ と ん ど あ り ませんが、 そ
の原因は コ ン ト ロ ー ラ ーの ソ フ ト エ ラ ーやデバ イ ス自体のハー ド エ ラ ーな ど い く つか考え ら れます。
°
検出モー ド ま たは ス テー ト – コ ン ト ロ ー ラ ーは、 初期化後ま たは コ マ ン ド で移行後、 ほ と ん どすべての時
間を こ の ス テー ト で費や し ます。 こ の ス テー ト の コ ン ト ロ ー ラ ーを監視する 方法は少な く と も 2 つあ り 、
コ ン ト ロ ー ラ ーの状態に関 し て得 ら れ る 情報がそれぞれ少 し ずつ異な り ます。
-
コ ン ト ロ ー ラ ーのハー ト ビー ト 信号 status_heartbeat – こ の信号は、 ソ フ ト エ ラ ー軽減 ソ リ ュ ー
シ ョ ンか ら 直接出力 さ れます。 こ の信号は、 リ ー ド バ ッ ク プ ロ セ ス が動作中であ る こ と を示すパルス
です (仕様の詳細は 「ス テー タ ス イ ン タ ーフ ェ イ ス」 参照)。 検出ス テー ト 中に こ のパルス が仕様か ら
逸脱 し た場合、 シ ス テ ム レベルの監視機能は リ ー ド バ ッ ク プ ロ セ ス に障害が発生 し た も の と 判定で き
ます。 こ れは訂正不能なエ ッ セ ン シ ャ ル エ ラ ーです。
SSI への イ ンプ リ メ ン テーシ ョ ンでは SLR ご と に status_heartbeat 出力があ る ため、 すべての SLR か ら
のハー ト ビー ト 信号を監視す る 必要があ り ます。
詳細は、 第 3 章 「ハー ト ビー ト 」 を参照 し て く だ さ い。
-
CRC エ ラ ー ス テー タ ス信号 INIT_B – こ の信号は、 リ ー ド バ ッ ク プ ロ セ ス か ら 直接出力 さ れます。
リ ー ド バ ッ ク プ ロ セ ス で CRC エ ラ ーが検出 さ れ る と 、 INIT_B がアサー ト さ れます。 検出ス テー ト 中
に INIT_B がアサー ト さ れてか ら 1 秒以内にア イ ド ル ス テー ト へ移行 し ない場合、 コ ン ト ロ ー ラ ーに
障害が発生 し てい ます。 ア イ ド ル ス テー ト へ移行 し たか ど う かは、 ス テー タ ス イ ン タ ーフ ェ イ ス ま た
はモニ タ ー イ ン タ ーフ ェ イ ス の ス テー ト 変化レ ポー ト で確認で き ます。 こ れは訂正不能なエ ッ セ ン
シ ャ ル エ ラ ーです。
SSI デバ イ スへの イ ンプ リ メ ン テーシ ョ ンでは SLR ご と に内部 CRC エ ラ ー ス テー タ ス信号があ る た
め、 こ れ ら の信号を ワ イ ヤー ド OR に よ っ て 1 つの INIT_B デバ イ ス ピ ンに接続 し ます。 ただ し ス
テー タ ス イ ン タ ーフ ェ イ ス は SLR ご と にア イ ド ル ス テー ト の ス テー タ ス イ ン タ ーフ ェ イ ス を個別に
監視 し て ア イ ド ル ス テー ト への移行を確認する 必要があ り ます。
CRC エ ラ ー ス テー タ ス信号 INIT_B は監視お よ び検出ス テー ト でのみ監視す る よ う に し て く だ さ い。
それ以外の ス テー ト では、 こ の信号は未定義です。
°
診断ス キ ャ ン ス テー ト – コ マ ン ド に よ っ て こ の ス テー ト へ移行する と 、 コ ン ト ロ ー ラ ーはデバ イ ス のすべ
ての コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ を ス キ ャ ン し 、 検出 し たすべての ECC エ ラ ーを報告 し ます。 こ の ス
テー ト の コ ン ト ロ ー ラ ーを監視す る には、 次の方法を推奨 し ます。
-
コ ン ト ロ ー ラ ーのハー ト ビー ト 信号 status_heartbeat – こ の信号は、 ソ フ ト エ ラ ー軽減 ソ リ ュ ー
シ ョ ンか ら 直接出力 さ れます。 こ の信号は、 リ ー ド バ ッ ク プ ロ セ ス が動作中であ る こ と を示すパルス
です (仕様の詳細は 「ス テー タ ス イ ン タ ーフ ェ イ ス」 参照)。 診断ス キ ャ ン ス テー ト 中に こ のパルス が
仕様か ら 逸脱 し た場合、 シ ス テ ム レベルの監視機能は リ ー ド バ ッ ク プ ロ セ ス に障害が発生 し た も の と
判定で き ます。 こ れは訂正不能なエ ッ セ ン シ ャ ル エ ラ ーです。
SSI への イ ンプ リ メ ン テーシ ョ ンでは SLR ご と に status_heartbeat 出力があ る ため、 すべての SLR か ら
のハー ト ビー ト 信号を監視す る 必要があ り ます。
詳細は、 第 3 章 「ハー ト ビー ト 」 を参照 し て く だ さ い。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
72
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
°
°
ア イ ド ルお よ び挿入ス テー ト – コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト へ移行する のは、 訂正不能エ ラ ーが発
生 し た場合かア イ ド ル ス テー ト への移行コ マ ン ド が実行 さ れた場合のみです。 訂正不能エ ラ ーに よ っ て ア
イ ド ル ス テー ト へ移行 し た場合は、 イ ベン ト レ ポー ト の監視に関す る セ ク シ ョ ン を参照 し て く だ さ い。 ア
イ ド ル ス テー ト への移行 コ マ ン ド は、 主にエ ラ ー挿入や ICAP 共有な ど ほかの コ マ ン ド を発行す る ために
使用 し ます。 「監視ス テー ト 」 の 「 コ ン ト ロ ー ラ ーの ス テー タ ス コ マ ン ド お よ びレ ポー ト 」 で説明 し た方法
を ア イ ド ル ス テー ト で実装す る のは、 アプ リ ケーシ ョ ン レベルでほかのプ ロ セ ス に よ っ て発行 さ れた コ マ
ン ド と 競合す る 可能性があ る ため推奨 し ません。 発行済みの コ マ ン ド が完了 し て 1 秒以内に応答が生成 さ
れ る こ と を アプ リ ケーシ ョ ン レベル プ ロ セ ス で確認す る 方法を推奨 し ます。 1 秒以内に応答が生成 さ れな
い場合は訂正不能なエ ッ セ ン シ ャ ル エ ラ ーが発生 し てお り 、 アプ リ ケーシ ョ ンか ら シ ス テ ムに報告す る 必
要があ り ます。
重大エ ラ ー ス テー ト – コ ン ト ロ ー ラ ーは、 内部ス テー ト に不整合性が検出 さ れた場合のみ こ の ス テー ト へ
移行 し ます。 こ の ス テー ト へ移行す る と 、 ス テー タ ス イ ン タ ーフ ェ イ ス の 7 つの ス テー ト 信号がすべて ア
サー ト さ れます。 モニ タ ー イ ン タ ーフ ェ イ ス か ら HLT メ ッ セージが出力 さ れ る こ と も あ り ます。 SSI デバ
イ スへの イ ンプ リ メ ン テーシ ョ ンでは複数の コ ン ト ロ ー ラ ー イ ン ス タ ン ス が存在 し てお り 、 少な く と も
1 つの コ ン ト ロ ー ラ ー イ ン ス タ ン ス が動作を停止す る か、 ま たは訂正不能エ ラ ー イ ベン ト に よ っ て ア イ ド
ル ス テー ト へ移行す る と ソ リ ュ ーシ ョ ン全体が重大エ ラ ー ス テー ト と 見な さ れます。 こ れは訂正不能な
エ ッ セ ン シ ャ ル エ ラ ーです。
こ こ ま でに説明 し た シ ス テ ム レベル監視機能の実装は任意ですが、 軽減 (+テ ス ト ) モー ド で IP を使用す る 場合は、
コ ン ト ロ ー ラ ーの正常動作を確認す る ために少な く と も 次のシ ス テ ム レベル監視機能を実装す る こ と を推奨 し ます。
1.
コ ン ト ロ ー ラ ーがブー ト お よ び初期化ス テー ト を完了 し 、 選択 し たモー ド に応 じ て監視、 ア イ ド ル、 ま たは検
出ス テー ト に正 し く 移行 し た こ と を確認 し て く だ さ い。 ブー ト お よ び初期化ス テー ト では INIT_B 信号は監視
対象ではあ り ません。
2.
監視、 検出、 診断ス キ ャ ン ス テー ト では status_heartbeat 信号を監視 し 、 「ハー ト ビー ト 」 に示 し た仕様値
を満た し てい る こ と を確認 し て く だ さ い。 こ の監視 ロ ジ ッ ク の例は、 サンプル デザ イ ンに含まれてい ます。
第 5 章の 「機能」 を参照 し て く だ さ い。
3.
軽減 (+ テ ス ト ) お よ び検出 (+ テ ス ト ) モー ド の場合、 コ ン ト ロ ー ラ ーが停止ま たはア イ ド ル ス テー ト へ移行 し
ていない こ と を確認 し て く だ さ い。 コ ン ト ロ ー ラ ーが停止ま たはア イ ド ル ス テー ト へ移行す る と 軽減機能が実
行 さ れず、 SEU が発生 し て も 検出、 訂正 さ れません。 こ れは、 status_* 信号を監視 し て確認で き ます。 コ ン
ト ロ ー ラ ーの動作停止を検出す る ロ ジ ッ ク の例は、 サンプル デザ イ ンに含まれてい ます。 第 5 章の 「機能」 を
参照 し て く だ さ い。
4.
監視お よ び検出ス テー ト では、 INIT_B 信号を監視 し て く だ さ い。 INIT_B がアサー ト さ れてか ら 1 秒以内に コ
ン ト ロ ー ラ ーが監視ス テー ト か ら 訂正ス テー ト 、 ま たは検出ス テー ト か ら ア イ ド ル ス テー ト へ移行 し ない場合、
訂正不能エ ラ ーが発生 し たかエ ラ ーに対 し て コ ン ト ロ ー ラ ーの軽減機能が応答 し ていない ( 「CRC エ ラ ー ス テー
タ ス信号 INIT_B」 参照) こ と を示 し ます。
5.
後で必要にな っ た と き に IP の動作を簡単にデバ ッ グで き る よ う に、 monitor_tx 出力を FIFO バ ッ フ ァ ーに格
納 し て く だ さ い。 こ れは、 特にシ ス テ ムがモニ タ ー イ ン タ ーフ ェ イ ス を使用 し ていない場合に推奨 し ます。 「モ
ニ タ ー イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
73
japan.xilinx.com
第 3 章 : コ ア を使用するデザイ ン
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ のマ ス ク
デザ イ ンに よ っ ては、 動作中に コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ の一部のビ ッ ト 値が変化する こ と があ り ます。 よ く
あ る のは、 分散 RAM やシ フ ト レ ジ ス タ な ど の LUTRAM 機能を実装す る よ う に ロ ジ ッ ク ス ラ イ ス リ ソ ース を設定
し た場合です。 ま た、 ダ イ ナ ミ ッ ク リ コ ン フ ィ ギ ュ レーシ ョ ン ポー ト を持つその他の タ イ プの リ ソ ース がデザ イ ン
の動作中に更新 さ れ る 場合に も こ の よ う な状況が発生 し ます。
エ ラ ーの誤検出を防 ぐ には、 こ れ ら リ ソ ース に関連す る メ モ リ ビ ッ ト はマ ス ク し てお き 、 CRC お よ び ECC 計算か ら
除外 し てお く 必要があ り ます。 ザ イ リ ン ク ス の FPGA デバ イ ス には、 こ の よ う なエ ラ ー誤検出を防ぐ ために コ ン
フ ィ ギ ュ レーシ ョ ン メ モ リ のマ ス ク 機能が実装 さ れてい ます。 マ ス ク を有効にする か ど う かは、 グ ロ ーバル制御信
号の GLUTMASK_B で選択 し ます。 コ ン ト ロ ー ラ ーは コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ のマ ス ク を常に有効に し ます。
UltraScale デバ イ スは リ ソ ース レベルで細粒度のマ ス ク を イ ンプ リ メ ン ト し てい ます。 つま り 、 個々の リ ソ ース を ダ
イ ナ ミ ッ ク 動作に設定す る と 、 その リ ソ ース の コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ ビ ッ ト がマ ス ク さ れます。 無関係な
メ モ リ ビ ッ ト には影響せず、 必要な メ モ リ ビ ッ ト のみがマ ス ク さ れます。 マ ス ク し た ビ ッ ト は、 コ ン ト ロ ー ラ ーに
よ る 監視を受け ません。
マ ス ク し た リ ソ ース に関係す る コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ ビ ッ ト を読み出す と 、 定数値 (論理 1 ま たは論理 0)
が返 さ れます。 こ れに よ り 、 エ ラ ーの誤検出を防ぎ ます。 マ ス ク さ れた リ ソ ース に関係する コ ン フ ィ ギ ュ レーシ ョ
ン メ モ リ ビ ッ ト への書 き 込みは破棄 さ れます。 こ れに よ り 、 ダ イ ナ ミ ッ ク ス テー ト エ レ メ ン ト の内容を古いデー
タ で上書 き す る のを防ぎ ます。 ただ し その副作用 と し て、 マ ス ク し た リ ソ ース にエ ラ ーを挿入 し て も エ ラ ーが検出
さ れません。
LUTRAM 機能な ど多 く の場合には、 ユーザー デザ イ ンで こ れ ら のビ ッ ト に対する デー タ 保護を実装 し て ソ フ ト エ
ラ ーを軽減で き ます。 ま たは、 ユーザー デザ イ ン を変更 し て コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ のマ ス ク を必要 と す る
よ う な機能を使用 し ない よ う にす る 方法 も あ り ます。
リセッ ト
デバ イ ス の コ ン フ ィ ギ ュ レーシ ョ ン全体を リ セ ッ ト す る こ と はで き ないため、 コ ン ト ロ ー ラ ーには リ セ ッ ト があ り
ません。 コ ン ト ロ ー ラ ーは、 デバ イ ス の コ ン フ ィ ギ ュ レーシ ョ ン完了か ら 電源停止ま たは リ コ ン フ ィ ギ ュ レーシ ョ
ン ま での間、 デバ イ ス の コ ン フ ィ ギ ュ レーシ ョ ン を監視 し ます。 ま た、 オ リ ジナルの コ ン フ ィ ギ ュ レーシ ョ ン ス
テー ト を監視お よ び維持す る こ と を役割 と し 、 エ ラ ーを含む可能性が高い暫定ス テー ト か ら は再起動 し ません。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
74
japan.xilinx.com
第 4章
デザイ ン フ ローの手順
こ の章では、 コ アのカ ス タ マ イ ズ と 生成、 制約、 お よ びシ ミ ュ レーシ ョ ン/合成/ イ ンプ リ メ ン テーシ ョ ンの手順につ
いて説明 し ます。 一般的な Vivado® デザ イ ン フ ロ ーお よ び Vivado IP イ ン テ グ レー タ ーの詳細は、 次の Vivado Design
Suite ユーザー ガ イ ド を参照 し て く だ さ い。
•
『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896) [参照 5]
•
『Vivado Design Suite ユーザー ガ イ ド : 入門』 (UG910) [参照 9]
•
『Vivado Design Suite ユーザー ガ イ ド : ロ ジ ッ ク シ ミ ュ レーシ ョ ン』 (UG900) [参照 3]
コ アのカ ス タ マ イ ズおよび生成
こ こ では、 ザ イ リ ン ク ス ツールを使用 し 、 Vivado Design Suite で コ ア を カ ス タ マ イ ズお よ び生成する 方法について説
明 し ます。コ ア を カ ス タ マ イ ズ し て生成する には、まず Vivado IP カ タ ロ グで [FPGA Features and Design] → [Soft Error
Mitigation] を展開 し 、 その下にあ る [UltraScale Soft Error Mitigation] を ク リ ッ ク し て選択 し ます。 [Project Manager]
ウ ィ ン ド ウ の [Details] エ リ アに こ の ソ リ ュ ーシ ョ ンに関す る 重要な情報が表示 さ れます。 こ の内容を確認 し てか ら
次の手順に進みます。
Vivado IP カ タ ロ グで こ の IP コ ア を ダブル ク リ ッ ク する と 、 [Customize IP] ダ イ ア ロ グ ボ ッ ク ス が開き ます (図 4-1)。
注記 : こ の章には Vivado 統合設計環境 (IDE) の ス ク リ ーン シ ョ ッ ト を掲載 し てい ますが、 最新バージ ョ ンの画面は異
な る 場合があ り ます。
Vivado IDE の SEM Controller IP カ ス タ マ イ ズ画面は、 次に示す 3 つの タ ブで構成 さ れます。
•
[Basic] – IP モー ド 、 タ ーゲ ッ ト ク ロ ッ ク 周期、 コ ン フ ィ ギ ュ レーシ ョ ン プ リ ミ テ ィ ブ と ヘルパー ブ ロ ッ ク の配
置場所な ど、 基本的な SEU 軽減機能のオプシ ョ ン を設定 し ます。
•
[Advanced Mitigation] – エ ラ ー分類な ど、 よ り 高度な SEU 軽減機能のオプシ ョ ン を設定 し ます。
•
[Summary] – [Basic] お よ び [Advanced Mitigation] タ ブで選択 し た IP コ ン フ ィ ギ ュ レーシ ョ ンの内容が表示 さ れ
ます。 IP を生成す る 前に、 こ こ で設定内容を確認 し て く だ さ い。
SEM Controller ソ リ ュ ーシ ョ ンが最終的に組み込まれ る プ ロ ジ ェ ク ト 全体の要件が満た さ れ る よ う に、 こ こ で各オプ
シ ョ ンの設定を確認 し 、 必要に応 じ て変更 し ます。 次に、 各オプシ ョ ンの詳細について説明 し ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
75
japan.xilinx.com
第 4 章 : デザイ ン フ ローの手順
[Basic] タ ブ
X-Ref Target - Figure 4-1
図 4-1 : SEM Controller の [Basic] タ ブ
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
76
japan.xilinx.com
第 4 章 : デザイ ン フ ローの手順
[Component Name] およびシ ンボル
[Component Name] では、 生成 さ れ る コ ン ポーネ ン ト の名前を設定 し ます。 こ の例では、 「sem_ultra_0」 を使用 し
てい ます。 こ のダ イ ア ロ グ ボ ッ ク ス の左側には コ ン ポーネ ン ト シ ン ボルが表示 さ れます。 こ こ には、 現在のオプ
シ ョ ン設定で コ ン ポーネ ン ト に存在す る ポー ト が視覚的に表示 さ れます。 オプシ ョ ン設定を変更する と 、 こ の図は
自動的に更新 さ れます。
[Mode]
SEM Controller IP は 6 つのモー ド で利用で き ます。
•
軽減 + テ ス ト (Mitigation and Testing) モー ド
•
軽減 (Mitigation only) モー ド
•
検出 + テ ス ト (Detect and Testing) モー ド
•
検出 (Detect only) モー ド
•
エ ミ ュ レーシ ョ ン (Emulation) モー ド
•
モニ タ ー (Monitoring) モー ド
モー ド は、 IP の用途お よ びアプ リ ケーシ ョ ンに応 じ て選択 し ます。 各モー ド の機能の違いについては、 [Mode
description] に表示 さ れ る 一覧表で確認で き ます。
エ ラ ー訂正機能が必要な場合は、 軽減 + テ ス ト ま たは軽減モー ド のいずれか を選択 し ます。 こ れ ら のモー ド では、
コ ン ト ロ ー ラ ーはエ ラ ー検出回路を監視 し 、 エ ラ ー条件を報告 し ます。 ま た、 エ ラ ーが検出 さ れた場合は コ ン ト
ロ ー ラ ーが訂正を試みます。 一般的にほ と ん ど のエ ラ ーは訂正可能で、 訂正に成功する と コ ン ト ロ ー ラ ーは訂正可
能なエ ラ ーが発生 し て訂正 さ れた こ と を報告 し ます。 訂正不能なエ ラ ーの場合、 コ ン ト ロ ー ラ ーは訂正不能なエ
ラ ーが発生 し た こ と を報告 し て ア イ ド ル ス テー ト に移行 し ます。
エ ラ ー訂正では、 ECC シ ン ド ロ ーム を使用 し て フ レーム内の正確なエ ラ ー位置を特定 し ます。 エ ラ ーを含むフ レー
ム を読み出 し 、 該当す る ビ ッ ト を反転 し て フ レーム を書 き 戻 し ます。 こ のエ ラ ーは訂正可能エ ラ ー と し て報告 さ れ
ます。
内蔵のエ ラ ー訂正機能が不要な場合は、 検出 (+ テ ス ト )、 エ ミ ュ レーシ ョ ン、 モニ タ ー モー ド のいずれか を選択 し
ます。 検出 (+ テ ス ト ) モー ド では、 コ ン ト ロ ー ラ ーは初期化が完了す る と 検出ス テー ト に移行 し 、 SEU エ ラ ーが検
出 さ れ る ま で コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ の ス キ ャ ン を継続 し ます。 エ ラ ーが検出 さ れ る と エ ラ ー レ ポー ト を出
力 し 、 訂正は実行せずア イ ド ル ス テー ト に戻 り ます。
エ ミ ュ レーシ ョ ンお よ びモニ タ ー モー ド では、 コ ン ト ロ ー ラ ーは初期化が完了する と ア イ ド ル ス テー ト に移行 し ま
す。 監視ス テー ト には移行 し ないため、 コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ を継続的に ス キ ャ ン し て SEU エ ラ ーを検出
お よ び訂正す る こ と はで き ません。
ど のモー ド を選択 し た場合 も 、 ア イ ド ル ス テー ト で コ ン ト ロ ー ラ ーに コ マ ン ド を送信 し てエ ラ ー検出/レ ポー ト 機能
(検出お よ び診断ス キ ャ ン) を開始で き ます。
エ ラ ー挿入はデザ イ ン を検証す る ための機能で、 ソ フ ト エ ラ ー イ ベン ト を模 し たエ ラ ーを ユーザーが コ ン フ ィ ギ ュ
レーシ ョ ン メ モ リ に発生 さ せ る こ と がで き ます。 こ の機能は、 統合ま たはシ ス テ ム レベル テ ス ト の際に コ ン ト ロ ー
ラ ーがシ ス テ ム レベルの監視 ロ ジ ッ ク と 正 し く 接続 さ れてい る か、 そ し て ソ フ ト エ ラ ー イ ベン ト が発生 し た場合に
シ ス テ ムが正 し く 応答す る か を検証す る 際に使用 し ます。 エ ラ ー挿入機能は軽減、 検出、 モニ タ ー モー ド では利用
で き ません。
ヒ ン ト : エ ラ ー挿入機能を無効に し た場合、 コ ン ト ロ ー ラ ーはエ ラ ー挿入 コ マ ン ド を無視 し 、 エ ラ ー挿入ス テー ト に
は移行 し ません。
各モー ド で利用可能な機能の一覧は、 13 ページの表 2-1 を参照 し て く だ さ い。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
77
japan.xilinx.com
第 4 章 : デザイ ン フ ローの手順
[Controller Clock Period]
コ ン ト ロ ー ラ ーの ク ロ ッ ク 周期を設定 し ます。 コ ン ト ロ ー ラ ーの ク ロ ッ ク 周期を短 く す る (すなわち ク ロ ッ ク 周波数
を引 き 上げ る ) と 、 エ ラ ー軽減時間が短縮 さ れます。 し たがっ て、 ク ロ ッ ク 周期はな る べ く 短 く する こ と を推奨 し ま
す。 目的の周期が タ ーゲ ッ ト デバ イ ス の能力を超え る 場合、 警告が表示 さ れます。
エ ラ ー分類のために外部デー タ を フ ェ ッ チす る デー タ 取得 イ ン タ ーフ ェ イ ス が必要なデザ イ ンでは、 さ ら に別の考
慮事項が存在 し ます。 サンプル デザ イ ンは コ ン ト ロ ー ラ ーに同期 し た外部 メ モ リ イ ン タ ーフ ェ イ ス を イ ンプ リ メ ン
ト し ます。 こ のため、 外部 メ モ リ のサ イ ク ル時間 も コ ン ト ロ ー ラ ーの ク ロ ッ ク 周波数に よ っ て決ま り ます。 外部 メ
モ リ の最小サ イ ク ル時間が コ ン ト ロ ー ラ ーの最大 ク ロ ッ ク 周波数を制限す る 要因にな る ため、 外部 メ モ リ シ ス テ ム
を解析 し て こ の最小サ イ ク ル時間を決定す る 必要があ り ます。
こ の解析の実行方法は、 第 3 章の 「 イ ン タ ーフ ェ イ ス」 で説明 し てい ます。 ただ し こ の解析には、 イ ンプ リ メ ン
テーシ ョ ン結果か ら 得た タ イ ミ ン グ デー タ が必要です。 し たがっ て、 ザ イ リ ン ク ス では次の手順を推奨 し てい ます。
1.
目的の周波数ま たは ク ロ ッ ク 周期設定で ソ リ ュ ーシ ョ ン を生成す る 。
2.
イ ンプ リ メ ン テーシ ョ ン結果か ら 必要な タ イ ミ ン グ デー タ を抽出する 。
3.
タ イ ミ ン グ バジ ェ ッ ト 解析を実行 し て最大周波数を決定する 。
4.
計算で求めた最大動作周波数 と 同 じ かそれ以下の周波数で ソ リ ュ ーシ ョ ン を再生成する 。
注記 : 評価ボー ド を タ ーゲ ッ ト に し た場合、 [Controller Clock Period] のデフ ォ ル ト 値にはサンプル デザ イ ンの ピ ン配
置に基づいた ク ロ ッ ク 周波数が自動的に選択 さ れます。
[Structural Options]
必要な ICAP お よ び FRAME_ECC プ リ ミ テ ィ ブ を IP に対 し て ど こ に位置 さ せ る か を決定 し ます。 コ ン フ ィ ギ ュ レー
シ ョ ン プ リ ミ テ ィ ブ ブ ロ ッ ク を IP サンプル デザ イ ンに含め る (IP のサンプル デザ イ ン と 一緒に生成す る ) か、 IP の
範囲に含め る (ア ウ ト オブ コ ン テ キ ス ト (OOC) デザ イ ン チ ェ ッ ク ポ イ ン ト に含め る ) かを選択で き ます。
SEM Controller IP は、 付属す る IP ヘルパー ブ ロ ッ ク お よ びプ リ ミ テ ィ ブ を使用 し て検証 さ れてい ます。 詳細は、
第 3 章の 「構造オプシ ョ ン」 を参照 し て く だ さ い。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
78
japan.xilinx.com
第 4 章 : デザイ ン フ ローの手順
[Advanced Mitigation] タ ブ
X-Ref Target - Figure 4-2
図 4-2 : SEM Controller の [Advanced Mitigation] タ ブ
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
79
japan.xilinx.com
第 4 章 : デザイ ン フ ローの手順
[Enable Error Classification]
エ ラ ー分類機能の有効/無効を こ のチ ェ ッ ク ボ ッ ク ス で設定 し ます。 エ ラ ー分類は、 軽減 (+ テ ス ト ) モー ド でエ ラ ー
訂正を有効に し た場合に利用で き ます。
エ ラ ー分類機能は、 検出お よ び訂正 さ れた ソ フ ト エ ラ ーがユーザー デザ イ ンの機能に影響 し たか ど う かをザ イ リ ン
ク ス のエ ッ セ ン シ ャ ル ビ ッ ト テ ク ノ ロ ジ を利用 し て判定 し ます。
エ ッ セ ン シ ャ ル ビ ッ ト と は、 デザ イ ンの回路に関係する ビ ッ ト をいい ます。 エ ッ セ ン シ ャ ル ビ ッ ト が変化す る と 、
デザ イ ンの回路が変化 し ます。 し か し 必ず し も デザ イ ンの機能に影響す る と は限 り ません。
ど のビ ッ ト がエ ッ セ ン シ ャ ルかが不明な場合、 シ ス テ ムは検出 し た ソ フ ト エ ラ ーのすべてがデザ イ ンの正確 さ に影
響 し た と 見なす必要があ り ます。 多 く の場合、 シ ス テ ム レベルでエ ラ ー軽減を実行する と 、 FPGA コ ン フ ィ ギ ュ
レーシ ョ ンが修復 さ れてデザ イ ンの リ セ ッ ト ま たは再起動が完了す る ま でサービ ス が中断ま たは低下 し ます。
し か し Vivado Bitstream Generator の レ ポー ト でデザ イ ンの動作に影響す る エ ッ セ ン シ ャ ル ビ ッ ト が コ ン フ ィ ギ ュ レー
シ ョ ン メ モ リ 全体の 20% であ る と 報告 さ れた場合、 シ ス テ ム レベルのエ ラ ー軽減が必要なのは 10 回の ソ フ ト エ
ラ ーの う ち平均 2 回 し かあ り ません。 エ ラ ー分類機能は、 ソ フ ト エ ラ ー イ ベン ト が コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ
のエ ッ セ ン シ ャ ル ビ ッ ト 位置で発生 し たか ど う か をル ッ ク ア ッ プ テーブルを利用 し て判定 し ます。 こ の機能を使用
す る と デザ イ ンの実効 FIT が改善 し ます。 ただ し エ ラ ー分類を有効にす る と 、 ル ッ ク ア ッ プ テーブルを格納する た
めの外部ス ト レージが必要にな り ます。
エ ラ ー分類を有効にす る と 、 コ ン ト ロ ー ラ ーが外部デー タ を取得す る ための イ ン タ ーフ ェ イ ス と し て フ ェ ッ チ イ ン
タ ーフ ェ イ ス が生成 さ れ、 コ ン ポーネ ン ト シ ン ボルに も 表示 さ れます。 付属のサンプル デザ イ ンでは、 フ ェ ッ チ イ
ン タ ーフ ェ イ ス を外部 SPI フ ラ ッ シ ュ に接続する ブ リ ッ ジが必要です。 こ のため、 サンプル デザ イ ンには SPI フ
ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク が含まれてい ます。
エ ラ ー分類を有効に し た場合、 検出 し たエ ラ ーの訂正が完了す る と 、 コ ン ト ロ ー ラ ーはル ッ ク ア ッ プ テーブルを参
照 し てエ ラ ー位置を特定 し ます。 こ のテーブルの情報に基づ き 、 コ ン ト ロ ー ラ ーはエ ラ ーがエ ッ セ ン シ ャ ルか非
エ ッ セ ン シ ャ ルか を報告 し ます。 検出 し たエ ラ ーを訂正で き ないのは、 エ ラ ー位置を特定で き ないためです。 し た
がっ て、 訂正不能なエ ラ ーはエ ッ セ ン シ ャ ルか ど う かをル ッ ク ア ッ プ テーブルで判定で き ないため、 安全のために
すべてのエ ラ ーを エ ッ セ ン シ ャ ル と し て報告 し ます。
同様に、 エ ラ ー分類を無効に し た場合 も コ ン ト ロ ー ラ ーはエ ラ ーがエ ッ セ ン シ ャ ルか ど う かを判定で き ないため、
すべてのエ ラ ーを無条件にエ ッ セ ン シ ャ ル と し て報告 し ます。
注記 : こ のオプシ ョ ンは、 評価ボー ド を タ ーゲ ッ ト に し た場合には利用で き ません。 ま た、 UltraScale+™ デバ イ ス を
タ ーゲ ッ ト に し た場合、 こ のオプシ ョ ンは現在サポー ト さ れてい ません。
ヒ ン ト : エ ラ ー分類は必ず し も コ ン ト ロ ー ラ ーで実行する 必要はあ り ません。 コ ン ト ロ ー ラ ーに よ る エ ラ ー分類を無
効に し 、 イ ンプ リ メ ン テーシ ョ ン ツールに よ っ て提供 さ れ る エ ッ セ ン シ ャ ル ビ ッ ト デー タ と エ ラ ー位置情報を利用
し てシ ス テ ム内の別のブ ロ ッ ク でエ ラ ー分類を実行す る こ と も で き ます。 エ ラ ー位置情報は、 コ ン ト ロ ー ラ ーがモ
ニ タ ー イ ン タ ーフ ェ イ ス か ら 出力す る エ ラ ー レ ポー ト に含まれます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
80
japan.xilinx.com
第 4 章 : デザイ ン フ ローの手順
[Summary] タ ブ
X-Ref Target - Figure 4-3
図 4-3 : SEM Controller の [Summary] タ ブ
[Summary] タ ブで IP コ ン フ ィ ギ ュ レーシ ョ ンのサマ リ をチ ェ ッ ク し 、 各オプシ ョ ンが正 し く 設定 さ れてい る か を確
認 し ます。 設定が正 し く ない場合は前の タ ブに戻っ て設定を変更 し ます。 すべてのオプシ ョ ン設定が正 し い こ と を
確認 し た ら 、 [OK] を ク リ ッ ク し て IP のカ ス タ マ イ ズ を終了 し ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
81
japan.xilinx.com
第 4 章 : デザイ ン フ ローの手順
ユーザー パラ メ ー タ ー
表 4-1 に、 Vivado IDE の フ ィ ール ド と ユーザー パ ラ メ ー タ ーの対応関係を示 し ます。 ユーザー パ ラ メ ー タ ーは Tcl
コ ン ソ ールに表示で き ます。
表 4-1 : Vivado IDE のパラ メ ー タ ー と ユーザー パラ メ ー タ ーの対応
Vivado IDE のパラ メ ー タ ー
ユーザー パラ メ ー タ ー
デ フ ォル ト 値
[Mode]
c_feature_set、 c_has_error injection
Mitigation and Testing
[Controller Clock Period]
N/A
125,000ps
[ICAP and FRAME_ECC placement]
c_config_prim_loc
Example design
[Enable Error Classification]
c_feature_set
FALSE
出力の生成
詳細は、 『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896) [参照 5] を参照 し て く だ さ い。
コ アへの制約
こ のセ ク シ ョ ンでは、 こ の コ アに適用 さ れ る 制約について説明 し ます。
必須の制約
SEM Controller と シ ス テ ム レベル サンプル デザ イ ンがパフ ォーマ ン ス要件を満た し た機能結果を得る には、 物理的
な イ ンプ リ メ ン テーシ ョ ン制約を指定す る 必要があ り ます。 こ れ ら の制約は、 シ ス テ ム レベル サンプル デザ イ ン生
成時に XDC フ ァ イ ル と し て作成 さ れます。
一貫 し た イ ンプ リ メ ン テーシ ョ ン結果を得 る には、 ソ リ ュ ーシ ョ ンに付属の XDC フ ァ イ ルを使用する 必要があ り ま
す。 XDC ま たは特定の制約の定義お よ び使用法については、 Vivado Design Suite の資料ページにあ る 『制約ガ イ ド 』
を参照 し て く だ さ い。
こ の ソ リ ュ ーシ ョ ン を よ り 大規模なプ ロ ジ ェ ク ト に統合す る 場合、 ま たはシ ス テ ム レベル サンプル デザ イ ンに変更
を加えた場合、 制約の変更が必要 と な る こ と があ り ます。 制約の変更は、 各制約を十分に理解 し た う えで行っ て く
だ さ い。 ま た、 提供 さ れてい る 制約を規定か ら 逸脱 し た形で使用 し たデザ イ ンに対 し てはサポー ト を提供 し てお り
ません。
XDC (ザイ リ ン ク ス デザイ ン制約) フ ァ イルの内容
XDC フ ァ イ ルは ソ リ ュ ーシ ョ ン を生成す る たびに作成 さ れます。 XDC フ ァ イ ルの全体的な構造 と 制約シーケ ン ス は
毎回同 じ ですが、 その内容は生成時に設定 し たオプシ ョ ンに よ り 異な り ます。 こ こ か ら は、 Kintex™ UltraScale™ デ
バ イ スへの イ ンプ リ メ ン テーシ ョ ン を例に、 XDC フ ァ イ ルの構造 と 制約シーケ ン ス について説明 し ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
82
japan.xilinx.com
第 4 章 : デザイ ン フ ローの手順
コ ン ト ロー ラ ーの制約
コ ン ト ロ ー ラ ーは、 単体で考えた場合、 生成時のオプシ ョ ン設定にかかわ ら ず完全同期デザ イ ンです。 基本的に、
必要な制約はシ ス テ ム ク ロ ッ ク 入力に対する ク ロ ッ ク 周期制約のみです。 ジ ェ ネ リ ッ ク XDC では、 こ の制約はシ ス
テ ム レベル サンプル デザ イ ン ク ロ ッ ク 入力に適用 さ れ、 コ ン ト ロ ー ラ ーに伝搬 し ます。 こ の制約については、 「サ
ンプル デザ イ ンの制約」 で説明 し ます。
コ ン ト ロ ー ラ ー と FPGA コ ン フ ィ ギ ュ レーシ ョ ン シ ス テ ム プ リ ミ テ ィ ブの間の信号パ ス は同期パ ス と 見なす必要が
あ り ます。 デフ ォ ル ト では、 ICAP ま たは FRAME_ECC プ リ ミ テ ィ ブ と コ ン ト ロ ー ラ ーの間のパ ス はシ ス テ ム ク
ロ ッ ク に対す る ク ロ ッ ク 周期制約の一部 と し て解析 さ れます。 こ れは、 ICAP お よ び FRAME_ECC ク ロ ッ ク ピ ン を
同 じ シ ス テ ム ク ロ ッ ク 信号に接続す る 必要があ る ためです。
ただ し ICAP ポー ト の非同期出力信号の PRERROR、 PRDONE、 お よ び AVAIL ピ ンは例外です。 こ れ ら の信号は内部
で IP に同期 し てお り 、 こ れ ら の タ イ ミ ン グ パ ス を無視する には追加の制約が必要です。 「サンプル デザ イ ンの制約」
の set_false_path 制約の リ ス ト を参照 し て く だ さ い。
一般に、 コ ン ト ロ ー ラ ー と 接続す る ICAP はその SLR の最上位 ICAP に配置す る 必要があ り ます。 モ ノ リ シ ッ ク デ
バ イ ス の場合、 指示子な し で ツールが ICAP と FRAME_ECC を正 し く 配置する ため、 特別な配置制約は必要あ り ま
せん。
SSI デバ イ ス の場合、 ICAP と FRAME_ECC を正 し い SLR に配置する にはツールで制約を指定する 必要があ り ます。
「サンプル デザ イ ンの制約」 の set_property 制約の リ ス ト を参照 し て く だ さ い。
サン プル デザイ ンの制約
サンプル デザ イ ンの制約は制約の タ イ プ と イ ン タ ーフ ェ イ ス ご と に ま と め ら れてい ます。
タ イ ミ ング制約
こ のグループの最初の制約は、 デザ イ ン全体に対す る シ ス テ ム ク ロ ッ ク 入力への制約です。 PERIOD 制約の値は、
生成時に設定 し たオプシ ョ ンに よ り 異な り ます。
create_clock -name clk -period 125.0 [get_ports clk]
こ の次に、 ICAP ポー ト の非同期出力信号を無視す る ための制約が続 き ます。
set_false_path -from [get_pins {example_support_wrapper/example_support/example_cfg/
cfg_icape3/CLK}] -to [get_pins {example_support_wrapper/example_support/sem_controller/
inst/controller/controller_synchro_icap_prerror/sync_a/D}]
set_false_path -from [get_pins {example_support_wrapper/example_support/example_cfg/
cfg_icape3/CLK}] -to [get_pins {example_support_wrapper/example_support/sem_controller/
inst/controller/controller_synchro_icap_prdone/sync_a/D}]
set_false_path -from [get_pins {example_support_wrapper/example_support/example_cfg/
cfg_icape3/CLK}] -to [get_pins {example_support_wrapper/example_support/sem_controller/
inst/controller/controller_synchro_icap_avail/sync_a/D}]
こ のグループの 2 番目の制約は UART ヘルパー ブ ロ ッ ク に関す る も ので、 イ ン タ ーフ ェ イ ス に I/O タ イ ミ ン グ制約
を適用 し ます。 I/O タ イ ミ ン グ制約は 1 ク ロ ッ ク 周期に設定 し ます。
set_input_delay -clock clk -max -125.0 [get_ports uart_rx]
set_input_delay -clock clk -min 250.0 [get_ports uart_rx]
set_output_delay -clock clk -125.0 [get_ports uart_tx] -max
set_output_delay -clock clk 0 [get_ports uart_tx] -min
こ のグループの 3 番目の制約は SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク に対す る も のです。 こ れ ら の制約は、 エ
ラ ー分類機能を有効に し て こ のヘルパー ブ ロ ッ ク を生成 し た場合のみ存在 し ます。 こ れ ら の制約は、 イ ン タ ーフ ェ
イ ス に I/O タ イ ミ ン グ制約を適用 し ます。SPI バ ス タ イ ミ ン グ バジ ェ ッ ト の解析では実際の タ イ ミ ン グ を使用す る 必
要があ る ため、 I/O タ イ ミ ン グは非常に重要です。 ただ し イ ンプ リ メ ン ト し た FPGA の I/O タ イ ミ ン グに対す る ハー
ド 要件はあ り ません。 こ れ ら の要件は選択 し たデバ イ スお よ びス ピー ド グ レー ド に よ り 異な り ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
83
japan.xilinx.com
第 4 章 : デザイ ン フ ローの手順
こ のため、 I/O タ イ ミ ン グ制約は暫定的に PERIOD 制約の 2 倍に設定 し ます。 IOB フ リ ッ プ フ ロ ッ プ を使用す る 制約
を追加す る と 、 制約の値が示す よ り も I/O タ イ ミ ン グが大幅に改善 し ます。 SPI バ ス タ イ ミ ン グ バジ ェ ッ ト の解析で
は、 制約の値ではな く タ イ ミ ン グ レ ポー ト か ら 取得 し た実際の タ イ ミ ン グ を使用 し ます。
set_input_delay -clock clk -max -125.0 [get_ports spi_q]
set_input_delay -clock clk -min 250.0 [get_ports spi_q]
set_output_delay -clock clk -125.0 [get_ports spi_c] -max
set_output_delay -clock clk 0 [get_ports spi_c] -min
set_output_delay -clock clk -125.0 [get_ports spi_d] -max
set_output_delay -clock clk 0 [get_ports spi_d] -min
set_output_delay -clock clk -125.0 [get_ports spi_s_n] -max
set_output_delay -clock clk 0 [get_ports spi_s_n] -min
set_property IOB TRUE [get_cells example_support_wrapper/example_support/
example_spi/example_spi_byte/spi_c_ofd]
set_property IOB TRUE [get_cells example_support_wrapper/example_support/
example_spi/example_spi_byte/spi_d_ofd]
set_property IOB TRUE [get_cells example_support_wrapper/example_support/
example_spi/example_spi_byte/spi_q_ifd]
set_property IOB TRUE [get_cells example_support_wrapper/example_support/
example_spi/spi_s_ofd]
配置制約
次のグループは、 シ ス テ ム レベル サンプル デザ イ ンの一部を選択 し たデバ イ ス の特定領域に配置する pblock を実装
し ます。 pblock に含まれ る イ ン ス タ ン ス は、 生成時に設定 し たオプシ ョ ンに よ り 異な り ます。 範囲の値は、 使用す
る デバ イ ス に よ り 異な り ます。
pblock に よ り 、 ソ フ ト エ ラ ー軽減 ロ ジ ッ ク はデバ イ ス の ICAP サ イ ト に物理的に隣接 し た領域にパ ッ ク し て配置 さ
れます。 特に重要な点 と し て、 こ れに よ り タ イ ミ ン グ結果の再現性が維持 さ れます。 ま た、 pblock は よ り コ ンパ ク
ト なパ ッ ク が可能なため リ ソ ース使用量が抑え ら れます。
付属の pblock はサンプル と し て提供 さ れてい ます。 こ の pblock のサ イ ズ を さ ら に コ ンパ ク ト に し て リ ソ ース使用量
を抑え、 SEM Controller の物理的フ ッ ト プ リ ン ト を削減す る こ と を推奨 し ます。
create_pblock sem
resize_pblock [get_pblocks sem] -add {SLICE_X82Y75:SLICE_X87Y89}
resize_pblock [get_pblocks sem] -add {RAMB36_X8Y14:RAMB36_X8Y17}
resize_pblock [get_pblocks sem] -add {DSP48E2_X15Y30:DSP48E2_X15Y35}
add_cells_to_pblock -pblock sem -cells [get_cells example_support_wrapper/
example_support/example_spi/*]
add_cells_to_pblock -pblock sem -cells [get_cells example_support_wrapper/
example_support/example_uart/*]
add_cells_to_pblock -pblock sem -cells [get_cells example_support_wrapper/
example_support/sem_controller/*]
ま た、 FRAME_ECC と ICAP の配置を最上位 ICAP に強制す る ために次の制約 も 含まれてい ますが、 こ れはモ ノ リ
シ ッ ク デバ イ ス の場合は不要です (指示子な し で ツールが正 し く 配置す る )。
# Force FRAME_ECC to the site in this SLR.
set_property LOC CONFIG_SITE_X0Y0 [get_cells example_support_wrapper/
example_support/example_cfg/cfg_frame_ecce3]
# Force ICAP to the site in this SLR.
set_property LOC CONFIG_SITE_X0Y0 [get_cells example_support_wrapper/
example_support/example_cfg/cfg_icape3]
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
84
japan.xilinx.com
第 4 章 : デザイ ン フ ローの手順
ピ ン制約
次のグループは、 I/O ピ ン ロ ケーシ ョ ン を シ ス テ ム レベル サンプル デザ イ ンの最上位ポー ト に割 り 当て る ためのテ
ンプ レー ト です。 こ れ ら の割 り 当てはボー ド ご と に異な る ため、 自動的には生成で き ません。 ただ し サポー ト さ れ
る 評価ボー ド (KCU105 評価ボー ド ) を タ ーゲ ッ ト に し てデザ イ ン を生成 し た場合は こ の限 り ではあ り ません。 こ の
場合、 ピ ン ロ ケーシ ョ ンは タ ーゲ ッ ト ボー ド と 互換性があ り ます。
それ以外の場合は、 タ ーゲ ッ ト ボー ド に合わせて有効な I/O ピ ン ロ ケーシ ョ ンお よ び規格を割 り 当て、 次に示す制
約を適用 し て く だ さ い。
set_property IOSTANDARD <io standard> [get_ports clk]
set_property PACKAGE_PIN <package pin> [get_ports clk]
set_property IOSTANDARD <io standard> [get_ports uart_rx]
set_property PACKAGE_PIN <package pin> [get_ports uart_rx]
set_property IOSTANDARD <io standard> [get_ports uart_tx]
set_property PACKAGE_PIN <package pin> [get_ports uart_tx]
set_property IOSTANDARD <io standard> [get_ports spi_q]
set_property PACKAGE_PIN <package pin> [get_ports spi_q]
set_property IOSTANDARD <io standard> [get_ports spi_c]
set_property PACKAGE_PIN <package pin> [get_ports spi_c]
set_property IOSTANDARD <io standard> [get_ports spi_d]
set_property PACKAGE_PIN <package pin> [get_ports spi_d]
set_property IOSTANDARD <io standard>8 [get_ports spi_s_n]
set_property PACKAGE_PIN <package pin> [get_ports spi_s_n]
エ ッ セ ン シ ャル ビ ッ ト 情報の生成
エ ッ セ ン シ ャ ル ビ ッ ト 情報を生成す る には次の制約が必要です。 こ の制約は、 エ ラ ー分類を有効に し た場合のみ使
用 し ます。
set_property bitstream.seu.essentialbits yes [current_design]
SSI デバイ スの制約
シ ス テ ム レベル サンプル デザ イ ンでは、 デバ イ ス に よ っ て 2 ~ 3 個の コ ン ト ロ ー ラ ー イ ン ス タ ン ス が生成 さ れま
す。 こ れ ら の コ ン ト ロ ー ラ ー イ ン ス タ ン ス には、 0 ~ 2 の ID 番号を含む名前が付け ら れます。 コ ン ト ロ ー ラ ー イ ン
ス タ ン ス の番号は、 ハー ド ウ ェ ア SLR 番号 と 同 じ です。
ICAP お よ び FRAME_ECC プ リ ミ テ ィ ブが ツールに よ っ て各 SLR に正 し く 配置 さ れ る よ う にす る には、 次の制約を
適用す る 必要があ り ます ( こ の例は SLR2 の場合の も の)。
## Force FRAME_ECC to the site in SLR 2.
set_property LOC CONFIG_SITE_X0Y2 [get_cells example_support_wrapper/
example_support/slr2_example_cfg/cfg_frame_ecce3]
## Force ICAPs to the site in SLR 2.
set_property LOC CONFIG_SITE_X0Y2 [get_cells example_support_wrapper/
example_support/slr2_example_cfg/cfg_icape3]
各 コ ン ト ロ ー ラ ーは、 各 SLR の関連す る コ ン フ ィ ギ ュ レーシ ョ ン ロ ジ ッ ク プ リ ミ テ ィ ブの近 く に集中 し て配置 さ れ
る よ う にエ リ ア制約が適用 さ れます。 こ れは非 SSI デバ イ ス の制約 と ほぼ同 じ も ので、 こ れ ら をすべての SLR に繰
り 返 し 適用 し ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
85
japan.xilinx.com
第 4 章 : デザイ ン フ ローの手順
UART お よ び SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク な ど の共有ブ ロ ッ ク も 、 デバ イ ス中央のマ ス タ ー SLR に配
置 さ れ る よ う にエ リ ア制約が適用 さ れます。
デバイ ス、 パ ッ ケージ、 ス ピー ド グ レー ド
こ のセ ク シ ョ ンは、 こ の IP コ アには適用 さ れません。
ク ロ ッ ク周波数
こ のセ ク シ ョ ンは、 こ の IP コ アには適用 さ れません。
ク ロ ッ ク管理
こ のセ ク シ ョ ンは、 こ の IP コ アには適用 さ れません。
ク ロ ッ ク配置
こ のセ ク シ ョ ンは、 こ の IP コ アには適用 さ れません。
シ ミ ュ レーシ ョ ン
Vivado シ ミ ュ レーシ ョ ン コ ン ポーネ ン ト について、 ま たサポー ト さ れてい る サー ド パーテ ィ ツールについては、
『Vivado Design Suite ユーザー ガ イ ド : ロ ジ ッ ク シ ミ ュ レーシ ョ ン』 (UG900) [参照 3] を参照 し て く だ さ い。
コ ン ト ロ ー ラ ーを イ ン ス タ ン シエー ト し たデザ イ ンのシ ミ ュ レーシ ョ ンがサポー ト さ れます。 つま り 、 コ ン ト ロ ー
ラ ーを大規模なプ ロ ジ ェ ク ト に統合 し た場合、 コ ン ト ロ ー ラ ーに関係 し ない機能のシ ミ ュ レーシ ョ ンは通常どお り
実行で き ます。 ただ し シ ミ ュ レーシ ョ ンでは コ ン ト ロ ー ラ ーの動作は観察で き ません。 コ ン ト ロ ー ラ ーを含むデザ
イ ンのシ ミ ュ レーシ ョ ンは コ ンパ イ ル さ れますが、 コ ン ト ロ ー ラ ーは初期化ス テー ト を終了 し ません。 コ ン ト ロ ー
ラ ー動作のハー ド ウ ェ アベース の評価が必要です。
合成および イ ン プ リ メ ン テーシ ョ ン
SEM コ アは付属のサンプル デザ イ ン と 一緒に合成お よ び イ ンプ リ メ ン ト し て く だ さ い。 詳細は、 第 5 章の 「 イ ンプ
リ メ ン テーシ ョ ン」 を参照 し て く だ さ い。
Vivado Design Suite を使用 し た合成お よ び イ ンプ リ メ ン テーシ ョ ンの詳細は、 『Vivado Design Suite ユーザー ガ イ ド :
IP を使用 し た設計』 (UG896) [参照 5] を参照 し て く だ さ い。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
86
japan.xilinx.com
第 4 章 : デザイ ン フ ローの手順
統合およびバ リ デーシ ョ ン
複雑なシ ス テ ム を開発す る 場合のベス ト プ ラ ク テ ィ ス と し て、 主要な フ ァ ン ク シ ョ ン ブ ロ ッ ク と イ ン タ ーフ ェ イ ス
を な る べ く 早い段階で統合 し 、 継続的にバ リ デーシ ョ ン を実行す る こ と が重要です。 開発サ イ ク ルの終盤にな っ て
デザ イ ン を大幅に変更す る こ と (統合の遅れ) や、 シ ス テ ム パフ ォーマ ン ス の計測を先延ば し する こ と (バ リ デー
シ ョ ンの遅れ) は、 リ ス ク を増大 さ せます。
統合 と バ リ デーシ ョ ンの主要な ス ケ ジ ュ ールはプ ロ ジ ェ ク ト のプ ラ ン ニ ン グ段階で決定 し てお く こ と 、 そ し て開発
サ イ ク ル全体を通 じ てシ ス テ ムの機能 と パフ ォーマ ン ス を計画的にチ ェ ッ ク し て こ れ ら の ス ケ ジ ュ ールをサポー ト
す る こ と が重要です。 で き る だけ早期に統合を開始 し 、 可能な限 り 多 く の機能を段階的に追加 し てい く と 、 代表的
な ワー ク ロ ー ド でのシ ス テ ム評価の時間を最大限に確保で き ます。 こ の手法は、 デザ イ ン再利用 と IP ベース設計を
促進す る 一般的なボ ト ム ア ッ プ設計アプ ロ ーチを補完す る も の と し て推奨 さ れます。(1)
SEM IP コ アの統合
SEM IP コ アはプ ロ グ ラ マブル ロ ジ ッ ク の フ ッ ト プ リ ン ト をわずか し か占有 し ませんが、 プ ロ グ ラ マブル ロ ジ ッ ク の
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ シ ス テ ム を活性化 し ます。 コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ シ ス テ ムの動作は通常
の SRAM と ほ と ん ど同 じ ですが、 プ ロ グ ラ マブル ロ ジ ッ ク ア レ イ 全体に物理的に分散 し てい る 点が異な り ます。 デ
バ イ ス内のほかのデジ タ ル ス イ ッ チン グ ア ク テ ィ ビ テ ィ 同様、 コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ シ ス テ ムのア ク
テ ィ ビ テ ィ も 電力 ノ イ ズ を発生 さ せます。
SEM IP コ アがサポー ト す る デバ イ ス フ ァ ミ リ では、 イ ンプ リ メ ン テーシ ョ ン要件 と 設計ガ イ ダ ン ス を守っ ていれ
ば、 コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ シ ス テ ムか ら 発生す る 電力 ノ イ ズはわずかです。
推奨 : SEM IP コ ア を シ ス テ ムに統合する 際は、 最新バージ ョ ンの コ ア を使用する と と も に、 SEM IP ソ リ ュ ーシ ョ ン
に関す る マ ス タ ー ア ンサーを参照 し て SEM IP コ アに関す る デザ イ ン ア ド バ イ ザ リ や既知の問題な ど最新の情報を
入手す る こ と を強 く 推奨 し ます。
SEM IP コ アはな る べ く 早い段階で (理想的にはプ ロ ジ ェ ク ト の最初か ら ) 統合 し て く だ さ い。SEM IP コ アは自動的に
初期化で き る ため、 最初の統合は コ ア を イ ン ス タ ン シエー ト し て ク ロ ッ ク を接続 し 、 それ以外の入力ポー ト を特定
の値に接続す る だけで完了 し ます。 こ の早期 イ ン フ ラ ス ト ラ ク チ ャ の一部 と し て SEM IP コ アのプ ロ ビ ジ ョ ニ ン グ制
御を実装 し 、 シ ス テ ムが SEM IP コ ア ク ロ ッ ク お よ び SEM IP コ アの icap_grant の イ ネーブル/デ ィ ス エーブルを行え
る よ う にす る こ と を推奨 し ます。 SEM IP コ アのシ ス テ ム プ ロ ビ ジ ョ ニ ン グに よ り 導入の柔軟性が向上す る と 同時
に、 SEM IP コ アの統合のデバ ッ グ も 容易にな り ます。
その後、 シ ス テ ム と SEM IP コ アの間で コ マ ン ド /ス テー タ ス情報を交換する ための イ ン タ ーフ ェ イ ス を定義お よ び
実装 し て統合を拡張で き ます。 こ の イ ン タ ーフ ェ イ ス には、 SEM IP コ アのモニ タ ー イ ン タ ーフ ェ イ ス を利用 し た
ASCII 通信を推奨 し ます。 UART ヘルパー ブ ロ ッ ク はシ リ アル接続の場合のみ使用 し 、 通信 FIFO を用いたパ ラ レル
接続の場合には使用 し ません。
SEM IP コ アに よ っ て報告 さ れた イ ベン ト の ロ グ を シ ス テ ムで記録 し て解析する だけな ら ス テー タ ス情報を交換する
だけで十分ですが、 エ ラ ー挿入をサポー ト す る には コ マ ン ド を交換す る 必要があ り ます。 放射線試験施設での加速
粒子テ ス ト 以外では、 SEM IP コ アの統合お よ び SEM IP コ アの イ ベン ト レ ポー ト に対す る シ ス テ ム応答を完全にテ
ス ト で き る 現実的な方法はエ ラ ー挿入 し かあ り ません。 エ ラ ー挿入は、 継続的なバ リ デーシ ョ ンにおいて も 一部の
用途で役立ち ます。
1. 『Comprehensive Full-Chip Methodology to Verify EM and Dynamic Voltage Drop on High Performance FPGA Designs in the 20nm
Technology』 (DesignCon 2014 でのプ レ ゼ ン テーシ ョ ン) [参照 6]
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
87
japan.xilinx.com
第 4 章 : デザイ ン フ ローの手順
SEM IP コ アのバ リ デーシ ョ ン
SEM IP コ ア を統合 し た シ ス テ ムでは、 SEM IP コ アの初期化ス テー ト 中の コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ シ ス テ ム
の動作は読み出 し と 書 き 込みが混在 し てい ます。 その後の監視ス テー ト では、 SEU を検出する ために コ ン フ ィ ギ ュ
レーシ ョ ン メ モ リ を常時ス キ ャ ンす る ため、 読み出 し 動作が 100% と な り ます。 地上環境におけ る ザ イ リ ン ク ス の
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ の反転率は非常に低 く (た と えばニ ュ ー ヨ ー ク 市の海抜 0m 地点では平均反転間隔は
数十年)、 SEM IP コ アが監視ス テー ト か ら 訂正ス テー ト (書き 込み動作が発生する ス テー ト ) に遷移す る こ と はほ と ん
ど あ り ません。
SEM IP コ ア を統合 し た シ ス テ ムの継続的なバ リ デーシ ョ ンでは、 SEM IP コ アの代表的な ワー ク ロ ー ド を使用 し て実
環境のシ ス テ ムに即 し たバ リ デーシ ョ ン結果が得 ら れ る よ う にす る 必要があ り ます。 シ ス テ ム シ ス テ ム プ ロ ビ ジ ョ
ニ ン グに よ っ て SEM IP コ アが初期化を完了 し て監視ス テー ト に移行する 場合、 デフ ォ ル ト の ワー ク ロ ー ド では コ ン
フ ィ ギ ュ レーシ ョ ン メ モ リ を ス キ ャ ン し て も ソ フ ト エ ラ ーはあ り ません。 こ れは作業が簡単であ る だけでな く 、 バ
リ デーシ ョ ンに適 し た代表的な ワー ク ロ ー ド と も いえ ます。
必要であれば、 SEM IP コ アのエ ラ ー挿入機能を使用 し て散発的にエ ラ ー検出お よ び訂正を ワ ー ク ロ ー ド に組み入れ
る こ と も で き ます。
重要 : 頻繁にエ ラ ーを挿入 し て大量のエ ラ ー検出およ び訂正 イ ベン ト を発生 さ せる よ う な 「ス ト レ ス テ ス ト 」 は推
奨 し ません。 こ の よ う な ス テ ィ ミ ュ ラ ス は現実に即 し てお ら ず、 シ ス テ ムの動作信頼性 と は無関係なバ リ デーシ ョ
ン結果 と な る ためです。
シ ス テ ム バ リ デーシ ョ ンは研究開発段階だけでな く 量産テ ス ト で も 継続的に実施 し て く だ さ い。 SEM IP コ アの場
合、 量産テ ス ト でのシ ス テ ム プ ロ ビ ジ ョ ニ ン グは SEM IP コ ア を有効にす る だけで よ いため、 作業負担の増加はほ と
ん ど ま たは ま っ た く あ り ません。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
88
japan.xilinx.com
第 5章
サン プル デザイ ン
こ の章では、 UltraScale™ Architecture SEM Controller のシ ス テ ム レベル サンプル デザ イ ンの概要 と 、 その イ ン タ ー
フ ェ イ ス について説明 し ます。 シ ス テ ム レベル サンプル デザ イ ンは コ ン ト ロ ー ラ ー と 各種ヘルパー ブ ロ ッ ク を カ
プセル化 し てお り 、 こ れ ら のヘルパー ブ ロ ッ ク が コ ン ト ロ ー ラ ー と ほかのデバ イ ス を接続する イ ン タ ーフ ェ イ ス と
し ての役割を果た し ます。 ヘルパー ブ ロ ッ ク には、 I/O ピ ン、 I/O イ ン タ ーフ ェ イ ス、 メ モ リ コ ン ト ロ ー ラ ー、 アプ
リ ケーシ ョ ン固有のシ ス テ ム管理 イ ン タ ーフ ェ イ ス な ど があ り ます。
シ ス テ ム レベル サンプル デザ イ ンは コ ン ト ロ ー ラ ー と 一緒に検証 さ れてい ます。 付属する シ ス テ ム レベル サンプ
ル デザ イ ンは リ フ ァ レ ン ス デザ イ ンではあ り ませんが、 ソ リ ュ ーシ ョ ン全体を構成す る 必須要素です。 シ ス テ ム レ
ベル サンプル デザ イ ンはユーザーが自由に変更で き ますが、 その ま ま使用す る こ と を推奨 し ます。
機能
シ ス テ ム レベル サンプル デザ イ ンは大 き く 次の 2 つの機能グループに分け ら れます。
•
サポー ト レ イ ヤー (<component_name>_support)
•
サンプル レ イ ヤー (<component_name>_example_design)
サポー ト レ イ ヤー と そのサブ レ イ ヤーには、 ソ フ ト エ ラ ー軽減 ソ リ ュ ーシ ョ ンに必須の ロ ジ ッ ク がすべて含まれま
す。 こ れには、 次の ロ ジ ッ ク の イ ン ス タ ン シエーシ ョ ンが含まれます。
•
コ ン ト ロ ー ラ ーを外部デバ イ ス に接続す る ために必要なヘルパー ブ ロ ッ ク
°
°
UART ヘルパー ブ ロ ッ ク : コ ン ト ロ ー ラ ー と 標準 RS-232 ポー ト を接続す る ブ リ ッ ジの役割を果た し ます。
こ のブ ロ ッ ク が提供す る イ ン タ ーフ ェ イ ス を使用 し て、 コ ン ト ロ ー ラ ー と の間で コ マ ン ド と ス テー タ ス情
報を交換 し ます。 こ の イ ン タ ーフ ェ イ ス は、 プ ロ セ ッ サ と 接続す る よ う に設計 さ れてい ます。
SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク : コ ン ト ロ ー ラ ー と 標準 SPI バ ス を接続する ブ リ ッ ジの役割を
果た し ます。 こ のブ ロ ッ ク が提供す る イ ン タ ーフ ェ イ ス を使用 し て、 コ ン ト ロ ー ラ ーは外部か ら デー タ を
フ ェ ッ チ し ます。 こ のヘルパー ブ ロ ッ ク は標準 SPI フ ラ ッ シ ュ に接続す る ための も ので、 分類機能を有効
に し た場合のみ存在 し ます。
•
コ ン ト ロ ー ラ ーが必要 と す る コ ン フ ィ ギ ュ レーシ ョ ン シ ス テ ム プ リ ミ テ ィ ブの イ ン ス タ ン シエーシ ョ ン。
•
コ ア シ ス テ ム ク ロ ッ ク を分配す る ための ク ロ ッ キ ン グ プ リ ミ テ ィ ブ。
SEM Controller IP は こ れ ら のブ ロ ッ ク を含めて検証 さ れてい ます。 こ の ロ ジ ッ ク をデザ イ ンに統合す る こ と が完全に
サポー ト さ れてお り 、 推奨 さ れます。
サンプル レ イ ヤーには、 サポー ト レ イ ヤーお よ びい く つかの VIO コ アが イ ン ス タ ン シエー ト さ れてい ます。 VIO コ
ア を使用す る と IP の ス テー タ ス を視覚的に観察で き 、 外部デバ イ ス に接続する 必要のない IP の入力を動的に駆動で
き ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
89
japan.xilinx.com
第 5 章 : サン プル デザイ ン
ま た、 こ のサンプル レ イ ヤーには ス テー タ ス イ ン タ ーフ ェ イ ス を監視 し て IP の正常動作を確認する ためのサンプル
ロ ジ ッ ク も 含まれます。 次の ス テー タ ス エ ラ ー信号が提供 さ れます。
•
heartbeat_timeout – こ の信号は、 SEM Controller が正 し い ス テー ト にあ る に も かかわ ら ずハー ト ビー ト 信号
が ト グルを停止 し て 1 秒を経過す る と アサー ト さ れます。
•
heartbeat_timeout_sticky – heartbeat_timeout 信号の動作を ス テ ィ ッ キーに し た も のです。 こ の信号
は、 一度アサー ト さ れ る と デザ イ ン を次に コ ン フ ィ ギ ュ レーシ ョ ンす る ま でアサー ト さ れた ま ま です。
•
status_irregular_sticky – 同 じ ク ロ ッ ク サ イ ク ルで複数の ス テー タ ス信号がアサー ト さ れ る と アサー ト
さ れ る ス テ ィ ッ キー信号です。
•
status_halt – こ の信号は、 重大エ ラ ー (すべての ス テー タ ス信号がアサー ト ) に よ っ て コ ン ト ロ ー ラ ーが停止
す る と アサー ト さ れます。
ス テー タ ス信号の監視方法については、 第 3 章の 「シ ス テ ム」 を参照 し て く だ さ い。
モ ノ リ シ ッ ク デバ イ ス の場合、 4 つの VIO IP コ アが イ ン ス タ ン シエー ト さ れてい ます。
•
<component_name>_vio_si14 – SEM Controller の ス テー タ ス イ ン タ ーフ ェ イ ス と ス テー タ ス エ ラ ー信号を
表示 し ます。
•
<component_name>_vio_so32 – フ ェ ッ チ イ ン タ ーフ ェ イ ス の fetch_tbladdr 入力を定義 し ます。 エ ラ ー
分類を有効に し た場合のみ利用で き ます。
•
<component_name>_vio_si1_so5 – ICAP アービ ト レーシ ョ ンお よ び補助 イ ン タ ーフ ェ イ ス の出力を表示 し 、
入力を定義 し ます。
•
<component_name>_vio_si1_so41 ま たは so45 – コ マ ン ド イ ン タ ーフ ェ イ ス の出力を表示 し 、 入力を定義
し ます。
SSI デバ イ ス の場合、 各 SLR に VIO コ アが 1 つずつ と 、 すべての SLR で共通の入力を駆動す る VIO コ アが 1 つあ り
ます。
•
<component_name>_vio_slr_si16_so2 – 個々の SLR の ス テー タ スお よ び ICAP アービ ト レーシ ョ ン イ ン
タ ーフ ェ イ ス のすべての出力を表示 し 、 入力を定義 し ます。
•
<component_name>_vio_generic_so44 ま たは so76 – すべての SRL に共通す る 補助、 コ マ ン ド 、 お よ び
フ ェ ッ チ イ ン タ ーフ ェ イ スへの入力を駆動 し ます。 フ ェ ッ チ イ ン タ ーフ ェ イ スへの入力はエ ラ ー分類を有効に
し た場合のみ利用可能で、 その場合出力プ ロ ーブの数は 44 か ら 76 に増え ます。
サポー ト レ イ ヤー と サンプル レ イ ヤーを組み合わせた も のを完全な SEU 軽減 ソ リ ュ ーシ ョ ン と し て評価で き ます。
シ ス テ ム レベル サンプル デザ イ ンは、 シ ス テ ム レベルの イ ン タ ーフ ェ イ ス に柔軟性を も たせ る ために提供 し てい
ます。 こ のため、 コ ン ト ロ ー ラ ー と は異な り シ ス テ ム レベル サンプル デザ イ ンは RTL ソ ース コ ー ド と し て も 提供
さ れてい ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
90
japan.xilinx.com
第 5 章 : サン プル デザイ ン
図 5-1 に、 非 SSI デバ イ ス のシ ス テ ム レベル サンプル デザ イ ンのブ ロ ッ ク 図を示 し ます。 グ レーで示 し たブ ロ ッ ク
は、 一部の コ ン フ ィ ギ ュ レーシ ョ ンにのみ存在 し ます。
X-Ref Target - Figure 5-1
6\VWHP/HYHO'HVLJQ([DPSOH
6\VWHP/HYHO6ROXWLRQVVXSSRUWBZUDSSHU
6XSSRUW/D\HUVXSSRUW
9,2
)HWFKBWEODGGU
&RQILJXUDWLRQ/RJLF
9,2
6WDWXV
,QWHUIDFHDQG
6WDWXV(UURU
6LJQDOV
,&$3
)5$0(B(&&
,QWHUIDFH
,&$3,QWHUIDFH
9,2
$X[LOLDU\DQG
,&$3$UELWUDWLRQ
,QWHUIDFHV
8$57
,QWHUIDFH
0RQLWRU,QWHUIDFH
6(0&RQWUROOHU
'&3
9,2
63,)ODVK0DVWHU
+HOSHU%ORFN
63,
,QWHUIDFH
)HWFK,QWHUIDFH
&RPPDQG
,QWHUIDFH
6\VWHP&ORFN
,QWHUIDFH
8$57+HOSHU%ORFN
)5$0(
(&&
%8)*&(
;
図 5-1 : サン プル デザイ ンのブ ロ ッ ク図 (非 SSI デバイ スの場合)
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
91
japan.xilinx.com
第 5 章 : サン プル デザイ ン
図 5-2 に、 SSI デバ イ ス のシ ス テ ム レベル サンプル デザ イ ンのブ ロ ッ ク 図を示 し ます。 グ レーで示 し たブ ロ ッ ク は、
一部の コ ン フ ィ ギ ュ レーシ ョ ンにのみ存在 し ます。
X-Ref Target - Figure 5-2
6\VWHP/HYHO'HVLJQ([DPSOH
6\VWHP/HYHO6ROXWLRQVVXSSRUWBZUDSSHU
6XSSRUW/D\HUVXSSRUW
&RQILJXUDWLRQ/RJLF
,&$3
)5$0(
(&&
&RQWUROOHU1HWOLVW
6WDWXVDQG,&$3$UELWUDWLRQ
6LJQDOV
6/5
9,2
6/5
6ODYH2SWLRQDO
&RQILJXUDWLRQ/RJLF
,&$3
63,)ODVK0DVWHU
+HOSHU%ORFN66,
63,,QWHUIDFH
)5$0(
(&&
6WDWXVDQG,&$3$UELWUDWLRQ
6LJQDOV
6/5
9,2
&RQWUROOHU1HWOLVW
8$57+HOSHU
%ORFN66,
8$57,QWHUIDFH
6/5
0DVWHU5HTXLUHG
&RQILJXUDWLRQ/RJLF
,&$3
)5$0(
(&&
&RQWUROOHU1HWOLVW
6KDUHG
9,2
6\VWHP&ORFN
,QWHUIDFH
,QSXW
3LSHOLQH
6WDWXVDQG,&$3$UELWUDWLRQ
6LJQDOV
6/5
9,2
$X[LOLDU\&RPPDQGDQG
IHWFKBWEODGGU6LJQDOV
%8)*&(
6/5
6ODYH2SWLRQDO
図 5-2 : サン プル デザイ ンのブ ロ ッ ク 図 (SSI デバイ スの場合)
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
92
japan.xilinx.com
第 5 章 : サン プル デザイ ン
ポー ト の説明
図 5-3 に、 すべてのデバ イ ス のサンプル デザ イ ンのポー ト を示 し ます。 ポー ト は 3 つのグループに ま と め ら れてい
ます。 グ レーで示 し た グループは、 エ ラ ー分類機能を有効に し た場合のみ存在 し ます。
X-Ref Target - Figure 5-3
6\VWHP&ORFN
,QWHUIDFH
8$57
,QWHUIDFH
XDUWBW[
FON
XDUWBU[
VSLBF
VSLBG
63,,QWHUIDFH
VSLBVBQ
VSLBT
図 5-3 : サン プル デザイ ンのポー ト (非 SSI デバイ スの場合)
SSI デバ イ ス では、 各 SLR に番号が付け ら れます。 こ れには、 ハー ド ウ ェ ア SLR 番号 と ソ フ ト ウ ェ ア SLR 番号の
2 種類があ り ます。
ハー ド ウ ェ ア SLR 番号は、 デバ イ ス内で SLR が コ ン フ ィ ギ ュ レーシ ョ ン さ れ る 順番を表 し ます。 マ ス タ ー SLR は常
に存在 し 、 ハー ド ウ ェ ア SLR 番号は 0 です。 それ以外の ス レーブ SLR のハー ド ウ ェ ア SLR 番号は、 基本的にマ ス
タ ー SLR か ら の距離が近い も のか ら 順に番号が割 り 当て ら れます。
SLR に配置 さ れた コ ン ト ロ ー ラ ー イ ン ス タ ン スは、 実行時にその SLR の ICAP 経由で IDCODE レ ジ ス タ を読み出 し
てハー ド ウ ェ ア SLR 番号を確認 し ます。 SSI デバ イ ス に イ ンプ リ メ ン ト さ れた コ ン ト ロ ー ラ ー と コ マ ン ド お よ びス
テー タ ス情報を交換す る 際は、 必ずハー ド ウ ェ ア SLR 番号を使用 し ます。
ソ フ ト ウ ェ ア SLR 番号は、 デバ イ ス の最下位か ら 最上位に向か っ て SLR の物理的な順番を表 し ます。 マ ス タ ー SLR
は常に存在 し ますが、 その ソ フ ト ウ ェ ア SLR 番号はデバ イ ス に よ り 異な り ます。 ソ フ ト ウ ェ ア SLR 番号はザ イ リ ン
ク ス開発ツールのデバ イ ス ビ ュ ーに表示 さ れます。
表 5-1 に、 ハー ド ウ ェ ア SLR 番号 と ソ フ ト ウ ェ ア SLR 番号の対応関係を示 し ます。
表 5-1 : デバイ スの SLR 番号
デバイ ス
KU115
VU125
VU190
VU440
ソ フ ト ウ ェ ア SLR 番号
ハー ド ウ ェ ア SLR 番号
1
1
ス レーブ
0
0
マス ター
1
1
ス レーブ
0
0
マス ター
2
2
ス レーブ
1
0
マス ター
0
1
ス レーブ
2
2
ス レーブ
1
0
マス ター
0
1
ス レーブ
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
SLR タ イ プ
93
japan.xilinx.com
第 5 章 : サン プル デザイ ン
ヒ ン ト : SLR 番号の詳細お よ び変換方法を知 ら な く て も コ ン ト ロ ー ラ ーを SSI デバ イ ス に イ ンプ リ メ ン ト す る のに支
障はあ り ません。 し か し 特定の SLR にエ ラ ーを挿入 し たい場合は こ の情報が役立ち ます。
サンプル デザ イ ンでは、 SSI デバ イ ス も モ ノ リ シ ッ ク デバ イ ス も 同 じ ポー ト です。 こ れは、 付属す る UART お よ び
SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク が各 SLR の コ ン ト ロ ー ラ ーの フ ェ ッ チお よ びモニ タ ー イ ン タ ーフ ェ イ ス
をすべて 1 つの イ ン タ ーフ ェ イ ス に ま と めて IP の管理お よ び IP と の通信に使用す る ためです。 ただ し
support_wrapper 階層のポー ト に関 し ては、 ス テー タ ス イ ン タ ーフ ェ イ ス な ど一部の イ ン タ ーフ ェ イ ス ポー ト が
バ ス と な っ てい ます (バ ス幅は SSI デバ イ ス を構成す る SLR の数)。
シ ス テ ム レベル サンプル デザ イ ンには リ セ ッ ト ポー ト はあ り ません。 コ ン ト ロ ー ラ ーが自動的に自己初期化を実
行 し ます。 次に、 コ ン ト ロ ー ラ ーは必要に応 じ てヘルパー ブ ロ ッ ク を初期化 し ます。
シ ス テ ム レベル サンプル デザ イ ンは、 clk を唯一の ク ロ ッ ク と し て使用する 完全同期デザ イ ンです。 すべての ス
テー ト エ レ メ ン ト は こ の ク ロ ッ ク の立ち上が り エ ッ ジに同期 し ます。 こ のため、 イ ン タ ーフ ェ イ ス も すべて こ の ク
ロ ッ ク の立ち上が り エ ッ ジに同期 し ます。
シ ス テ ム レベル サンプル デザ イ ンで利用可能なすべての イ ン タ ーフ ェ イ ス、 お よ びそれぞれのシ ス テ ム要件は
第 2 章 「製品仕様」 お よ び第 3 章 「 コ ア を使用す る デザ イ ン」 で詳 し く 説明 し てい ます。 詳細は次の リ ン ク 先を参
照 し て く だ さ い。
•
シ ス テ ム ク ロ ッ ク イ ン タ ーフ ェ イ ス – ポー ト の説明 (第 2 章の 「シ ス テ ム ク ロ ッ ク イ ン タ ーフ ェ イ ス」 ) お よ び
使用法 (第 3 章の 「シ ス テ ム ク ロ ッ ク イ ン タ ーフ ェ イ ス」 )
•
ス テー タ ス イ ン タ ーフ ェ イ ス – ポー ト の説明 (第 2 章の 「ス テー タ ス イ ン タ ーフ ェ イ ス」 ) お よ び使用法
(第 3 章の 「ス テー タ ス イ ン タ ーフ ェ イ ス」 )
•
コ マ ン ド イ ン タ ーフ ェ イ ス – ポー ト の説明 (第 2 章の 「 コ マ ン ド イ ン タ ーフ ェ イ ス」 ) お よ び使用法 (第 3 章の
「 コ マ ン ド イ ン タ ーフ ェ イ ス」 )
•
UART イ ン タ ーフ ェ イ ス – ポー ト の説明 (第 2 章の 「UART イ ン タ ーフ ェ イ ス」 ) お よ び使用法 (第 3 章の
「UART イ ン タ ーフ ェ イ ス」 )
•
SPI イ ン タ ーフ ェ イ ス – ポー ト の説明 (第 2 章の 「SPI イ ン タ ーフ ェ イ ス」 ) お よ び使用法 (第 3 章の 「SPI イ ン
タ ーフ ェ イ ス」 )
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
94
japan.xilinx.com
第 5 章 : サン プル デザイ ン
イ ン プ リ メ ン テーシ ョ ン
こ のサンプル デザ イ ンはデフ ォ ル ト では生成 さ れません。 こ れはユーザーか ら の要求に よ っ て生成 さ れ、 Vivado®
の新規 イ ン ス タ ン ス で開 く こ と がで き ます。 こ のためユーザー デザ イ ンはその ま ま で、 使用 さ れてい る 各種 コ アの
サンプルのみを表示お よ び変更で き ます。 サンプル デザ イ ン を生成する には、 [Design Sources] の下の XCI フ ァ イ ル
を右 ク リ ッ ク し て [Open IP Example Design] を ク リ ッ ク し ます。
合成および イ ン プ リ メ ン テーシ ョ ンの実行
合成 と イ ン プ リ メ ン テーシ ョ ンは、 Flow Navigator の該当す る オプシ ョ ン を ク リ ッ ク し てそれぞれ個別に実行で き
ま す。
ビ ッ ト ス ト リ ームの生成
オプシ ョ ンのエ ラ ー分類機能を有効に し た場合、 ビ ッ ト ス ト リ ーム を生成す る 前にエ ッ セ ン シ ャ ル ビ ッ ト を有効に
す る Tcl プ ロ パテ ィ を次の よ う に設定す る 必要があ り ます。 Tcl プ ロ パテ ィ の構文については、 第 4 章の 「エ ッ セ ン
シ ャ ル ビ ッ ト 情報の生成」 を参照 し て く だ さ い。
こ の場合、 ビ ッ ト ス ト リ ームの生成には大容量のシ ス テ ム RAM が必要です。
外部 メ モ リ プ ログ ラ ミ ング フ ァ イルの作成
エ ラ ー分類をサポー ト す る ために外部デー タ ス ト レージが必要な場合、 特別な write_bitstream 出力フ ァ イ ルを
SPI フ ラ ッ シ ュ プ ロ グ ラ ミ ン グ フ ァ イ ルにポ ス ト プ ロ セ スす る ための Tcl ス ク リ プ ト を実行 し ます。
サンプル プ ロ ジ ェ ク ト では makedata.tcl ス ク リ プ ト が生成 さ れます。 ビ ッ ト ス ト リ ームの生成が完了 し た ら 、
ス ク リ プ ト の場所を指定 し て Tcl コ ン ソ ールで こ の ス ク リ プ ト を source コ マ ン ド で実行 し ます。
source <path to example project>/<component_name>_example.srcs/sources_1/imports/
<component_name>/<component_name>/implement/makedata.tcl
sem_ultra_0_example/sem_ultra_0_example.srcs/sources_1/imports/sem_ultra_0/
sem_ultra_0/implement/makedata.tcl
次に、 イ ンプ リ メ ン テーシ ョ ン結果のデ ィ レ ク ト リ を見つけ ます。 [Design Runs] ウ ィ ン ド ウ を ク リ ッ ク し 、 実行 し
た イ ンプ リ メ ン テーシ ョ ン を選択 し 、 [Implementation Run Properties] ウ ィ ン ド ウ に表示 さ れ る Run デ ィ レ ク ト リ を確
認 し ます。 Tcl コ ン ソ ールで イ ンプ リ メ ン テーシ ョ ン結果のデ ィ レ ク ト リ に移動 し 、 write_bitstream 出力フ ァ イ
ルに対 し て makedata ス ク リ プ ト を実行 し ます。
cd <path to example project>/<component_name>_example.runs/impl_1
次に、 後述の コ マ ン ド を実行 し ます。 モ ノ リ シ ッ ク デバ イ ス の場合、 write_bitstream に よ っ て *.ebd フ ァ イ
ルが 1 つだけ生成 さ れます。 こ の フ ァ イ ル名を引数 と し て渡 し ます。 SSI デバ イ ス の場合、 デバ イ ス のすべての SLR
に対 し て *.ebd フ ァ イ ルが 1 つずつ生成 さ れます。
こ れ ら *.ebd フ ァ イ ルのエ ッ セ ン シ ャ ル ビ ッ ト デー タ を 1 つの SPI フ ラ ッ シ ュ デー タ フ ァ イ ルに ま と め る には、
すべての *.ebd フ ァ イ ル名を スペース で区切っ て引数 と し て渡 し ます。
makedata -ebd <ebd filename(s)> datafile
モ ノ リ シ ッ ク デバ イ ス の場合の例 :
makedata -ebd sem_ultra_0_example_design.ebd datafile
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
95
japan.xilinx.com
第 5 章 : サン プル デザイ ン
SSI デバ イ ス (SLR が 3 つ) の場合の例 :
makedata -ebd sem_ultra_0_example_design_0.ebd sem_ultra_0_example_design_1.ebd
sem_ultra_0_example_design_2.ebd datafile
こ の コ マ ン ド を実行す る と VMF、 BIN、 お よ び MCS フ ァ イ ルが生成 さ れます。
推奨 : makedata.tcl フ ァ イ ルは タ ーゲ ッ ト デバ イ スお よ び必要な SPI フ ラ ッ シ ュ デバ イ ス (表 3-7 参照) に応 じ て
2 種類が提供 さ れてい ます。 異な る SPI フ ラ ッ シ ュ プ ロ グ ラ ミ ン グ フ ァ イ ルを生成す る 必要があ る ため、
write_bitstream 出力フ ァ イ ル も 異な る ポ ス ト プ ロ セ ス が必要です。 makedata.tcl フ ァ イ ルは別のプ ロ ジ ェ ク
ト の も のを再利用す る のではな く 、 タ ーゲ ッ ト デバ イ ス のサンプル デザ イ ン用に生成 し た makedata.tcl フ ァ イ
ルを使用す る こ と を推奨 し ます。
外部 メ モ リ プ ログ ラ ミ ン グ フ ァ イル
エ ラ ー分類を有効に し た場合は、 エ ッ セ ン シ ャ ル ビ ッ ト のル ッ ク ア ッ プ テーブルの イ メ ージが必要です。 こ のデー
タ セ ッ ト のサ イ ズは、 タ ーゲ ッ ト デバ イ ス に よ っ て異な り ます。 デー タ セ ッ ト は write_bitstream アプ リ ケー
シ ョ ンに よ っ て生成 さ れます。
デー タ の フ ォーマ ッ ト はバ イ ナ リ で、 write_bitstream に よ っ て生成 さ れたすべてのデー タ セ ッ ト を使用する 必
要があ り ます。 外部ス ト レージはバ イ ト ア ド レ ス指定可能な も のが必要です。 fetch_tbladdr[31:0] に よ っ て
SEM Controller に指定 さ れた ア ド レ ス には、 小規模なテーブルが必要です。 デフ ォ ル ト では、
fetch_tbladdr[31:0] は 0 です。
非 SSI デバ イ ス のテーブル フ ォーマ ッ ト は次の と お り です。
•
バ イ ト 0 – エ ッ セ ン シ ャ ル ビ ッ ト デー タ バ イ ト 0 (LSB) の先頭を示す 32 ビ ッ ト ポ イ ン タ ー
•
バ イ ト 1 – エ ッ セ ン シ ャ ル ビ ッ ト デー タ バ イ ト 1 の先頭を示す 32 ビ ッ ト ポ イ ン タ ー
•
バ イ ト 2 – エ ッ セ ン シ ャ ル ビ ッ ト デー タ バ イ ト 2 の先頭を示す 32 ビ ッ ト ポ イ ン タ ー
•
バ イ ト 3 – エ ッ セ ン シ ャ ル ビ ッ ト デー タ バ イ ト 3 (MSB) の先頭を示す 32 ビ ッ ト ポ イ ン タ ー
•
残 り のバ イ ト は予約バ イ ト で、 すべて 1 を書 き 込みます。
デー タ ブ ロ ッ ク が存在 し ない場合はポ イ ン タ ーの値を 0xFFFFFFFF と し ます。 エ ッ セ ン シ ャ ル ビ ッ ト デー タ は任
意のア ド レ ス に配置で き ますが、 各デー タ ブ ロ ッ ク を連続 し て配置 し てバース ト 読み出 し で き る よ う に し てお く 必
要があ り ます。
デバ イ ス境界を越えた読み出 し バース ト をサポー ト し ない SPI フ ラ ッ シ ュ の場合、 デバ イ ス境界を ま たがない よ う
にデー タ ブ ロ ッ ク を配置す る 必要があ り ます。 た と えば集積度 256Mb を超え る SPI フ ラ ッ シ ュ の多 く は、 256Mb 境
界を越えた読み出 し バース ト をサポー ト し てい ません。
write_bitstream 出力フ ァ イ ルを ポ ス ト プ ロ セ スす る Tcl ス ク リ プ ト に よ り 、次の 3 つの フ ァ イ ルが生成 さ れます。
•
SPI フ ラ ッ シ ュ デバ イ ス プ ロ グ ラ ミ ン グ用の Intel Hex デー タ フ ァ イ ル (MCS)
•
SPI フ ラ ッ シ ュ デバ イ ス プ ロ グ ラ ミ ン グ用のバ イ ナ リ デー タ フ ァ イ ル (BIN)
•
SPI フ ラ ッ シ ュ シ ミ ュ レーシ ョ ン モデルを ロ ー ド す る ための初期化フ ァ イ ル (VMF)
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
96
japan.xilinx.com
第 6章
テ ス ト ベン チ
こ の章では、 Vivado® Design Suite で提供 さ れてい る テ ス ト ベンチについて説明 し ます。
サンプル デザ イ ンにはシ ミ ュ レーシ ョ ン テ ス ト ハーネ ス が付属 し ます。 こ のため、 UltraScale™ Architecture SEM
Controller を含むデザ イ ンの機能お よ び タ イ ミ ン グ シ ミ ュ レーシ ョ ン を標準のザ イ リ ン ク ス シ ミ ュ レーシ ョ ン フ
ロ ーを利用 し て実行で き ます。 ただ し シ ミ ュ レーシ ョ ンでは SEM Controller の動作は観察で き ません。 こ れにはハー
ド ウ ェ ア ベース の評価が必要です。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
97
japan.xilinx.com
付録 A
検証、 互換性、 相互運用性
SEM Controller と サンプル デザ イ ンは、 自動ハー ド ウ ェ ア テ ス ト ベンチな ど い く つかの方法で一緒に検証 さ れてい
ます。 こ れ ら は、 加速粒子を照射 し てバ リ デーシ ョ ンが行われ、 自然に発生 し た ラ ン ダ ム エ ラ ー イ ベン ト に ソ
リ ュ ーシ ョ ンが正 し く 対処で き る こ と も 確認 さ れます。
検証
SEM Controller の検証目標は、 製品の機能仕様を も と に決定 し てい ます。 高い製品品質を確保す る ため、 検証には
ハー ド ウ ェ ア検証 メ ソ ド ロ ジ を使用 し ます。 使用 し た手法 と ツールは次の と お り です。
•
ハー ド ウ ェ ア テ ス ト ベンチに よ る ダ イ ナ ミ ッ ク チ ェ ッ ク 。 期待 さ れ る ビヘ イ ビ アー と 実際のデザ イ ンの ビヘ イ
ビ アーを機能カバレ ッ ジで比較。
•
次に示すチ ェ ッ ク ツール ス イ ー ト に よ る ス タ テ ィ ッ ク チ ェ ッ ク 。
°
リント
°
ク ロ ッ ク ド メ イ ン ク ロ ッ シ ン グ ( ク ロ ッ ク 乗せ換え)
ハー ド ウ ェ ア検証プ ラ ッ ト フ ォームで使用 し た SPI フ ラ ッ シ ュ デバ イ ス は次の と お り です。
•
•
読み出 し 境界 256Mb のデバ イ ス
°
M25P128 (ST Microelectronics/Numonyx)
°
M25L25635E (Macronix)
°
N25Q512 (Micron)
°
N25Q00 (Micron)
読み出 し 境界のないデバ イ ス
°
MT25QL01GB (Micron)
°
MT25QL02GC (Micron)
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
98
japan.xilinx.com
付録 A : 検証、 互換性、 相互運用性
バ リ デーシ ョ ン
ハー ド ウ ェ ア バ リ デーシ ョ ンは、 製品 リ リ ース前の最後の関門 と な る 重要なテ ス ト の 1 つです。 ハー ド ウ ェ ア バ リ
デーシ ョ ンでは次のテ ス ト を実施 し て付加価値を高め ます。
•
外部 イ ン タ ーフ ェ イ ス の評価 – 外部 メ モ リ シ ス テ ムに対する タ イ ミ ン グ バジ ェ ッ ト 評価
•
統合お よ び イ ン プ リ メ ン テーシ ョ ン – 生成 さ れ る 可能性のあ る すべての コ ア ネ ッ ト リ ス ト のハー ド ウ ェ ア テ
スト
•
動作環境の堅牢性 – サポー ト さ れ る デバ イ ス リ ス ト のすべてのサブ フ ァ ミ リ のサンプル テ ス ト
適合性検査
業界標準の認証試験は定義 さ れてい ません。 生成 し た コ ア ネ ッ ト リ ス ト は、 加速粒子を照射 し た状態で検査 し ます。
こ の検査には、 次の目的があ り ます。
•
挿入以外の方法で発生 し たエ ラ ーを正 し く 検出、 訂正、 分類で き る か ど う かを確認する 。 検証では ソ リ ュ ー
シ ョ ン自体のエ ラ ー挿入機能を使用 し てお り 、 挿入以外の方法で発生 し たエ ラ ーの検出、 訂正、 分類プ ロ セ ス
はテ ス ト さ れません。 加速粒子照射テ ス ト では、 ソ リ ュ ーシ ョ ン自体の挿入機能を使用せずエ ラ ーを発生 さ せ
る こ と がで き ます。
•
エ ラ ーの検出、 訂正、 分類を含め、 ソ リ ュ ーシ ョ ンが通常の予想 さ れ る 動作を示すか ど う かを確認する 。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
99
japan.xilinx.com
付録 B
移行およびア ッ プグ レー ド
こ の付録には、 最新版の IP コ アへのア ッ プグ レー ド に関する 情報が記載 さ れてい ます。 Vivado® Design Suite でア ッ
プグ レー ド す る 場合のポー ト 変更お よ びユーザー ロ ジ ッ ク への影響 と い っ た重要な情報 も こ こ に記載 さ れてい ます。
Vivado Design Suite でのア ッ プグ レー ド
こ のセ ク シ ョ ンでは、 コ アのバージ ョ ン を ア ッ プグ レー ド す る 際に必要なユーザー ロ ジ ッ ク お よ びポー ト の変更点
について説明 し ます。
こ の コ アは v3.0 でい く つかの変更が加え ら れた結果、 それ以前のバージ ョ ンの コ ア と の ピ ン互換性が失われま し た。
こ れ ら の変更は、 新 し いエ ラ ー検出機能 (検出お よ び診断ス キ ャ ン) をサポー ト す る ために加え ら れた も のです。
v2.0 から v3.0 への変更点
廃止 さ れたパラ メ ー タ ー
コ ア v3.0 では、 次の未使用 IP パ ラ メ ー タ ーが削除 さ れま し た。
•
INTERFACE
•
ENABLE_CONFIG_SCAN
•
MEMORY_TYPE
•
MEMORY_IO_TYPE
•
LOCATE_HELPER_BLOCKS
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
100
japan.xilinx.com
付録 B : 移行およびア ッ プグレー ド
追加 さ れたポー ト
表 B-1 に、 コ ン ト ロ ー ラ ーに追加 さ れた 2 つの新 し い出力ポー ト status_detect_only お よ び
status_diagnostic_scan を示 し ます。
表 B-1 : v3.0 で追加 さ れたポー ト
I/O
ポー ト 名
説明
機能
コ ン ト ロ ー ラ ーが通常動作を継続 し てい る
こ と を確認する ために、 status_* ス テー ト 信
号を監視する ユーザー ロ ジ ッ ク に こ の信号
を統合す る 必要があ り ます。
コ ン ト ロ ー ラ ーが通常動作を継続 し てい る
こ と を確認する ために、 status_* ス テー ト 信
号を監視する ユーザー ロ ジ ッ ク に こ の信号
を統合す る 必要があ り ます。
O
status_detect_only
こ の信号は、 コ ン ト ロ ー ラ ーが検出ス キ ャ ン
を実行 し てい る 間ア ク テ ィ ブです。
O
status_diagnostic_scan
こ の信号は、 コ ン ト ロ ー ラ ーが診断ス キ ャ ン
を実行 し てい る 間ア ク テ ィ ブです。
その他の変更点
コ ア v3.0 では、 エ ラ ー検出レ ポー ト の先頭に次の 1 行が追加 さ れてい ます。
RI XX
Reserved Information
UltraScale デバイ スから UltraScale+ デバイ スへの移行
UltraScale™ デバ イ ス か ら UltraScale+™ デバ イ スへデザ イ ン を移行す る 場合、 IP を新規に生成 し 直す こ と を推奨 し ま
す。 動作やポー ト 幅が異な る ため、 それに応 じ て周辺 ロ ジ ッ ク と RTL を変更す る 必要があ り ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
101
japan.xilinx.com
付録 C
SPI バス タ イ ミ ング バジ ェ ッ ト
SPI バス ク ロ ッ ク の波形および タ イ ミ ング バジ ェ ッ ト
SPI フ ラ ッ シ ュ デバ イ ス には、 入力 ク ロ ッ ク の ス イ ッ チ特性に関する 要件があ り ます。 こ こ では、 シ ス テ ム レベル
サンプル デザ イ ンに よ っ て生成 さ れ る SPI フ ラ ッ シ ュ デバ イ ス用の ク ロ ッ ク 信号について解析 し ます。 こ の解析を
実行す る には、 ボー ド レベルのシ グナル イ ン テ グ リ テ ィ シ ミ ュ レーシ ョ ン機能が必要です。
X-Ref Target - Figure C-1
Tch
Tchcl
c
Tclch
Tcl
図 C-1 : SPI フ ラ ッ シ ュ デバイ スの入力ク ロ ッ ク要件
図 C-1 に示 し た よ う に、 SPI フ ラ ッ シ ュ デバ イ ス の入力 ク ロ ッ ク の要件 と し て次のパ ラ メ ー ターが定義 さ れてい ます。
•
Tclch = SPI バ ス ク ロ ッ ク の最大立ち上が り 時間
•
Tchcl = SPI バ ス ク ロ ッ ク の最大立ち下が り 時間
•
Tcl = SPI バ ス ク ロ ッ ク の最小 Low 時間
•
Tch = SPI バス ク ロ ッ ク の最小 High 時間
SPI バ ス の物理的な構成、 FPGA の I/O 特性、 お よ びレベル変換器を使用す る 場合はその I/O 特性に よ り 、 FPGA か ら
送信 さ れ る SPI バ ス ク ロ ッ ク 信号の立ち上が り 時間 (Trise) と 立ち下が り 時間 (Tfall) は、 SPI フ ラ ッ シ ュ デバ イ ス に到
達 し た時点で最大 と な り ます。 Trise と Tfall がそれぞれ Tclch と Tchcl の要件を満た し てい る こ と を検証す る 必要があ り
ます。 Tclch と Tchcl の要件が満た さ れていない場合、 次の よ う な対策を と る こ と がで き ます。
•
シ ス テ ム レベル サンプル デザ イ ンの SPI バ ス ク ロ ッ ク 出力に対する I/O スルー レー ト を変更する 。
•
シ ス テ ム レベル サンプル デザ イ ンの SPI バ ス ク ロ ッ ク 出力に対する I/O 駆動能力を変更す る 。
•
I/O 特性の適 し た レベル変換器に交換する 。
一般に、 Tclch と Tchcl の要件は容易に満たす こ と がで き ます。 こ れ ら の要件が存在す る のは、 シ ス テ ム レベル サンプ
ル デザ イ ンで使用 し てい る ポ イ ン ト ツー ポ イ ン ト 方式ではな く 、 多 く の負荷を接続 し たバス で立ち上が り 時間 と 立
ち下が り 時間が極端に長 く な る のを防 ぐ ためです。
シ ス テ ム レベル サンプル デザ イ ンに よ っ て生成 さ れ る SPI バ ス ク ロ ッ ク は、 入力 ク ロ ッ ク を 2 分周 し た も のです。
し たがっ て、 SPI バ ス ク ロ ッ ク の High お よ び Low 時間は名目上は Tclk と 同 じ です。 ただ し 実際の Trise お よ び Tfall を
考慮 し て、 次の要件 も 満たす必要があ り ます。
•
Tclk ≥ Trise + Tch
•
Tclk ≥ Tfall + Tcl
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
102
japan.xilinx.com
付録 C : SPI バス タ イ ミ ング バジ ェ ッ ト
例:
•
Tclch = 33ns (SPI フ ラ ッ シ ュ のデー タ シー ト よ り )
•
Tchcl = 33ns (SPI フ ラ ッ シ ュ のデー タ シー ト よ り )
•
Tcl = 3.375ns (SPI フ ラ ッ シ ュ のデー タ シー ト よ り )
•
Tch = 3.375ns (SPI フ ラ ッ シ ュ のデー タ シー ト よ り )
•
Trise = 2ns (PCB シ ミ ュ レーシ ョ ン よ り )
•
Tfall = 2ns (PCB シ ミ ュ レーシ ョ ン よ り )
こ れ ら のデー タ よ り 、 次の解析を実行 し ます。
1.
チ ェ ッ ク : Tclch ≥ Trise であ る か。 すなわち 33ns ≥ 2ns であ る か。 Yes
2.
チ ェ ッ ク : Tchcl ≥ Tfall であ る か。 すなわち 33ns ≥ 2ns であ る か。 Yes
3.
計算 : Tclk ≥ Trise + Tch よ り 、 Tclk ≥ 2ns + 3.375ns、 すなわち Tclk ≥ 5.375ns
4.
計算 : Tclk ≥ Tfall + Tcl よ り 、 Tclk ≥ 2ns + 3.375ns、 すなわち Tclk ≥ 5.375ns
立ち上が り 時間の要件は満た さ れてい ます。 Tclk に対す る こ れ ら の要件に よ り 、 SPI バ ス ク ロ ッ ク の波形お よ び タ
イ ミ ン グ バジ ェ ッ ト ではシ ス テ ム レベル サ ン プル デザ イ ン の入力 ク ロ ッ ク サ イ ク ル時間が 5.375ns 以上に制限 さ
れ ます。
SPI バス送信の波形および タ イ ミ ング バジ ェ ッ ト
SPI フ ラ ッ シ ュ デバ イ ス には、 入力 ク ロ ッ ク を基準 と し た入力デー タ の ス イ ッ チ特性に関する 要件があ り ます。 こ
こ では、 シ ス テ ム レベル サンプル デザ イ ンか ら 受信 し たデー タ を SPI フ ラ ッ シ ュ デバ イ ス でキ ャ プチ ャ す る 場合に
ついて解析 し ます。
X-Ref Target - Figure C-2
c
d
Tchdx
Tdvch
図 C-2 : SPI フ ラ ッ シ ュ デバイ スの入力デー タ キ ャ プ チ ャ 要件
図 C-2 に示 し た よ う に、 SPI フ ラ ッ シ ュ デバ イ ス がデー タ を正 し く 取 り 込むための要件 と し て次のパ ラ メ ー タ ーが
定義 さ れてい ます。
•
Tdvch = ク ロ ッ ク を基準 と し た SPI フ ラ ッ シ ュ の最小デー タ セ ッ ト ア ッ プ時間
•
Tchdx = ク ロ ッ ク を基準 と し た SPI フ ラ ッ シ ュ の最小デー タ ホール ド 時間
こ の解析は、 最小伝搬遅延を 0 と 仮定 し てい ます。 ま た、 次に示すス キ ュ ーが無視で き る も の と 仮定 し てい ます。
•
FPGA 出力フ リ ッ プ フ ロ ッ プへの入力 ク ロ ッ ク 分配の ス キ ュ ー。
•
FPGA 出力フ リ ッ プ フ ロ ッ プか ら FPGA ピ ンへの出力信号パ ス の ス キ ュ ー。
•
PCB レベル変換器のチ ャ ネル遅延の ス キ ュ ー。 こ の条件を満たすには、 ク ロ ッ ク と デー タ パ ス の レベル変換器
の遅延が一致 し ていなければな り ません。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
103
japan.xilinx.com
付録 C : SPI バス タ イ ミ ング バジ ェ ッ ト
•
PCB ト レース セグ メ ン ト 遅延の ス キ ュ ー。 こ の条件を満たすには、 ク ロ ッ ク と デー タ パ ス の ト レース遅延が一
致 し ていなけばな り ません。
•
デ ュ ーテ ィ サ イ ク ルの歪み。
SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク と PCB の イ ンプ リ メ ン テーシ ョ ン パ ラ メ ー タ ー と し て次のパ ラ メ ー タ ー
が定義 さ れてい ます。
•
Tclk = 入力 ク ロ ッ ク サ イ ク ル時間 (icap_clk)
•
Tqfpga = icap_clk を基準 と し た FPGA 出力遅延
•
Tw1 = FPGA か ら レベル変換器ま での PCB ト レース遅延
•
Tw2 = レベル変換器か ら SPI フ ラ ッ シ ュ ま での PCB ト レース遅延
•
Tdly = レベル変換器のチ ャ ネル遅延
図 C-3 に、 SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク イ ンプ リ メ ン テーシ ョ ンに よ っ て生成 さ れ る メ モ リ シ ス テ ム
の信号を示 し ます。
X-Ref Target - Figure C-3
7FON
7FON
7TISJD
7Z7GO\7Z
LFDSBFON
VSLBF
VSLBG
F
G
7GYFK 7FKG[
;
図 C-3 : 入力デー タ キ ャ プ チ ャの タ イ ミ ング
前述の仮定に よ り 、 ク ロ ッ ク と デー タ パ ス の遅延はど ち ら も 同 じ で、 PVT (プ ロ セ ス、 電圧、 温度) ば ら つ き の影響
も 同 じ です。 次の関係が成 り 立ち ます。
•
Tclk ≥ Tdvch
•
Tclk ≥ Tchdx
例:
•
Tdvch = 1.75ns (SPI フ ラ ッ シ ュ のデー タ シー ト よ り )
•
Tchdx = 2ns (SPI フ ラ ッ シ ュ のデー タ シー ト よ り )
1.
計算 : Tclk ≥ Tdvch、 すなわち Tclk ≥ 1.75ns
2.
計算 : Tclk ≥ Tchdx、 すなわち Tclk ≥ 2ns
Tclk に対す る こ れ ら の要件に よ り 、 SPI バ ス送信の波形お よ び タ イ ミ ン グ バジ ェ ッ ト ではシ ス テ ム レベル サンプル
デザ イ ンの入力 ク ロ ッ ク サ イ ク ル時間が 2ns 以上に制限 さ れます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
104
japan.xilinx.com
付録 C : SPI バス タ イ ミ ング バジ ェ ッ ト
SPI バス受信の波形および タ イ ミ ング バジ ェ ッ ト
SPI フ ラ ッ シ ュ デバ イ ス は、 入力 ク ロ ッ ク を基準 と し た出力デー タ の出力ス イ ッ チ特性が決ま っ てい ます。 こ こ で
は、 SPI フ ラ ッ シ ュ デバ イ ス か ら 受信 し たデー タ を シ ス テ ム レベル サンプル デザ イ ン でキ ャ プチ ャ す る 場合につい
て解析 し ます。
X-Ref Target - Figure C-4
c
q
Tclqv
Tclqx
Tclqv
Tclqx
図 C-4 : SPI フ ラ ッ シ ュ デバイ スの出力デー タ ス イ ッ チ特性
図 C-4 に示 し た よ う に、 SPI フ ラ ッ シ ュ デバ イ ス の出力ス イ ッ チ動作 と し て次のパ ラ メ ー タ ーが定義 さ れてい ます。
•
Tclqv = ク ロ ッ ク を基準 と し た SPI フ ラ ッ シ ュ の最大出力 Valid 時間
•
Tclqx = ク ロ ッ ク を基準 と し た SPI フ ラ ッ シ ュ の最小出力ホール ド 時間
こ の解析は、 最小伝搬遅延を 0 と 仮定 し てい ます。 ま た、 次に示すス キ ュ ーが無視で き る も の と 仮定 し てい ます。
•
FPGA 出力お よ び入力フ リ ッ プ フ ロ ッ プへの入力 ク ロ ッ ク 分配の ス キ ュ ー。
•
PCB レベル変換器のチ ャ ネル遅延の ス キ ュ ー。 こ の条件を満たすには、 ク ロ ッ ク と デー タ パ ス の レベル変換器
の遅延が一致 し ていなければな り ません。
•
デ ュ ーテ ィ サ イ ク ルの歪み。
SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク と PCB の イ ンプ リ メ ン テーシ ョ ン パ ラ メ ー タ ー と し て次のパ ラ メ ー タ ー
が定義 さ れてい ます。
•
Tclk = 入力 ク ロ ッ ク サ イ ク ル時間 (icap_clk)
•
Tqfpga = icap_clk を基準 と し た FPGA 出力遅延
•
Tsfpga = icap_clk を基準 と し た FPGA 入力セ ッ ト ア ッ プ時間
•
Thfpga = icap_clk を基準 と し た FPGA 入力ホール ド 時間
•
Tw1 = FPGA か ら レベル変換器ま での PCB ト レース遅延
•
Tw2 = レベル変換器か ら SPI フ ラ ッ シ ュ ま での PCB ト レース遅延
•
Tw3 = SPI フ ラ ッ シ ュ か ら レベル変換器ま での PCB ト レース遅延
•
Tw4 = レベル変換器か ら FPGA ま での PCB ト レース遅延
•
Tdly = レベル変換器のチ ャ ネル遅延
こ の タ イ ミ ン グ パスは SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク に対し ては 2 サ イ ク ル パス ですが、SPI フ ラ ッ シ ュ
デバ イ ス に対 し ては 1 サ イ ク ル パス です。 タ イ ミ ン グ解析では、 SPI フ ラ ッ シ ュ デバ イ ス の Clock-to-Out を組み合わ
せ遅延 と し てモデル化し ます。 FPGA 側でのセ ッ ト ア ッ プ と ホール ド の両方の要件を考慮する必要があ り ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
105
japan.xilinx.com
付録 C : SPI バス タ イ ミ ング バジ ェ ッ ト
図 C-5 と 図 C-6 に、SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク イ ンプ リ メ ン テーシ ョ ンに よ っ て生成 さ れ る メ モ リ
シ ス テ ムの信号を示 し ます。
X-Ref Target - Figure C-5
7TISJD
7Z7GO\7Z
LFDSBFON
VSLBF
F
T
VSLBT
7FOT[
7Z7GO\7Z
7KISJD
;
図 C-5 : 出力デー タ キ ャ プ チ ャ タ イ ミ ン グ (ホール ド 解析)
X-Ref Target - Figure C-6
7FON
7FON
7TISJD
7Z7GO\7Z
LFDSBFON
VSLBF
F
T
VSLBT
7FOTY
7Z7GO\7Z
7VISJD
;
図 C-6 : 出力デー タ キ ャ プ チ ャ タ イ ミ ン グ (セ ッ ト ア ッ プ解析)
ホール ド パ ス解析は合否判定です。 ホール ド パ ス解析は最小値の遅延を使用 し て計算 し 、 次の関係が成 り 立つかを
確認す る 必要があ り ます。
Thfpga ≤ Tqfpga,min + Tw1 + Tdly + Tw2 + Tclqx + Tw3 + Tdly + Tw4
遅延 Tw1、 Tw2、 Tw3、 Tw4、 お よ び Tdly に安全な最小値 と し て 0 を代入す る と 、 次式が得 ら れます。
Thfpga ≤ Tqfpga,min + Tclqx
セ ッ ト ア ッ プ パス解析は最大値の遅延を使用 し て計算する 必要があ り ます。
Tclk ≥ 0.5 × (Tqfpga,max + Tw1 + Tdly + Tw2 + Tclqv + Tw3 + Tdly + Tw4 + Tsfpga)
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
106
japan.xilinx.com
付録 C : SPI バス タ イ ミ ング バジ ェ ッ ト
例 : Vivado Design Suite、 Kintex UltraScale FPGA
•
Tclqv = 6ns (SPI フ ラ ッ シ ュ のデー タ シー ト よ り )
•
Tclqx = 1ns (SPI フ ラ ッ シ ュ のデー タ シー ト よ り )
•
Tdly = 2.8ns (レベル変換器のデー タ シー ト よ り )
•
Tw1 = 1ns (ボー ド シ ミ ュ レーシ ョ ン よ り )
•
Tw2 = 1ns (ボー ド シ ミ ュ レーシ ョ ン よ り )
•
Tw3 = 1ns (ボー ド シ ミ ュ レーシ ョ ン よ り )
•
Tw4 = 1ns (ボー ド シ ミ ュ レーシ ョ ン よ り )
FPGA タ イ ミ ン グ パ ラ メ ー タ ーは、 アプ リ ケーシ ョ ン で使用す る タ ーゲ ッ ト FPGA に イ ンプ リ メ ン ト し たシ ス テ ム
レベル サンプル デザ イ ンでの タ イ ミ ン グ レ ポー ト か ら 取得する 必要があ り ます。 必要な レ ポー ト を生成する には、
report_timing_summary に min_max オプシ ョ ン を付けて実行 し ます。
次に示す例は、 Kintex® UltraScale™ デバ イ ス に イ ンプ リ メ ン ト し た シ ス テ ム レベル サンプル デザ イ ンで生成し た タ
イ ミ ン グ レ ポー ト の抜粋です。 こ れは、 タ イ ミ ン グ レ ポー ト か ら 必要な情報を得 る ための例 と し て示 し てい ます。
レ ポー ト 内に必要な情報が見つか ら ない場合は、 レ ポー ト に含め る パ ス の最大数を増や し て く だ さ い。
タ イ ミ ン グ レ ポー ト の 「Destination: spi_c」 と 表示 さ れた場所で、 「Max at Slow Process Corner」 にあ る フ リ ッ
プ フ ロ ッ プか ら パ ッ ド ま でのパ ス解析を参照 し 、 Tqfpga の値を確認 し ます。
•
Tqfpga = I/O デー タ パ ス遅延 (spi_c)
•
Tqfpga = 1.856ns、 最大
Slack (MET):
15.037ns (required time - arrival time)
Source:
example_support_wrapper/example_support/example_spi/
example_spi_byte/spi_c_ofd/C
(rising edge-triggered cell FDRE clocked by clk {[email protected]
[email protected] period=11.111ns})
Destination:
spi_c
(output port clocked by clk {[email protected] [email protected]
period=11.111ns})
Path Group:
clk
Path Type:
Max at Slow Process Corner
Requirement:
11.111ns (clk [email protected] - clk [email protected])
Data Path Delay:
1.856ns (logic 1.476ns (79.523%) route 0.380ns (20.477%))
Logic Levels:
1 (OBUF=1)
Output Delay:
-11.111ns
Clock Path Skew:
-5.294ns (DCD - SCD + CPR)
Destination Clock Delay (DCD):
0.000ns = ( 11.111 - 11.111 )
Source Clock Delay
(SCD):
5.294ns
Clock Pessimism Removal (CPR):
0.000ns
Clock Uncertainty:
0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE
Total System Jitter
(TSJ):
0.071ns
Total Input Jitter
(TIJ):
0.000ns
Discrete Jitter
(DJ):
0.000ns
Phase Error
(PE):
0.000ns
Clock Net Delay (Source):
2.304ns (routing 0.335ns, distribution 1.969ns)
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
107
japan.xilinx.com
付録 C : SPI バス タ イ ミ ング バジ ェ ッ ト
Location
Delay type
Incr(ns) Path(ns)
Netlist Resource(s)
------------------------------------------------------------------------------------(clock clk rise edge)
0.000
0.000 r
K20
0.000
0.000 r clk (IN)
net (fo=0)
0.000
0.000
example_ibuf/I
K20
r example_ibuf/INBUF_INST/PAD
K20
INBUF (Prop_INBUF_HRIO_PAD_O)
0.805
0.805 r example_ibuf/INBUF_INST/O
net (fo=1, routed)
0.092
0.897
example_ibuf/OUT
K20
r example_ibuf/IBUFCTRL_INST/I
K20
IBUFCTRL (Prop_IBUFCTRL_HRIO_I_O)
0.043
0.940 r example_ibuf/IBUFCTRL_INST/O
net (fo=1, routed)
1.967
2.907
example_support_wrapper/
clk
BUFGCE_X1Y24
r example_support_wrapper/
example_bufg/I
BUFGCE_X1Y24
BUFGCE (Prop_BUFCE_BUFGCE_I_O)
0.083
2.990 r example_support_wrapper/
example_bufg/O
X2Y1 (CLOCK_ROOT)
net (fo=1742, routed)
2.304
5.294
example_support_wrapper/example_support/example_spi/example_spi_byte/clk
BITSLICE_RX_TX_X0Y172
FDRE
r example_support_wrapper/
example_support/example_spi/example_spi_byte/spi_c_ofd/C
------------------------------------------------------------------------------------BITSLICE_RX_TX_X0Y172
FDRE (Prop_OUT_FF_BITSLICE_COMPONENT_RX_TX_C_Q)
0.626
5.920 r example_support_wrapper/
example_support/example_spi/example_spi_byte/spi_c_ofd/Q
net (fo=1, routed)
0.380
6.300
spi_c_OBUF
AC23
r spi_c_OBUF_inst/I
AC23
OBUF (Prop_OUTBUF_HPIOB_I_O)
0.850
7.150 r spi_c_OBUF_inst/O
net (fo=0)
0.000
7.150
spi_c
AC23
r spi_c (OUT)
------------------------------------------------------------------------------------(clock clk rise edge)
11.111
11.111 r
clock pessimism
0.000
11.111
clock uncertainty
-0.035
11.076
output delay
11.111
22.187
------------------------------------------------------------------required time
22.187
arrival time
-7.150
------------------------------------------------------------------slack
15.037
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
108
japan.xilinx.com
付録 C : SPI バス タ イ ミ ング バジ ェ ッ ト
タ イ ミ ン グ レ ポー ト の 「Destination: spi_c」 と 表示 さ れた場所で、 「Min at Fast Process Corner」 にあ る フ リ ッ
プ フ ロ ッ プか ら パ ッ ド ま でのパ ス解析を参照 し 、 Tqfpga の値を確認 し ます。
•
Tqfpga = I/O デー タ パ ス遅延 (spi_c)
•
Tqfpga = 0.919ns、 最小
Slack (MET):
3.227ns (arrival time - required time)
Source:
example_support_wrapper/example_support/example_spi/
example_spi_byte/spi_c_ofd/C
(rising edge-triggered cell FDRE clocked by clk {[email protected]
[email protected] period=11.111ns})
Destination:
spi_c
(output port clocked by clk {[email protected] [email protected]
period=11.111ns})
Path Group:
clk
Path Type:
Min at Fast Process Corner
Requirement:
0.000ns (clk [email protected] - clk [email protected])
Data Path Delay:
0.919ns (logic 0.752ns (81.829%) route 0.167ns (18.171%))
Logic Levels:
1 (OBUF=1)
Output Delay:
0.000ns
Clock Path Skew:
-2.308ns (DCD - SCD - CPR)
Destination Clock Delay (DCD):
0.000ns
Source Clock Delay
(SCD):
2.308ns
Clock Pessimism Removal (CPR):
-0.000ns
Clock Net Delay (Source):
1.077ns (routing 0.127ns, distribution 0.950ns)
Location
Delay type
Incr(ns) Path(ns)
Netlist Resource(s)
------------------------------------------------------------------------------------(clock clk rise edge)
0.000
0.000 r
K20
0.000
0.000 r clk (IN)
net (fo=0)
0.000
0.000
example_ibuf/I
K20
r example_ibuf/INBUF_INST/PAD
K20
INBUF (Prop_INBUF_HRIO_PAD_O)
0.418
0.418 r example_ibuf/INBUF_INST/O
net (fo=1, routed)
0.025
0.443
example_ibuf/OUT
K20
r example_ibuf/IBUFCTRL_INST/I
K20
IBUFCTRL (Prop_IBUFCTRL_HRIO_I_O)
0.015
0.458 r example_ibuf/IBUFCTRL_INST/O
net (fo=1, routed)
0.746
1.204
example_support_wrapper/
clk
BUFGCE_X1Y24
r example_support_wrapper/
example_bufg/I
BUFGCE_X1Y24
BUFGCE (Prop_BUFCE_BUFGCE_I_O)
0.027
1.231 r example_support_wrapper/
example_bufg/O
X2Y1 (CLOCK_ROOT)
net (fo=1742, routed)
1.077
2.308
example_support_wrapper/example_support/example_spi/example_spi_byte/clk
BITSLICE_RX_TX_X0Y172
FDRE
r example_support_wrapper/
example_support/example_spi/example_spi_byte/spi_c_ofd/C
------------------------------------------------------------------------------------BITSLICE_RX_TX_X0Y172
FDRE (Prop_OUT_FF_BITSLICE_COMPONENT_RX_TX_C_Q)
0.268
2.576 r example_support_wrapper/
example_support/example_spi/example_spi_byte/spi_c_ofd/Q
net (fo=1, routed)
0.167
2.743
spi_c_OBUF
AC23
r spi_c_OBUF_inst/I
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
109
japan.xilinx.com
付録 C : SPI バス タ イ ミ ング バジ ェ ッ ト
AC23
OBUF (Prop_OUTBUF_HPIOB_I_O)
0.484
0.000
3.227 r
3.227
AC23
r
------------------------------------------------------------------------------------net (fo=0)
spi_c_OBUF_inst/O
spi_c
spi_c (OUT)
(clock clk rise edge)
0.000
0.000 r
clock pessimism
0.000
0.000
output delay
-0.000
0.000
------------------------------------------------------------------required time
-0.000
arrival time
3.227
------------------------------------------------------------------slack
3.227
タ イ ミ ン グ レ ポー ト の 「Source: spi_q」 と 表示 さ れた場所で、 「Setup (Max at Fast Process Corner)」 にあ る パ ッ ド
か ら フ リ ッ プ フ ロ ッ プ ま でのパ ス解析を参照 し 、 Tsfpga の値を確認 し ます。
•
Tsfpga = I/O デー タ パ ス遅延 (spi_q)
•
Tsfpga = 0.658ns、 最大
Slack (MET):
Source:
23.825ns (required time - arrival time)
spi_q
(input port clocked by clk {[email protected] [email protected]
period=11.111ns})
Destination:
example_support_wrapper/example_support/example_spi/
example_spi_byte/spi_q_ifd/D
(rising edge-triggered cell FDRE clocked by clk {[email protected]
[email protected] period=11.111ns})
Path Group:
clk
Path Type:
Setup (Max at Fast Process Corner)
Requirement:
11.111ns (clk [email protected] - clk [email protected])
Data Path Delay:
0.658ns (logic 0.495ns (75.210%) route 0.163ns (24.790%))
Logic Levels:
2 (IBUFCTRL=1 INBUF=1)
Input Delay:
-11.111ns
Clock Path Skew:
2.318ns (DCD - SCD + CPR)
Destination Clock Delay (DCD):
2.318ns = ( 13.429 - 11.111 )
Source Clock Delay
(SCD):
0.000ns
Clock Pessimism Removal (CPR):
0.000ns
Clock Uncertainty:
0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE
Total System Jitter
(TSJ):
0.071ns
Total Input Jitter
(TIJ):
0.000ns
Discrete Jitter
(DJ):
0.000ns
Phase Error
(PE):
0.000ns
Clock Net Delay (Destination): 1.087ns (routing 0.127ns, distribution 0.960ns)
Location
Delay type
Incr(ns) Path(ns)
Netlist Resource(s)
------------------------------------------------------------------------------------(clock clk rise edge)
0.000
0.000 r
input delay
-11.111
-11.111
U21
0.000
-11.111 r spi_q (IN)
net (fo=0)
0.000
-11.111
spi_q_IBUF_inst/I
U21
r spi_q_IBUF_inst/INBUF_INST/PAD
U21
INBUF (Prop_INBUF_HPIOB_PAD_O)
0.495 -10.616 r spi_q_IBUF_inst/INBUF_INST/O
net (fo=1, routed)
0.047
-10.569
spi_q_IBUF_inst/OUT
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
110
japan.xilinx.com
付録 C : SPI バス タ イ ミ ング バジ ェ ッ ト
U21
IBUFCTRL_INST/I
U21
IBUFCTRL (Prop_IBUFCTRL_HPIOB_I_O)
0.000
r
spi_q_IBUF_inst/
-10.569 r
spi_q_IBUF_inst/
IBUFCTRL_INST/O
net (fo=1, routed)
0.116
-10.453
example_support_wrapper/
example_support/example_spi/example_spi_byte/spi_q
BITSLICE_RX_TX_X0Y186
FDRE
r example_support_wrapper/
example_support/example_spi/example_spi_byte/spi_q_ifd/D
------------------------------------------------------------------------------------(clock clk rise edge)
K20
K20
K20
K20
K20
11.111
0.000
0.000
11.111 r
11.111 r clk (IN)
11.111
example_ibuf/I
r example_ibuf/INBUF_INST/PAD
net (fo=0)
INBUF
INBUF (Prop_INBUF_HRIO_PAD_O)
0.418
11.529 r example_ibuf/INBUF_INST/O
net (fo=1, routed)
0.025
11.554
example_ibuf/OUT
IBUFCTRL
r example_ibuf/IBUFCTRL_INST/I
IBUFCTRL (Prop_IBUFCTRL_HRIO_I_O)
0.015
11.569 r example_ibuf/IBUFCTRL_INST/O
net (fo=1, routed)
0.746
12.315
example_support_wrapper/
clk
BUFGCE_X1Y24
example_bufg/I
BUFGCE_X1Y24
BUFGCE
r
example_support_wrapper/
BUFGCE (Prop_BUFCE_BUFGCE_I_O)
0.027
12.342 r
example_support_wrapper/
example_bufg/O
X2Y1 (CLOCK_ROOT)
net (fo=1742, routed)
1.087
13.429
example_support_wrapper/example_support/example_spi/example_spi_byte/clk
BITSLICE_RX_TX_X0Y186
FDRE
r example_support_wrapper/
example_support/example_spi/example_spi_byte/spi_q_ifd/C
clock pessimism
0.000
13.429
clock uncertainty
-0.035
13.394
BITSLICE_RX_TX_X0Y186
FDRE (Setup_IN_FF_BITSLICE_COMPONENT_RX_TX_C_D)
-0.022
13.372
example_support_wrapper/
example_support/example_spi/example_spi_byte/spi_q_ifd
------------------------------------------------------------------required time
13.372
arrival time
10.453
------------------------------------------------------------------slack
23.825
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
111
japan.xilinx.com
付録 C : SPI バス タ イ ミ ング バジ ェ ッ ト
タ イ ミ ン グ レ ポー ト の 「Source: spi_q」 と 表示 さ れた場所で、 「Hold (Min at Slow Process Corner)」 にあ る パ ッ ド
か ら フ リ ッ プ フ ロ ッ プ ま でのパ ス解析を参照 し 、 Thfpga の値を確認 し ます。
•
Thfpga = I/O デー タ パ ス遅延 (spi_q)
•
Thfpga = 0.468ns、 最小
Slack (MET):
Source:
17.334ns (arrival time - required time)
spi_q
(input port clocked by clk {[email protected] [email protected]
period=11.111ns})
Destination:
example_support_wrapper/example_support/example_spi/
example_spi_byte/spi_q_ifd/D
(rising edge-triggered cell FDRE clocked by clk {[email protected]
[email protected] period=11.111ns})
Path Group:
clk
Path Type:
Hold (Min at Slow Process Corner)
Requirement:
0.000ns (clk [email protected] - clk [email protected])
Data Path Delay:
0.468ns (logic 0.242ns (51.750%) route 0.226ns (48.250%))
Logic Levels:
2 (IBUFCTRL=1 INBUF=1)
Input Delay:
22.222ns
Clock Path Skew:
5.302ns (DCD - SCD - CPR)
Destination Clock Delay (DCD):
5.302ns
Source Clock Delay
(SCD):
0.000ns
Clock Pessimism Removal (CPR):
-0.000ns
Clock Net Delay (Destination): 2.312ns (routing 0.335ns, distribution 1.977ns)
Location
Delay type
Incr(ns) Path(ns)
Netlist Resource(s)
------------------------------------------------------------------------------------(clock clk rise edge)
0.000
0.000 r
input delay
22.222
22.222
U21
0.000
22.222 r spi_q (IN)
net (fo=0)
0.000
22.222
spi_q_IBUF_inst/I
U21
r spi_q_IBUF_inst/INBUF_INST/PAD
U21
INBUF (Prop_INBUF_HPIOB_PAD_O)
0.242
22.464 r spi_q_IBUF_inst/INBUF_INST/O
net (fo=1, routed)
0.050
22.514
spi_q_IBUF_inst/OUT
U21
r spi_q_IBUF_inst/
IBUFCTRL_INST/I
U21
IBUFCTRL (Prop_IBUFCTRL_HPIOB_I_O)
0.000
22.514 r spi_q_IBUF_inst/
IBUFCTRL_INST/O
net (fo=1, routed)
0.176
22.690
example_support_wrapper/
example_support/example_spi/example_spi_byte/spi_q
BITSLICE_RX_TX_X0Y186
FDRE
r example_support_wrapper/
example_support/example_spi/example_spi_byte/spi_q_ifd/D
------------------------------------------------------------------------------------(clock clk rise edge)
K20
K20
K20
K20
K20
0.000
0.000
0.000
0.000 r
0.000 r clk (IN)
0.000
example_ibuf/I
example_ibuf/INBUF_INST/PAD
net (fo=0)
INBUF
r
INBUF (Prop_INBUF_HRIO_PAD_O)
0.805
0.805 r example_ibuf/INBUF_INST/O
net (fo=1, routed)
0.092
0.897
example_ibuf/OUT
IBUFCTRL
r example_ibuf/IBUFCTRL_INST/I
IBUFCTRL (Prop_IBUFCTRL_HRIO_I_O)
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
112
japan.xilinx.com
付録 C : SPI バス タ イ ミ ング バジ ェ ッ ト
net (fo=1, routed)
0.043
0.940 r example_ibuf/IBUFCTRL_INST/O
1.967
2.907
example_support_wrapper/
clk
BUFGCE_X1Y24
example_bufg/I
BUFGCE_X1Y24
BUFGCE
r
example_support_wrapper/
BUFGCE (Prop_BUFCE_BUFGCE_I_O)
0.083
2.990 r example_support_wrapper/
example_bufg/O
X2Y1 (CLOCK_ROOT)
net (fo=1742, routed)
2.312
5.302
example_support_wrapper/example_support/example_spi/example_spi_byte/clk
BITSLICE_RX_TX_X0Y186
FDRE
r example_support_wrapper/
example_support/example_spi/example_spi_byte/spi_q_ifd/C
clock pessimism
0.000
5.302
BITSLICE_RX_TX_X0Y186
FDRE (Hold_IN_FF_BITSLICE_COMPONENT_RX_TX_C_D)
0.054
5.356
example_support_wrapper/
example_support/example_spi/example_spi_byte/spi_q_ifd
------------------------------------------------------------------required time
-5.356
arrival time
22.690
------------------------------------------------------------------slack
17.334
チェ ッ ク :
•
Thfpga ≤ Tqfpga,min + Tclqx であ る か。
•
すなわち 0.468ns ≤ 0.919ns + 1ns であ る か。
•
すなわち 0.468ns ≤ 1.919ns であ る か。 Yes
計算 :
Tclk ≥ 0.5 × (Tqfpga,max + Tw1 + Tdly + Tw2 + Tclqv + Tw3 + Tdly + Tw4 + Tsfpga)
すなわち
Tclk ≥ 0.5 × (1.856ns + 1ns + 2.8ns + 1ns + 6ns + 1ns + 2.8ns + 1ns + 0.658ns)
すなわち
Tclk ≥ 9.057ns
ホール ド 要件は満た さ れてい ます。 ま た、 Tclk に対す る 要件に よ り 、 SPI バ ス受信の波形お よ び タ イ ミ ン グ バジ ェ ッ
ト ではシ ス テ ム レベル サンプル デザ イ ンの入力 ク ロ ッ ク サ イ ク ル時間が 9.057ns 以上に制限 さ れます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
113
japan.xilinx.com
付録 C : SPI バス タ イ ミ ング バジ ェ ッ ト
SPI バス タ イ ミ ン グ バジ ェ ッ ト のま と め
SPI フ ラ ッ シ ュ マ ス タ ー ヘルパー ブ ロ ッ ク と 外部 メ モ リ シ ス テ ムが存在す る 場合、 イ ンプ リ メ ン テーシ ョ ンの堅牢
性を確保す る には SPI バ ス タ イ ミ ン グ バジ ェ ッ ト を解析する 必要があ り ます。 こ の解析結果に よ り 、 外部 メ モ リ シ
ス テ ムが正 し く 機能す る こ と が確認 さ れ、 シ ス テ ム レベル サンプル デザ イ ンの入力 ク ロ ッ ク の最大周波数に対する
制約がわか り ます。
Kintex UltraScale に イ ンプ リ メ ン ト し た SEM IP コ アの Vivado Design Suite タ イ ミ ン グ レ ポー ト で報告 さ れたサンプル
デー タ に よ り 、 メ モ リ イ ン タ ーフ ェ イ ス が正 し く 機能する こ と が確認 さ れます。 メ モ リ イ ン タ ーフ ェ イ ス は入力 ク
ロ ッ ク 周波数が 110.412MHz 以下でない と 動作 し ないため、Tclk に対す る 最 も 厳 し い要件は Tclk ≥ 9.057ns です。ICAP
の最大 ク ロ ッ ク 周波数やシ ス テ ム レベル サンプル デザ イ ンの最大 ク ロ ッ ク 周波数な ど、 ほかの入力 ク ロ ッ ク 周波数
の制限 も 考慮す る 必要があ り ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
114
japan.xilinx.com
付録 D
評価ボー ド を使用 し た SEM Controller の
動作デモ
SEM Controller IP を生成す る 際、 タ ーゲ ッ ト と し て評価ボー ド を選択で き ます。 次の評価ボー ド を タ ーゲ ッ ト と し た
場合、 生成 さ れた IP お よ びシ ス テ ム レベル サンプル デザ イ ン を その ま ま使用 し てハー ド ウ ェ ア をブ リ ン グ ア ッ プ
で き ます。
•
Kintex® UltraScale™ KCU105 評価プ ラ ッ ト フ ォーム
•
Virtex® UltraScale™ VCU108 評価プ ラ ッ ト フ ォーム
こ れ ら のデザ イ ン を使用す る と 、 IP の動作に対す る 理解を深め る と 共に、 特性評価 も 可能です。
ビ ッ ト ス ト リ ームの生成
サポー ト さ れ る 評価ボー ド を タ ーゲ ッ ト と し た場合、 IP コ ン フ ィ ギ ュ レーシ ョ ン、 生成 さ れ る シ ス テ ム レベル サン
プル デザ イ ン、 制約はデフ ォ ル ト 設定の ま ま で タ ーゲ ッ ト のデバ イ スお よ びプ ラ ッ ト フ ォームで使用で き る よ う に
コ ン フ ィ ギ ュ レーシ ョ ン さ れます。 ビ ッ ト ス ト リ ーム を生成す る には、 次の手順を実行 し ます。
1.
新規 Vivado® RTL プ ロ ジ ェ ク ト を作成 し 、サポー ト さ れてい る 評価ボー ド のいずれか 1 つを選択 し ます (図 D-1)。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
115
japan.xilinx.com
付録 D : 評価ボー ド を使用 し た SEM Controller の動作デモ
X-Ref Target - Figure D-1
;
図 D-1 : ビ ッ ト ス ト リ ームの生成
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
116
japan.xilinx.com
付録 D : 評価ボー ド を使用 し た SEM Controller の動作デモ
2.
Vivado IP カ タ ロ グで [UltraScale Soft Error Mitigation] IP を選択 し て コ ア を生成 し ます。 パ ラ メ ー タ ーはすべて
タ ーゲ ッ ト プ ラ ッ ト フ ォームに合わせたデフ ォ ル ト 値 と な っ てい る ため、 変更の必要はあ り ません。
X-Ref Target - Figure D-2
図 D-2 : SEM Controller の [Basic] タ ブ
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
117
japan.xilinx.com
付録 D : 評価ボー ド を使用 し た SEM Controller の動作デモ
3.
[Out-of-Context Module Runs] が終了 し た後、 IP の生成が完了 し ます。 生成 し た IP を選択 し 、 [Open IP Example
Design] を ク リ ッ ク し ます。
X-Ref Target - Figure D-3
;
図 D-3 : SEM Controller の [Open IP Example Design]
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
118
japan.xilinx.com
付録 D : 評価ボー ド を使用 し た SEM Controller の動作デモ
4.
次に、 サンプル デザ イ ン を合成お よ び イ ンプ リ メ ン ト し て ビ ッ ト ス ト リ ーム を生成 し ます。 こ のビ ッ ト ス ト
リ ーム を使用 し て、 評価プ ラ ッ ト フ ォーム上のデバ イ ス を プ ロ グ ラ ム し ます。
X-Ref Target - Figure D-4
;
図 D-4 : SEM Controller の [Generate Bitstream]
ハー ド ウ ェ ア と ソ フ ト ウ ェ アのセ ッ ト ア ッ プ
ビ ッ ト ス ト リ ーム を生成 し た ら 、 プ ラ ッ ト フ ォーム USB (ま たは Digilent®) ケーブル と USB UART ケーブルで コ ン
ピ ュ ー タ ー と ボー ド を接続 し ます。 ケーブルが正 し く 接続 さ れ る と 、 [ コ ン ト ロ ール パネル] の [デバ イ ス と プ リ ン
タ ー ] に次の項目が表示 さ れます。
•
Silicon Labs CP210x USB to UART Bridge
•
Xilinx (プ ラ ッ ト フ ォーム USB ケーブル名が表示)
SEM Controller の UART イ ン タ ーフ ェ イ ス を利用 し て コ マ ン ド を発行お よ びス テー タ ス情報を受信する には、 シ リ ア
ル ポー ト 接続をサポー ト し た Tera Term な ど の タ ー ミ ナル エ ミ ュ レー タ ーを正 し く 設定 し て使用す る 必要があ り ま
す。 タ ー ミ ナル エ ミ ュ レー タ ーの設定方法の詳細は、 第 3 章の 「ス イ ッ チン グ動作」 を参照 し て く だ さ い。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
119
japan.xilinx.com
付録 D : 評価ボー ド を使用 し た SEM Controller の動作デモ
ビ ッ ト ス ト リ ームお よ び VIO コ ア用の debug_nets.ltx フ ァ イ ルをプ ロ グ ラ ム し た ら 、 [Hardware Manager] に
hw_vio_* ウ ィ ン ド ウ を表示 さ せ し て SEM Controller の信号を表示お よ び操作 し ます。
X-Ref Target - Figure D-5
;
図 D-5 : SEM Controller の [Hardware Manager]
UART イ ン タ ー フ ェ イ ス経由での SEM Controller と の通信デモ
こ のセ ク シ ョ ンでは、 タ ー ミ ナル エ ミ ュ レー タ ーを使用 し て UART イ ン タ ーフ ェ イ ス経由で SEM Controller と 通信
し 、 基本的なエ ラ ー挿入を実行す る 手順お よ び SEM Controller か ら 出力 さ れ る ス テー タ ス について説明 し ます。 コ マ
ン ド の送信お よ びス テー タ ス の受信はすべて タ ー ミ ナル エ ミ ュ レー タ ーで行い ます。 こ こ では初期化レ ポー ト 、 ス
テー タ ス レ ポー ト 、 ア イ ド ル ス テー ト と 監視ス テー ト 、 エ ラ ー挿入、 訂正レ ポー ト について説明 し ます。 こ の例で
は、 Vivado 統合設計環境 (IDE) のデフ ォ ル ト 設定 (軽減 + テ ス ト モー ド 、 エ ラ ー分類無効) で IP を コ ン フ ィ ギ ュ レー
シ ョ ン し てい ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
120
japan.xilinx.com
付録 D : 評価ボー ド を使用 し た SEM Controller の動作デモ
こ の例で コ ン ト ロ ー ラ ーの ス テー ト が ど の よ う に遷移す る かを理解す る には、 41 ページの図 3-5 の ス テー ト 遷移図
を参照 し て く だ さ い。 こ のデモで使用す る コ マ ン ド と その フ ォーマ ッ ト 、 お よ びレ ポー ト の詳細は、 第 3 章の
「UART イ ン タ ーフ ェ イ ス の メ ッ セージ」 お よ び第 3 章の 「UART イ ン タ ーフ ェ イ ス の コ マ ン ド 」 を参照 し て く だ さ
い。
生成 し た ビ ッ ト ス ト リ ーム をデバ イ ス にプ ロ グ ラ ムす る と 、 タ ー ミ ナル エ ミ ュ レー タ ーに初期化レ ポー ト が表示 さ
れます。 次に、 こ の レ ポー ト の例を示 し ます。
SEM_ULTRA_V3_1
SC 01
FS 04
AF 01
ICAP OK
RDBK OK
INIT OK
SC 02
O>
Name and version
State transition to Initialization state
Core Configuration Information
Additional Core Configuration Information
ICAP Available
Status: Readback Active
Status: Completed Setup
State transition to Observation
Observation prompt
こ れで コ ン ト ロ ー ラ ーは初期化を完了 し 、 エ ラ ー条件の発生を検出す る ために FPGA コ ン フ ィ ギ ュ レーシ ョ ン シ ス
テ ムの監視を開始 し ます。 VIO ウ ィ ン ド ウ で status_observation 信号がアサー ト さ れます。
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ で発生す る エ ラ ーをエ ミ ュ レー ト す る には、 コ ン ト ロ ー ラ ーを ア イ ド ル ス テー ト に
遷移 さ せ る 必要があ り ます。 タ ー ミ ナル エ ミ ュ レー タ ーか ら 次の コ マ ン ド を UART イ ン タ ーフ ェ イ ス に送信 し ます。
O> I
SC 00
I>
Enter Idle Command
State change into Idle state
Idle prompt
こ れで、 すべての ス テー タ ス フ ラ グ (status_essential と status_uncorrectable を除 く すべての
status_*) がデ ィ アサー ト さ れ、 コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト に遷移 し た こ と を示 し ます。
コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト に遷移する と 、 内蔵の メ モ リ ス キ ャ ンお よ びチ ェ ッ ク が無効にな り ます。 こ の
ス テー ト で利用可能な コ マ ン ド は、 44 ページの表 3-1 を参照 し て く だ さ い。
UART イ ン タ ーフ ェ イ ス に 「S」 コ マ ン ド を送信する と 、 次の よ う な完全な ス テー タ ス レ ポー ト が出力 さ れます。
I>
SN
SC
FC
RI
MF
TS
TB
CB
CL
I>
S
00
00
00
00
00006643
XXXXXXXX
XXXXXXXX
XXXXXXXX
001
Status request command ("S")
SLR number
Current State
Current Flags
Reserved Information
Maximum Linear Frame Count
Timestamp
Table Base
Classification Base
Classification Level
Idle Prompt
完全な ス テー タ ス レ ポー ト が出力 さ れ る と 、 再びア イ ド ル ス テー ト のプ ロ ンプ ト が表示 さ れ、 コ マ ン ド を実行可能
な状態にな り ます。
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ で発生する エ ラ ーをエ ミ ュ レー ト する には、 エ ラ ー挿入を実行 し ます。 こ の場合、
通常はフ レームの ECC ワ ー ド にエ ラ ーを挿入する こ と を推奨 し ます。 ECC ワ ー ド にエ ラ ーを挿入する と 、 コ ン フ ィ
ギ ュ レーシ ョ ン し たデザ イ ンに影響を与えずに コ ン フ ィ ギ ュ レーシ ョ ン エ ラ ーが検出 さ れた場合の動作を観察で き
ます。
ま た、 エ ラ ー挿入の前後に Query コ マ ン ド を実行 し てエ ラ ー挿入フ レームの内容を読み出す こ と を推奨 し ます。 こ
れに よ り 、 エ ラ ー挿入に よ っ て コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ の内容が変化 し たか ど う か、 そ し て挿入 し たエ ラ ー
を コ ン ト ロ ー ラ ーが検出お よ び訂正す る か ど う かを確認で き ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
121
japan.xilinx.com
付録 D : 評価ボー ド を使用 し た SEM Controller の動作デモ
次に示す例は、 UltraScale デバ イ ス の ECC ワー ド 内の 1 ビ ッ ト (フ レーム 0、 ワー ド 61、 ビ ッ ト 0) にエ ラ ーを挿入 し
てい ます。
まず、 Query コ マ ン ド を実行 し て フ レーム 0 の内容を読み出 し ます。
I> Q C000000000
Query command using LFA
{61 lines of 00000000}
00000000
Location we will inject an error
{61 lines of 00000000}
I>
次に、 フ レーム 0、 ワ ー ド 61、 ビ ッ ト 0 にエ ラ ーを挿入 し ます。
I> N C0000007A0
SC 10
SC 00
I>
Error injection command using LFA
State transition to Error Injection
State transition to Idle
Idle Prompt
エ ラ ー挿入を実行す る と コ ン ト ロ ー ラ ーは短時間だけエ ラ ー挿入ス テー ト に遷移 し ます。 エ ラ ー挿入ス テー ト の間、
status_injection 信号がアサー ト さ れます。
最後に、 も う 一度 Query コ マ ン ド を実行 し て選択 し た位置にエ ラ ーが挿入 さ れた こ と を確認 し ます。
I> Q C000000000
Query command by LFA
{61 lines of 00000000}
00000001
Location we have injected an error
{61 lines of 00000000}
I>
エ ラー挿入コ マン ド で指定し た挿入先ビ ッ ト の値が変化し てい る ので、 エ ラ ーが正し く 挿入さ れた こ と がわか り ます。
コ ン ト ロ ー ラ ーのエ ラ ー検出お よ び訂正機能を試すには、 コ マ ン ド を発行 し て コ ン ト ロ ー ラ ーを監視ス テー ト に戻
し 、 FPGA コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ の監視を再開 さ せ る 必要があ り ます。
監視ス テー ト に移行す る には、 次の コ マ ン ド を実行 し ます。
I> O
Enter Observation Command
SC 02
State transition to Observation
O>
Observation prompt
RI XX
Reserved information
SC 04
State transition to Correction
ECC
ECC error detected
TS 0000245D
Timestamp
PA 0000000
PFA of detected error
LA 0000000
LFA of detected error
COR
Error correction report begins
WD 3D BT 00
Corrected word and bit values
END
Error correction report end
FC 00
Flag updated with correctability
SC 08
State transition to Classification
FC 40
Flag updated with essentialness (if classification is disabled, it
is always essential)
SC 02
State transition to Observation
O>
Observation prompt
こ れを見 る と わか る よ う に、 コ ン ト ロ ー ラ ーは監視ス テー ト に移行 し た後エ ラ ーを検出 し 、 訂正ス テー ト に移行 し
た後にエ ラ ー検出レ ポー ト と 訂正レ ポー ト を出力 し てい ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
122
japan.xilinx.com
付録 D : 評価ボー ド を使用 し た SEM Controller の動作デモ
エ ラ ー訂正が完了す る と 、 コ ン ト ロ ー ラ ーは分類ス テー ト に移行 し た後に監視ス テー ト に戻 り 、 FPGA コ ン フ ィ ギ ュ
レーシ ョ ン シ ス テ ムの監視を再開 し てエ ラ ー条件の発生を検出 し ます。
注記 : 分類機能を無効に し てい る 場合、 エ ッ セ ン シ ャ ル フ ラ グが常にアサー ト さ れます。
フ ラ グ変化 (FC) レ ポー ト に よ り 、 次の 2 種類の情報が得 ら れます。
•
エ ラ ーが訂正可能か ど う か (status_uncorrectable 信号の状態)
•
エ ラ ーがエ ッ セ ン シ ャ ルか ど う か (status_essential 信号の状態)
訂正可能か ど う かの フ ラ グは訂正レ ポー ト 出力後に更新 さ れ、 エ ッ セ ン シ ャ ルか ど う かの フ ラ グは分類ス テー ト 終
了前に更新 さ れます。 次に こ れ ら の動作が行われ る ま で、 フ ラ グ変化レ ポー ト の値は変化 し ません。 フ ラ グ変化レ
ポー ト の文字列 と その意味は、 55 ページの表 3-4 を参照 し て く だ さ い。
注記 : UART イ ン タ ーフ ェ イ ス か ら 出力 さ れ る ス テー ト 変化レ ポー ト お よ びフ ラ グ変化レ ポー ト の内容は、 VIO ウ ィ
ン ド ウ の status_* 信号で も 確認で き ます。
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ で発生する エ ラ ーのエ ミ ュ レーシ ョ ンの詳細は、 付録 E 「エ ラ ー挿入のガ イ ダ ン ス」
を参照 し て く だ さ い。
こ のデモでは、 コ ン ト ロ ー ラ ーに対す る コ マ ン ド はすべて タ ー ミ ナル エ ミ ュ レー タ ーか ら UART イ ン タ ーフ ェ イ ス
経由で入力 し てい ます。 こ れ ら の コ マ ン ド は、 VIO ウ ィ ン ド ウ を使用 し て コ マ ン ド イ ン タ ーフ ェ イ ス経由で も 実行
で き ます。 コ マ ン ド イ ン タ ーフ ェ イ ス か ら 有効な コ マ ン ド を入力する と UART イ ン タ ーフ ェ イ ス にエ コ ーバ ッ ク さ
れ、 上記 と 同 じ ス テー タ スお よ びレ ポー ト が出力 さ れます。 コ マ ン ド イ ン タ ーフ ェ イ ス経由での コ マ ン ド 実行方法
の詳細は、 第 3 章の 「 コ マ ン ド イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
123
japan.xilinx.com
付録 E
エ ラ ー挿入のガ イ ダ ン ス
SEM IP には、 コ ン ト ロ ー ラ ーの検証お よ び コ ン ト ロ ー ラ ーのアプ リ ケーシ ョ ン評価に役立つエ ラ ー挿入機能があ り
ます。 一般に、 ど の よ う な タ イ プのエ ラ ー挿入を実行す る かはその目的に よ っ て決ま り ます。
目的 : 基本的なエ ラ ー挿入テ ス ト
こ のエ ラ ー挿入を実行す る のは、 その目的が次に該当す る 場合です。
•
コ ン ト ロ ー ラ ーがエ ラ ーを検出お よ び訂正で き てい る かを確認す る 。
•
検出お よ び訂正 さ れたエ ラ ーの ロ グ を シ ス テ ムが正 し く 記録で き てい る かを確認する 。
•
エ ラ ーに よ っ てデザ イ ンの機能が影響を受けた場合のシ ス テ ムの動作はテ ス ト 対象に含めない。
エ ラ ー挿入位置は次の と お り です。
•
フ レームの ECC ワ ー ド にエ ラ ーを挿入 し ます。
•
UltraScale™ アーキ テ ク チ ャ の場合、 ECC ワ ー ド は各フ レームの ワ ー ド 60 と 61 にあ り ます。 ザ イ リ ン ク ス は、
ワー ド 61 の下位バ イ ト にエ ラ ーを挿入す る こ と を推奨 し てい ます。
こ の位置にエ ラ ーを挿入す る と 、 コ ン ト ロ ー ラ ーやデザ イ ンの機能に影響を与えずにエ ラ ーを挿入で き ます。
エ ラ ー挿入の目的が上記に当ては ま ら ない場合は、 サポー ト ケース を開いて問い合わせて く だ さ い。
次に、 コ ン ト ロ ー ラ ーのモニ タ ーま たは コ マ ン ド イ ン タ ーフ ェ イ ス を使用 し てエ ラ ーを挿入す る 際の一般的な注意
点を ま と め ます。
•
リ ニア フ レーム ア ド レ ス (LFA) を使用 し てエ ラ ー挿入を実行 し ます。 有効な ア ド レ ス範囲は 0 ~ (最大 LFA 値
– 2) です。 た と えば XCKU040 の場合、 最大フ レームは 26,179 です。 し たがっ て、 エ ラ ー挿入の有効なア ド レ ス
範囲は 0 ~ 26,177 (26,179 – 2) です。 各デバ イ ス の最大 LFA 値は表 2-2 に示 し て あ り ます。 ま た、 コ ン ト ロ ー
ラ ーか ら 出力 さ れ る ス テー タ ス レ ポー ト に も 「MF {8-digit hex value}」 と し て表示 さ れます。
•
エ ラ ー挿入の前後には必ず Query コ マ ン ド を実行 し てエ ラ ー挿入が正 し く 実行 さ れた こ と 、 お よ びビ ッ ト の読
み出 し /書 き 込みがマ ス ク さ れていない こ と を確認 し て く だ さ い。
•
エ ラ ーは一度に 1 つのみ挿入 し ます。 コ ン ト ロ ー ラ ーが挿入ス テー ト を経由 し てア イ ド ル ス テー ト に戻っ た こ
と を確認 し てか ら 、 次のエ ラ ー挿入を実行 し て く だ さ い。 ア イ ド ル ス テー ト 以外でエ ラ ー挿入命令は ド ロ ッ プ
さ れ る か失われます。
•
エ ラ ー挿入の際は、 最 も 包括的な情報が得 ら れ る モニ タ ー /UART イ ン タ ーフ ェ イ ス を使用 し て コ マ ン ド の送信
お よ びス テー タ ス の監視を実行す る こ と を推奨 し ます。
•
コ マ ン ド イ ン タ ーフ ェ イ ス を使用する 場合は、 コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト に移行 し た こ と を ス テー タ
ス イ ン タ ーフ ェ イ ス で確認 し てか ら エ ラ ーを挿入 し て く だ さ い。 ま た、 エ ラ ー挿入コ マ ン ド を実行後に コ ン ト
ロ ー ラ ーがエ ラ ー挿入ス テー ト に移行す る こ と も ス テー タ ス イ ン タ ーフ ェ イ ス で確認 し て く だ さ い。
•
挿入 し た 1 ビ ッ ト エ ラ ーが コ ン ト ロ ー ラ ーに よ っ て検出 さ れない場合、 別のビ ッ ト にエ ラ ーを挿入する 前に も
う 一度同 じ ビ ッ ト へのエ ラ ー挿入を試みて く だ さ い。
•
一度に複数ビ ッ ト のエ ラ ーを挿入す る 場合、 訂正 さ れなかっ たエ ラ ー ビ ッ ト をすべて元に戻 し てか ら 次のエ
ラ ー挿入を実行 し て く だ さ い。 ま たは、 デバ イ ス を プ ロ グ ラ ム し 直 し てか ら エ ラ ー挿入テ ス ト を再開 し て く だ
さ い。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
124
japan.xilinx.com
付録 E : エ ラ ー挿入のガ イ ダ ン ス
次に、 エ ラ ー挿入で特に注意が必要な点を ま と め ます。
•
フ レームがマ ス ク さ れてい る か未実装のために、 挿入 し たエ ラ ーが検出 (お よ び訂正) さ れない場合があ り ます。
エ ラ ー挿入の前後に Query コ マ ン ド を実行 し てお く と 、 エ ラ ーが正 し く 挿入 さ れたか ど う か を確認で き ます。
•
通常、 マ ス ク さ れてい る のはダ イ ナ ミ ッ ク メ モ リ (DRP、 SRL な ど) に関係す る フ レーム です。
•
エ ラ ーを挿入 し て コ ン ト ロ ー ラ ーか ら 訂正不能エ ラ ーが報告 さ れた場合、 いっ たん リ コ ン フ ィ ギ ュ レーシ ョ ン
し てか ら テ ス ト を再開 し て く だ さ い。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
125
japan.xilinx.com
付録 F
IP デザイ ンのチ ェ ッ ク リ ス ト
SEM Controller IP を使用お よ び統合する 際の注意点をチ ェ ッ ク リ ス ト 形式に ま と め ます。
SEM IP を使用す る 際は、 サポー ト さ れていない機能 (第 1 章の 「サポー ト さ れていない機能」 を参照) を
チ ェ ッ ク し てデザ イ ン と の互換性を確認 し ます。
IP で利用で き る 機能の違いを理解 し 、 ど の よ う な SEU 軽減アプ ロ ーチを と る か を決定 し ます。
ほ と ん ど の場合、SEM Controller は軽減 + テ ス ト モー ド でデフ ォ ル ト の コ ン フ ィ ギ ュ レーシ ョ ンの ま ま使用
し ます。 こ の場合、 SEU イ ベン ト の検出 と 訂正に加え、 エ ラ ー挿入機能 も 利用で き 、 ア イ ド ル ス テー ト で
はその他の便利な機能をすべて利用で き ます。 量産段階で軽減モー ド に変更 し て、 エ ラ ー挿入機能を無効
にす る こ と も で き ます。
コ ン ト ロ ー ラ ーか ら 報告 さ れ る エ ラ ーの種類 (訂正可能エ ラ ー、 訂正不能エ ラ ー、 訂正可能なエ ッ セ ン シ ャ
ル エ ラ ーな ど) に応 じ てシ ス テ ムの対応を変え る 必要があ る か ど う か を検討 し ます。 た と えばエ ッ セ ン
シ ャ ルでない訂正可能エ ラ ーが検出 さ れた場合は何 も せず、 エ ッ セ ン シ ャ ルな訂正可能エ ラ ーが検出 さ れ
た場合はデバ イ ス を リ コ ン フ ィ ギ ュ レーシ ョ ンする な ど の対応が考え ら れます。 少な く と も 、 モニ タ ーま
たは UART イ ン タ ーフ ェ イ ス を使用 し て検出 さ れたすべてのエ ラ ーの ロ グ を記録 し て く だ さ い。
SEM IP を含むデザ イ ンの出荷全数の信頼性推定値を設計前に ス プ レ ッ ド シー ト 形式の SEU FIT Estimator で
計算 し 、 ど の程度の頻度でエ ラ ー ロ グ を記録すべ き か を把握 し ます。 設計前に使用す る ス プ レ ッ ド シー ト
形式の SEU FIT Estimator は、 ザ イ リ ン ク ス の 「品質 と 信頼性」 ウ ェ ブ ページか ら ダ ウ ン ロ ー ド で き ます。
コ ン ト ロ ー ラ ーに供給 さ れ る シ ス テ ム ク ロ ッ ク が、 推奨ガ イ ド ラ イ ンに従っ てい る こ と を確認 し ます。 詳
細は、 第 3 章の 「シ ス テ ム ク ロ ッ ク イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。 SEM Controller と コ ン フ ィ
ギ ュ レーシ ョ ン プ リ ミ テ ィ ブには、 安定 し た ク ロ ッ ク (グ リ ッ チがな く FMax に違反 し ない) 入力が必要で
す。 最初の ク ロ ッ ク が不安定な場合は、 ク ロ ッ ク に BUFGCE バ ッ フ ァ ーを使用 し 、 ク ロ ッ ク が安定 し てか
ら バ ッ フ ァ ーを有効に し て く だ さ い。
cap_gnt 信号の最初の入力を 0 に し て、 こ の信号にマ ス ター制御ス イ ッ チを追加 し て コ ン ト ロー ラ ーが初期化
する タ イ ミ ン グ を制御する こ と を検討し ます。 cap_gnt は、 ICAP の準備が完了し てか ら 1 に し ます。
コ ン ト ロ ー ラ ーへの入力 ク ロ ッ ク にマ ス タ ー制御ス イ ッ チを追加する こ と を検討 し ます。 こ の ス イ ッ チに
よ り 、 ク ロ ッ ク が安定 し てか ら コ ン ト ロ ー ラ ーに供給する よ う に し ます。
未使用の入力ポー ト はすべて特定の値に接続 し ます (詳細は、 第 3 章の 「 イ ン タ ーフ ェ イ ス」 の各 イ ン タ ー
フ ェ イ ス のセ ク シ ョ ン を参照)。 こ れ ら のポー ト を正 し く 接続 し ない場合、 コ ン ト ロ ー ラ ーの初期化が完了
し ない こ と があ り ます。
使用す る すべての イ ン タ ーフ ェ イ ス について、 シ ス テ ム レベル要件を確認 し ます (詳細は、 第 3 章の 「 イ
ン タ ーフ ェ イ ス」 の各 イ ン タ ーフ ェ イ ス のセ ク シ ョ ン を参照)。
後でデバ ッ グが行え る よ う に、 少な く と も モニ タ ー イ ン タ ーフ ェ イ ス の出力を FIFO バ ッ フ ァ ーに格納す
る こ と を推奨 し ます。 こ の情報は、 後で問題が発生 し た場合のデバ ッ グに必要 と な り ます。 詳細は、
第 3 章の 「モニ タ ー イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。
後でデバ ッ グが行え る よ う に、 デザ イ ン合成後の DCP を保存 し てお く こ と を推奨 し ます。
エ ラ ーお よ びエ ラ ー発生フ レームの位置を ロ グに記録する 際は、 モニ タ ーま たは UART イ ン タ ーフ ェ イ ス
を使用 し ます。 第 3 章の 「モニ タ ー イ ン タ ーフ ェ イ ス」 お よ び第 3 章の 「UART イ ン タ ーフ ェ イ ス」 を参
照 し て く だ さ い。 FRAME_ECC イ ン タ ーフ ェ イ ス を監視する こ と は推奨 し ません。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
126
japan.xilinx.com
付録 F : IP デザイ ンのチ ェ ッ ク リ ス ト
UART イ ン タ ーフ ェ イ ス で メ ッ セージの文字化けや文字抜けが発生す る な ど の問題が発生す る 場合は、
V_ENABLETIME の設定値が選択 し たボー レー ト お よ び ク ロ ッ ク 周波数に適 し てい る か ど う か を確認 し ま
す。 ま た、 UART イ ン タ ーフ ェ イ ス に接続 し てい る 外部デバ イ スや タ ー ミ ナル エ ミ ュ レー タ ーの設定が正
し い こ と を確認 し て く だ さ い。 詳細は、 第 3 章の 「ス イ ッ チン グ動作」 を参照 し て く だ さ い。
コ ン ト ロ ー ラ ーの制約が正 し い こ と を確認 し て く だ さ い (第 4 章の 「 コ アへの制約」 を参照)。
• コ ン ト ロ ー ラ ーの icap_clk に対する ク ロ ッ ク 周期制約
• コ ン ト ロ ー ラ ー と ICAP の間の一部の非同期パ ス に対する フ ォ ルス パ ス制約
• 適切な配置には、 ICAP お よ び FRAME_ECC 制約の適用が必要 と な る こ と があ り ます。
シ ミ ュ レーシ ョ ンでは コ ン ト ロ ー ラ ーの動作を観察で き ませんが、 コ ン ト ロ ー ラ ーを イ ン ス タ ン シエー ト
し たデザ イ ンのシ ミ ュ レーシ ョ ンはサポー ト さ れます。 詳細は、 第 4 章の 「シ ミ ュ レーシ ョ ン」 を参照 し
て く だ さ い。
コ ン ト ロ ー ラ ー と FRAME_ECC/ICAP プ リ ミ テ ィ ブの間には、 サンプル デザ イ ンで提供 さ れてい る も のを
除 き パ イ プ ラ イ ン レ ジ ス タ を配置 し ないで く だ さ い。
第 3 章の 「シ ス テ ム」 を参照 し て、 SEM ソ リ ュ ーシ ョ ン を シ ス テ ム レベルで監視する 機能が必要か ど う か
を検討 し て く だ さ い。
デザ イ ンの推定 FIT が目標レベルに達 し ない場合は、 SEU か ら ブ ロ ッ ク RAM を ECC で保護す る な ど、 ソ
フ ト エ ラ ーに対す る デザ イ ンの耐性を高め る 各種手法の採用を検討 し て く だ さ い。
SEM IP を早期段階でデザ イ ンに統合 し 、 SEM IP の機能を有効に し てハー ド ウ ェ アでデザ イ ンのバ リ デー
シ ョ ン を実行 し て く だ さ い。 詳細は、 第 4 章の 「統合お よ びバ リ デーシ ョ ン」 を参照 し て く だ さ い。
デバ ッ グ手順の詳細は、 付録 G 「デバ ッ グ」 を参照 し て く だ さ い。
コ ン ト ロ ー ラ ーの動作に関す る ク イ ッ ク リ フ ァ レ ン ス と し て、 図 3-5 ~図 3-7 に示 し た コ ン ト ロ ー ラ ーの
有効な ス テー ト 遷移図を参照 し て く だ さ い。
エ ラ ー挿入を実行す る 際は、 挿入の前後に Query コ マ ン ド を実行 し てエ ラ ーが正 し く 挿入 さ れた こ と を確
認 し て く だ さ い。 詳細は、 付録 E 「エ ラ ー挿入のガ イ ダ ン ス」 を参照 し て く だ さ い。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
127
japan.xilinx.com
付録 G
デバ ッ グ
こ の付録では、 ザ イ リ ン ク ス サポー ト ウ ェ ブサ イ ト よ り 入手可能な リ ソ ースお よ びデバ ッ グ ツールについて説明 し
ます。
ザイ リ ン ク ス ウ ェ ブサイ ト
UltraScale Architecture SEM Controller を使用 し た設計お よ びデバ ッ グでヘルプが必要な場合は、 ザ イ リ ン ク ス サポー
ト ウ ェ ブ ページか ら 製品の資料、 リ リ ース ノ ー ト 、 ア ンサーな ど を参照する か、 テ ク ニ カル サポー ト でケース を
開いて く だ さ い。
資料
こ の製品ガ イ ド は SEM Controller に関す る 主要資料です。 こ のガ イ ド 並びに全製品の設計プ ロ セ ス をサポー ト す る 資
料はすべて、 ザ イ リ ン ク ス サポー ト ウ ェ ブ ページ (http://japan.xilinx.com/support) ま たは Xilinx Documentation
Navigator か ら 入手で き ます。
Xilinx Documentation Navigator は、 ダ ウ ン ロ ー ド ページか ら ダ ウ ン ロ ー ド で き ます。 こ のツールの詳細お よ び機能
は、 イ ン ス ト ール後にオン ラ イ ン ヘルプ を参照 し て く だ さ い。
ア ンサー
ア ンサーには、 よ く 発生す る 問題についてその解決方法、 お よ びザ イ リ ン ク ス製品に関する 既知の問題な ど の情報
が記載 さ れてい ます。 ア ンサーは、 ユーザーが該当製品の最新情報にア ク セ ス で き る よ う 作成お よ び管理 さ れてい
ます。
こ の コ アに関す る ア ンサーの検索には、 ザ イ リ ン ク ス サポー ト ウ ェ ブ ページにあ る 検索ボ ッ ク ス を使用 し ます。 よ
り 的確な検索結果を得 る には、 次の よ う な キーワー ド を使用 し て く だ さ い。
•
製品名
•
ツールで表示 さ れ る メ ッ セージ
•
問題の概要
検索結果は、 フ ィ ル タ ー機能を使用 し て さ ら に絞 り 込む こ と がで き ます。
UltraScale Architecture SEM Controller に関する マス タ ー ア ンサー :
AR 63609
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
128
japan.xilinx.com
付録 G : デバ ッ グ
テ ク ニ カル サポー ト
ザ イ リ ン ク ス は、 製品資料の説明に従っ て使用 さ れてい る LogiCORE™ IP 製品に対す る テ ク ニ カル サポー ト をザ イ
リ ン ク ス サポー ト ウ ェ ブ ページで提供 し てい ます。 ただ し 、 次に該当する 場合、 ザ イ リ ン ク ス は タ イ ミ ン グ、 機
能、 サポー ト を保証で き ません。
•
資料で定義 さ れていないデバ イ ス に イ ンプ リ メ ン ト し た場合
•
資料で定義 さ れてい る 許容範囲を超え て カ ス タ マ イ ズ し た場合
•
「DO NOT MODIFY」 と さ れてい る デザ イ ン セ ク シ ョ ンに変更を加え た場合
ザ イ リ ン ク ス テ ク ニ カル サポー ト へのお問い合わせに関 し ては、 ザ イ リ ン ク ス サポー ト ウ ェ ブ ページ を参照 し て
く だ さ い。
デバ ッ グ ツール
SEM Controller デザ イ ンの問題に対応で き る ツールは多数あ り ます。 さ ま ざ ま な状況をデバ ッ グす る のに有益な ツー
ルを理解 し てお く こ と が重要です。
Vivado Design Suite のデバ ッ グ機能
Vivado® Design Suite のデバ ッ グ機能は、 Logic Analyzer お よ び Virtual I/O コ ア をユーザーのデザ イ ンに直接挿入 し ま
す。 デバ ッ グ機能を使用す る と 、 ト リ ガー条件を設定 し て、 ハー ド ウ ェ アでアプ リ ケーシ ョ ンお よ び統合ブ ロ ッ ク
のポー ト 信号をハー ド ウ ェ アに取 り 込む こ と がで き ます。 取 り 込まれた信号は、 その後解析で き ます。 Vivado IDE
の こ の機能は、 ザ イ リ ン ク ス デバ イ ス で実行 さ れ る デザ イ ンの論理デバ ッ グお よ びバ リ デーシ ョ ンに使用 さ れます。
Vivado ロ ジ ッ ク 解析は次の LogiCORE IP ロ ジ ッ ク デバ ッ グ コ ア と 共に使用 さ れます。
•
ILA 2.0 (お よ びそれ以降のバージ ョ ン)
•
VIO 2.0 (お よ びそれ以降のバージ ョ ン)
詳細は、 『Vivado Design Suite ユーザー ガ イ ド : プ ロ グ ラ ムお よ びデバ ッ グ』 (UG908) [参照 8] を参照 し て く だ さ い。
リ フ ァ レ ン ス ボー ド
SEM Controller コ アは さ ま ざ ま なザ イ リ ン ク ス開発ボー ド でサポー ト さ れてい ます。 こ れ ら のボー ド を使用 し てデザ
イ ンのプ ロ ト タ イ プ を作成 し 、 コ アがシ ス テ ム と 通信で き る よ う に し ます。 UltraScale アーキ テ ク チ ャ 評価ボー ド は
KCU105 を使用 し てい ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
129
japan.xilinx.com
付録 G : デバ ッ グ
ハー ド ウ ェ ア デバ ッ グ
ハー ド ウ ェ アの問題は、 リ ン ク 立ち上げ時の問題か ら 、 テ ス ト 後に生 じ る 問題ま で さ ま ざ ま です。 こ こ では、 一般
的な問題のデバ ッ グ手順を説明 し ます。 Vivado Design Suite のデバ ッ グ機能は、 ハー ド ウ ェ ア デバ ッ グに有益な リ
ソ ース です。 次の各セ ク シ ョ ンに示す信号を Vivado Design Suite のデバ ッ グ機能でプ ロ ーブする こ と で、 個々の問題
をデバ ッ グで き ます。
汎用チ ェ ッ ク
コ アに対す る タ イ ミ ン グ制約がサンプル デザ イ ンか ら すべて適切に取 り 込まれてい る こ と 、 さ ら に イ ンプ リ メ ン
テーシ ョ ン時に こ れ ら の制約がすべて満た さ れてい る こ と を確認 し ます。
ク ロ ッ ク 管理ブ ロ ッ ク を使用す る デザ イ ンでは、 ブ ロ ッ ク の ス テー タ ス を監視 し て ロ ッ ク が完了 し たか確認 し て く
だ さ い。
推奨 : ザ イ リ ン ク ス は、 SEM IP コ ア を な る べ く 早い段階で (理想的にはプ ロ ジ ェ ク ト の最初か ら ) 統合す る こ と を推
奨 し てい ます。 詳細は、 87 ページの 「統合およ びバ リ デーシ ョ ン」 を参照 し て く だ さ い。
イ ン タ ー フ ェ イ スのデバ ッ グ
モニ タ ー イ ン タ ー フ ェ イ ス
モニ タ ー イ ン タ ーフ ェ イ ス の使用は必須ではあ り ませんが、 モニ タ ー イ ン タ ーフ ェ イ ス を接続す る 手段を確保 し て
お く こ と を強 く 推奨 し ます。 モニ タ ー イ ン タ ーフ ェ イ ス か ら は、 潜在的な問題のデバ ッ グや ト ラ ブルシ ュ ーテ ィ ン
グに役立つ重要な情報が得 ら れます。 シ ス テ ム レベル サンプル デザ イ ンに含まれ る UART ヘルパー ブ ロ ッ ク は、
標準 RS232 ポー ト に接続す る か、 USB-to-UART ブ リ ッ ジ を介 し て USB に接続で き る UART です。
シ ス テ ムで こ の接続を利用で き ない場合は、 少な く と も モニ タ ー イ ン タ ーフ ェ イ ス の出力を FIFO バ ッ フ ァ ーに格納
し 、 デバ ッ グ用にデー タ を ポ ス ト プ ロ セ ス で き る よ う にす る こ と を強 く 推奨 し ます。
ど ち ら の方法 も 不可能な場合、 コ ン ト ロ ー ラ ーの初期化を正 し く 完了 さ せ る には、 モニ タ ー イ ン タ ーフ ェ イ ス を適
切な値に接続 し てお く 必要があ り ます。 詳細は、 第 3 章の 「モニ タ ー イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。 こ
の接続を正 し く し ておかない と 、 コ ン ト ロ ー ラ ーは初期化ス テー ト でハン グ し て し ま い ます。
SEM Controller が正 し く 動作 し てい る かは、 SEM Controller がモニ タ ー イ ン タ ーフ ェ イ ス か ら 出力す る 初期化レ ポー
ト で確認 し ます。 通常、 こ の レ ポー ト の フ ォーマ ッ ト は次の と お り です。
SEM_ULTRA_V3_1
SC 01
FS 03
AF 01
ICAP OK
RDBK OK
INIT OK
SC 02
O>
1 行目は コ ア バージ ョ ン を示 し てい ます。 3 行目は SEM Controller の機能セ ッ ト を示 し てお り 、 コ ア生成時に選択 し
た SEM コ ン ト ロ ー ラ ー コ アのオプシ ョ ンの要約が表示 さ れます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
130
japan.xilinx.com
付録 G : デバ ッ グ
UART ヘルパー ブ ロ ッ ク を使用 し ていて初期化レ ポー ト に正常な文字が表示 さ れない場合は、 「ス イ ッ チン グ動作」
と 第 3 章の 「UART イ ン タ ーフ ェ イ ス」 を参照 し て端末プ ロ グ ラ ムの通信設定が正 し いか ど う か確認 し て く だ さ い。
ま た、 実際に SEM Controller に供給 さ れ る ク ロ ッ ク 周波数 と UART ヘルパー ブ ロ ッ ク の V_ENABLETIME パ ラ メ ー
タ ー値の組み合わせで標準ボー レー ト が得 ら れ る か、 ま た端末プ ロ グ ラ ムの通信設定がビ ッ ト レー ト と 一致 し てい
る か を確認 し て く だ さ い。 詳細は式 3-1 お よ び式 3-2 を参照 し て く だ さ い。
SEM Controller が ICAP プ リ ミ テ ィ ブ経由で FPGA コ ン フ ィ ギ ュ レーシ ョ ン ロ ジ ッ ク と 通信で き ない場合、 初期化レ
ポー ト は 「ICAP」 の行で止ま り 、 「OK」 が表示 さ れません。 こ れは、 SEM Controller が FPGA コ ン フ ィ ギ ュ レーシ ョ
ン ロ ジ ッ ク と 通信で き ないためです。 こ の場合、 次の よ う な初期化レ ポー ト が表示 さ れます。
SEM_ULTRA_V3_1
SC 01
FS 03
AF 01
ICAP
こ の場合、 ICAP が応答 し ない理由を特定す る 必要があ り ます。 一般的な確認事項は次の と お り です。
•
使用す る デバ イ ス に合わせて ICAP が正 し く イ ン ス タ ン シエー ト さ れてい る か確認する 。
•
ほかのプ ロ セ ス に よ っ て ICAP がブ ロ ッ ク さ れていないか確認する 。
JTAG ア ク セ ス が発生 し ていない こ と 、 SelectMAP の persist を設定 し ていない こ と を確認す る 。
•
ICAP 共有を使用 し ない場合、 SEM Controller と ICAP を直接接続する 必要があ り ます。 SEM Controller と ICAP
の間にパ イ プ ラ イ ン処理を追加す る こ と は避けて く だ さ い。
第 1 章の 「サポー ト さ れていない機能」 で説明 し た よ う に、 SEM Controller を POST_CRC、 POST_CONFIG_CRC、
ま たはその他の関連す る 制約 と 併用す る こ と はで き ません。 初期化レ ポー ト が ICAP、 RDBK、 ま たは INIT の行で止
ま る 場合、 こ れ ら が使用中でない こ と を確認 し て く だ さ い。
ス テー タ ス イ ン タ ー フ ェ イ ス
モニ タ ー イ ン タ ーフ ェ イ ス をデバ ッ グに使用で き ない場合 も 、 コ ン ト ロ ー ラ ーが初期化ス テー ト を正 し く 完了 し て
通常動作 し てい る こ と を確認す る 必要があ り ます。 コ ン ト ロ ー ラ ーの有効な ス テー ト 遷移については、 図 3-5 ~
図 3-7 を参照 し て く だ さ い。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
131
japan.xilinx.com
付録 G : デバ ッ グ
その他のエ ラ ー挿入オプ シ ョ ン
リ ニア フ レーム ア ド レ ス (LFA) 以外に も 、 物理フ レーム ア ド レ ス (PFA) を使用 し てエ ラ ーを挿入で き ます。 こ の
フ ォーマ ッ ト に よ る エ ラ ー挿入 コ マ ン ド は、 モニ タ ー (ま たは UART) イ ン タ ーフ ェ イ ス と コ マ ン ド イ ン タ ーフ ェ イ
ス の ど ち ら か ら も 実行で き ます。
表 G-1 : PFA を使用 し たエ ラ ー挿入の UART コ マ ン ド
コマン ド
UART コ マ ン ド セ ッ ト
「N {10-digit hex value}」
UltraScale = 2 進数の値 = 0sst trrr rrrc cccc cccc cmmm mmmm wwww wwwb bbbb
それ以外 = 2 進数の値 = 00ss 0ttt rrrr rrcc cccc cccc mmmm mmmm wwww wwwb bbbb
2 進数の値
説明
ハー ド ウ ェ ア slr 番号 (2 ビ ッ ト )
ss
tt
PFA を使用 し たエ ラ ー挿入
ま たは
ttt
ブ ロ ッ ク タ イ プ (UltraScale の場合 2 ビ ッ ト 、 それ以外は 3 ビ ッ ト )
rrrrrr
行ア ド レ ス (6 ビ ッ ト )
cccccccccc
列ア ド レ ス (10 ビ ッ ト )
mmmmmmm
マ イ ナー ア ド レ ス (UltraScale の場合 7 ビ ッ ト 、 それ以外は 8 ビ ッ ト )
wwwwwww
ワー ド ア ド レ ス (7 ビ ッ ト )
bbbbb
ビ ッ ト ア ド レ ス (5 ビ ッ ト )
ア イ ド ル ス テー ト の場合に有効。 軽減 + テ ス ト 、 検出 + テ ス ト 、 エ ミ ュ レーシ ョ ン モー ド の
場合のみ有効。
表 G-2 : PFA を使用 し たエ ラ ー挿入の コ マ ン ド フ ォ ーマ ッ ト
command_code[n – 1:0] のフ ォ ーマ ッ ト
(UltraScale デバイ スの場合 n = 40、 それ以外は n = 44)
コマン ド
UltraScale = 2 進数の値 = 0sst trrr rrrc cccc cccc cmmm mmmm wwww wwwb bbbb
それ以外 = 2 進数の値 = 00ss 0ttt rrrr rrcc cccc cccc mmmm mmmm wwww wwwb bbbb
2 進数の値
ss
説明
ハー ド ウ ェ ア slr 番号 (2 ビ ッ ト )
tt
PFA を使用 し たエ ラ ー挿入
ま たは
ttt
ブ ロ ッ ク タ イ プ (UltraScale の場合 2 ビ ッ ト 、 それ以外は 3 ビ ッ ト )
rrrrrr
行ア ド レ ス (6 ビ ッ ト )
cccccccccc
列ア ド レ ス (10 ビ ッ ト )
mmmmmmm
マ イ ナー ア ド レ ス (UltraScale の場合 7 ビ ッ ト 、 それ以外は 8 ビ ッ ト )
wwwwwww
ワー ド ア ド レ ス (7 ビ ッ ト )
bbbbb
ビ ッ ト ア ド レ ス (5 ビ ッ ト )
コ ン ト ロ ー ラ ーがア イ ド ル ス テー ト の場合に有効。 軽減 + テ ス ト 、 検出 + テ ス ト 、 エ ミ ュ
レーシ ョ ン モー ド の場合のみ有効。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
132
japan.xilinx.com
付録 G : デバ ッ グ
ク ロ ッ キング
SEM Controller の ク ロ ッ ク はオシ レー タ ーか ら ピ ン を経由 し て直接 SEM Controller へ供給す る こ と を推奨 し ます。 内
部の PLL ま たは ミ ッ ク ス ド モー ド ク ロ ッ ク マネージ ャ ー (MMCM) で ク ロ ッ ク を生成す る と 、 ク ロ ッ ク 生成に関係
す る コ ン フ ィ ギ ュ レーシ ョ ン セルが SEU イ ベン ト の影響を受け る 可能性があ り ます。 その確率は非常にわずかです
が、 信頼性を低下 さ せ る 要因はな る べ く 排除 し てお く 必要があ り ます。 ど う し て も PLL/MMCM 出力ま たはその他
の ロ ジ ッ ク を使用 し て ク ロ ッ ク を生成す る 必要があ る 場合は、 デザ イ ンの ス タ ー ト ア ッ プ中や PLL/MMCM が ロ ッ
ク す る ま での間を含め、 ク ロ ッ ク が SEM Controller の最小周期要件に違反 し ない よ う 注意が必要です。
ク ロ ッ ク 管理ブ ロ ッ ク を使用す る 場合、 ク ロ ッ ク が安定す る ま で SEM Controller への ク ロ ッ ク の ト グルを抑制 し て く
だ さ い。 た と えば BUFGMUX ま たは BUFGCE を使用 し て、 PLL/MMCM が ロ ッ ク す る ま で SEM Controller の ク ロ ッ
ク が ト グル し ない よ う に し ます。
IP に供給 さ れ る ク ロ ッ ク が安定 し ていない場合、 SEM Controller が次の よ う な動作を示す こ と があ り ます。
•
ブー ト お よ び初期化シーケ ン ス が完了 し ない
•
初期化を完了 し て監視ス テー ト に移行後、 すぐ に重大エ ラ ー レ ポー ト が出力 さ れ る
デバイ スへの依存性
SEM Controller は生成時に タ ーゲ ッ ト と し たデバ イ ス専用です。 あ る デバ イ ス に対 し て生成 し た コ ン ト ロ ー ラ ーのデ
ザ イ ン チ ェ ッ ク ポ イ ン ト (DCP) を別のデバ イ スお よ びハー ド ウ ェ ア を タ ーゲ ッ ト に し た よ り 大規模なデザ イ ンで使
用 し た場合、 コ ン ト ロ ー ラ ーはブー ト お よ び初期化プ ロ セ ス を完了 し て も 正 し く 動作 し ません。
デザイ ンのプ ロパテ ィ および制約
SEM Controller は、 FPGA シ リ コ ンに内蔵 さ れた ソ フ ト エ ラ ー軽減機能を初期化お よ び管理 し ます。 こ れをデザ イ ン
に含め る 場合は、 内蔵の検出機能を有効にす る よ う なデザ イ ン制約ま たはオプシ ョ ン を含めないで く だ さ い。 た と
えば POST_CRC、 POST_CONFIG_CRC、 ま たは こ れ ら に関連す る 制約を設定 し ないで く だ さ い。 同様に、
GLUTMASK を無効にす る よ う なオプシ ョ ン も 使用 し ないで く だ さ い。 SEM Controller に よ る エ ラ ーの誤検出を防ぐ
には、 デフ ォ ル ト 値の YES と し てお く 必要があ り ます。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
133
japan.xilinx.com
付録 H
その他の リ ソ ースおよび法的通知
ザイ リ ン ク ス リ ソ ース
ア ンサー、 資料、 ダ ウ ン ロ ー ド 、 フ ォー ラ ム な ど のサポー ト リ ソ ース は、 ザ イ リ ン ク ス サポー ト サ イ ト を参照 し て
く だ さ い。
参考資料
次の資料は、 こ の製品ガ イ ド の補足資料 と し て役立ち ます。
1.
『ザ イ リ ン ク ス デバ イ ス信頼性レ ポー ト 』 (UG116)
2.
『UltraScale アーキテ ク チ ャ コ ン フ ィ ギ ュ レーシ ョ ン ユーザー ガ イ ド 』 (UG570 : 英語版、 日本語版)
3.
『Vivado Design Suite ユーザー ガ イ ド : ロ ジ ッ ク シ ミ ュ レーシ ョ ン』 (UG900 : 英語版、 日本語版)
4.
『Vivado Design Suite ユーザー ガ イ ド : イ ンプ リ メ ン テーシ ョ ン』 (UG904 : 英語版、 日本語版)
5.
『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896 : 英語版、 日本語版)
6.
Udipi, Sujeeth『Comprehensive Full-Chip Methodology to Verify EM and Dynamic Voltage Drop on High Performance FPGA
Designs in the 20nm Technology』 (DesignCon 2014 でのプ レ ゼン テーシ ョ ン。 最終ア ク セ ス日 2015 年 6 月 19 日)
http://japan.xilinx.com/events/designcon2014/
1_TH6Paper_ComprehensiveFull_ChipMethodologytoVerifyElectromigration_v2.pdf
7.
『ISE か ら Vivado Design Suite への移行ガ イ ド 』 (UG911 : 英語版、 日本語版)
8.
『Vivado Design Suite ユーザー ガ イ ド : プ ロ グ ラ ムお よ びデバ ッ グ』 (UG908 : 英語版、 日本語版)
9.
『Vivado Design Suite ユーザー ガ イ ド : 入門』 (UG910 : 英語版、 日本語版)
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
134
japan.xilinx.com
付録 H : その他の リ ソ ースおよび法的通知
改訂履歴
次の表に、 こ の文書の改訂履歴を示 し ます。
日付
バージ ョ ン
2016 年 4 月 6 日
3.1
内容
• UltraScale+ フ ァ ミ リ のサポー ト を追加。
• 文書全体に検証 (+ テ ス ト ) モー ド を追加。
• 図 2-1 : 「SEM Controller のポー ト 」 を更新。
• 表 3-2 : 「 コ マ ン ド の フ ォーマ ッ ト と 使用方法」 を更新。
• 「エ ラ ー検出レ ポー ト – 軽減 (+ テ ス ト ) モー ド (訂正機能有効)」 セ ク シ ョ ン を
更新。
• 「エ ラ ー検出レ ポー ト – 検出ス テー ト 」 セ ク シ ョ ン を更新。
• 「エ ラ ー検出レ ポー ト – 診断ス キ ャ ン」 セ ク シ ョ ン を更新。
• 表 3-5 : 「UART コ マ ン ド と 使用方法」 を更新。
• 「デザ イ ン フ ロ ーの手順」 の章を更新。
• 「外部 メ モ リ プ ロ グ ラ ミ ン グ フ ァ イ ルの作成」 セ ク シ ョ ン を更新。
• 付録 A 「検証、 互換性、 相互運用性」 の 「検証」 セ ク シ ョ ン を更新。
• 付録 B 「移行お よ びア ッ プグ レー ド 」 に UltraScale+ の説明を追加。
• 付録 C 「SPI バ ス タ イ ミ ン グ バジ ェ ッ ト 」 と 付録 D 「評価ボー ド を使用 し た
SEM Controller の動作デモ」 を追加。
• 付録 F 「IP デザ イ ンのチ ェ ッ ク リ ス ト 」 に合成後の DCP に関す る 項目を追加。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
135
japan.xilinx.com
付録 H : その他の リ ソ ースおよび法的通知
日付
バージ ョ ン
2015 年 9 月 30 日
3.0
内容
• 文書全体に検出お よ び診断ス キ ャ ン ス テー ト を追加。
• 「IP の概要」 セ ク シ ョ ン を更新。
• 「機能概要」 セ ク シ ョ ンに説明を追加。
• 「機能」 セ ク シ ョ ンに検出機能の説明を追加。
• 表 2-2 : 「 コ ン フ ィ ギ ュ レーシ ョ ン フ レームの最大数」 を追加。
• 表 2-5 : 「最大ス タ ー ト ア ッ プ レ イ テ ン シ (ICAP FMax の場合)」 を更新。
• 表 2-6 : 「最大 IP エ ラ ー検出時間 (ICAP FMax の場合)」 を更新。
• 表 2-9 : 「LFA を使用 し た場合のエ ラ ー挿入レ イ テ ン シ (モニ タ ー イ ン タ ーフ ェ
イ ス での ス ロ ッ ト リ ン グ な し )」 を更新
• 「その他の要因に よ る レ イ テ ン シ」 セ ク シ ョ ンに説明を追加。
• 「 リ ソ ース使用状況」 セ ク シ ョ ン を更新。
• 図 2-1 : 「SEM Controller のポー ト 」 を更新。
• 「ス テー タ ス イ ン タ ーフ ェ イ ス」 セ ク シ ョ ンの説明を更新。
• 「一般的なデザ イ ン ガ イ ド ラ イ ン」 セ ク シ ョ ンに 「早期の統合お よ びバ リ デー
シ ョ ン」 の説明を追加。
• 「ICAP アービ ト レーシ ョ ン イ ン タ ーフ ェ イ ス」 セ ク シ ョ ン を更新。
• 図 3-4 ~図 3-6 を更新。
• 検出お よ び診断ス キ ャ ン ス テー ト への移行命令を追加。
• 「ハー ト ビー ト 」 セ ク シ ョ ンの説明 と 図を更新。
• 「UART イ ン タ ーフ ェ イ ス」 セ ク シ ョ ン を更新。
• 「シ ス テ ム」 セ ク シ ョ ン を更新。
• 図 4-1 ~図 4-3 の GUI を更新。
• 「ユーザー パ ラ メ ー タ ー」 セ ク シ ョ ン を更新。
• 「機能」 セ ク シ ョ ン を更新。
• 「 コ ン ト ロ ー ラ ーの制約」、 「サンプル デザ イ ンの制約」、 「SSI デバ イ ス の制約」
セ ク シ ョ ンに説明を追加。
• 第 4 章 「デザ イ ン フ ロ ーの手順」 に 「統合お よ びバ リ デーシ ョ ン」 のセ ク シ ョ
ン を追加。
• 表 5-1 : 「デバ イ ス の SLR 番号」 を更新。
• 付録 B 「移行お よ びア ッ プグ レー ド 」 を更新。
• 付録 E 「エ ラ ー挿入の手引 き 」 と 付録 F 「IP デザ イ ンのチ ェ ッ ク リ ス ト 」 を
追加。
• 付録 G 「デバ ッ グ」 に 「PFA を使用 し たエ ラ ー挿入」、 「デバ イ スへの依存性」
を追加。 「その他の非互換性」 を削除。
2015 年 4 月 1 日
2.0
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
初版
136
japan.xilinx.com
付録 H : その他の リ ソ ースおよび法的通知
お読み く だ さ い : 重要な法的通知
本通知に基づいて貴殿ま たは貴社 (本通知の被通知者が個人の場合には 「貴殿」、 法人その他の団体の場合には 「貴社」。 以下同 じ )
に開示 さ れ る 情報 (以下 「本情報」 と いい ます) は、 ザ イ リ ン ク ス の製品を選択お よ び使用す る こ と のためにのみ提供 さ れます。 適
用 さ れ る 法律が許容す る 最大限の範囲で、 (1) 本情報は 「現状有姿」、 お よ びすべて受領者の責任で (with all faults) と い う 状態で提
供 さ れ、 ザ イ リ ン ク ス は、 本通知を も っ て、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますが こ
れ ら に限 ら れません)、 すべての保証お よ び条件を負わない (否認す る ) も の と し ます。 ま た、 (2) ザ イ リ ン ク ス は、 本情報 (貴殿ま
たは貴社に よ る 本情報の使用を含む) に関係 し 、 起因 し 、 関連す る 、 いかな る 種類 ・ 性質の損失ま たは損害について も 、 責任を負
わない (契約上、 不法行為上 (過失の場合を含む)、 その他のいかな る 責任の法理に よ る か を問わない) も の と し 、 当該損失ま たは損
害には、 直接、 間接、 特別、 付随的、 結果的な損失ま たは損害 (第三者が起 こ し た行為の結果被っ た、 デー タ 、 利益、 業務上の信
用の損失、 その他あ ら ゆ る 種類の損失や損害を含みます) が含ま れ る も の と し 、 それは、 た と え当該損害や損失が合理的に予見可
能であ っ た り 、 ザ イ リ ン ク ス がそれ ら の可能性について助言を受けていた場合であ っ た と し て も 同様です。 ザ イ リ ン ク ス は、 本情
報に含ま れ る いかな る 誤 り も 訂正す る 義務を負わず、 本情報ま たは製品仕様のア ッ プデー ト を貴殿ま たは貴社に知 ら せ る 義務 も 負
い ません。 事前の書面に よ る 同意のない限 り 、 貴殿ま たは貴社は本情報を再生産、 変更、 頒布、 ま たは公に展示 し てはな り ませ
ん。 一定の製品は、 ザ イ リ ン ク ス の限定的保証の諸条件に従 う こ と と な る ので、 http://japan.xilinx.com/legal.htm#tos で見 ら れ る ザ イ
リ ン ク ス の販売条件を参照 し て く だ さ い。 IP コ アは、 ザ イ リ ン ク ス が貴殿ま たは貴社に付与 し た ラ イ セ ン ス に含ま れ る 保証 と 補助
的条件に従 う こ と にな り ます。 ザ イ リ ン ク ス の製品は、 フ ェ イ ルセーフ と し て、 ま たは、 フ ェ イ ルセーフ の動作を要求する アプ リ
ケーシ ョ ンに使用す る ために、 設計 さ れた り 意図 さ れた り し てい ません。 その よ う な重大な アプ リ ケーシ ョ ンにザ イ リ ン ク ス の製
品を使用す る 場合の リ ス ク と 責任は、 貴殿ま たは貴社が単独で負 う も のです。 http://japan.xilinx.com/legal.htm#tos で見 ら れ る ザ イ リ
ン ク ス の販売条件を参照 し て く だ さ い。
© Copyright 2015-2016 Xilinx, Inc. Xilinx、 Xilinx の ロ ゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 Vivado、 Zynq、 お よ び こ の文書に含
ま れ る その他の指定 さ れたブ ラ ン ド は、 米国お よ びその他各国のザ イ リ ン ク ス社の商標です。 すべてのその他の商標は、 それぞれ
の保有者に帰属 し ます。
こ の資料に関す る フ ィ ー ド バ ッ ク お よ び リ ン ク な ど の問題につ き ま し ては、 [email protected] ま で、 ま たは各ページ
の右下にあ る [フ ィ ー ド バ ッ ク 送信] ボ タ ン を ク リ ッ ク す る と 表示 さ れ る フ ォ ームか ら お知 ら せ く だ さ い。 フ ィ ー ド バ ッ ク は日本語
で入力可能です。 いただ き ま し た ご意見を参考に早急に対応 さ せていただ き ます。 なお、 こ の メ ール ア ド レ スへのお問い合わせは
受け付けてお り ません。 あ ら か じ めご了承 く だ さ い。
UltraScale Architecture SEM Controller v3.1
2016 年 4 月 6 日 PG187
137
japan.xilinx.com
Fly UP