...

LogiCORE IP AXI インターコネクト (v1.02.a)、データシート

by user

on
Category: Documents
137

views

Report

Comments

Transcript

LogiCORE IP AXI インターコネクト (v1.02.a)、データシート
LogiCORE IP
AXI イ ン タ ー コ ネ ク ト (v1.02.a)
DS768 2011 年 3 月 1 日
Product 製品仕様
概要
LogiCORE IP
LogiCORE™ IP の AXI イ ン タ ー コ ネ ク ト コ ア IP
(axi_interconnect) は、 1 つ以上の メ モ リ マ ッ プ方式 AXI マ
ス タ ー デバ イ ス と 、 1 つ以上の メ モ リ マ ッ プ方式の ス レーブ
デバ イ ス を 接続 し ま す。 AXI イ ン タ ー フ ェ イ ス は、 ARM®
の AMBA® AXI 仕様のバージ ョ ン 4 に準拠 し てい ます。 こ
の仕様には AXI4-Lite 制御 レ ジ ス タ イ ン タ ー フ ェ イ ス のサ
ブセ ッ ト も 含まれます。
メ モ : AXI イ ン タ ー コ ネ ク ト コ アは、 メ モ リ マ ッ プ方式の
転送のみを前提に構成 さ れてい る ため、 AXI4-Stream に よ る
転送には適用で き ません。 AXI4-Stream イ ン タ ーフ ェ イ ス を
備えた IP は、 通常同種の IP ど う し 、 お よ び DMA IP と 接続
さ れます。
イ ン タ ー コ ネ ク ト コ ア は、 Xilinx®
AXI
Platform Studio
(XPS) ソ フ ト ウ ェ アに含まれ る 、 非暗号化、 ラ イ セ ン ス不要
(無償) のプ ロ セ ッ サ コ ア (pcore) と し て提供 さ れます。
コ ア仕様
サポー ト 対象の
ユーザー
イ ン タ ーフ ェ イ ス
リ ソ ース
コ ン フ ィ ギ ュ レー
ション
LUT
FF
Config1
N/A
N/A
AXI プ ロ ト コ ル (AXI3、 AXI4、 AXI4-Lite) に準拠 し た
次の機能
•
•
•
周波数
DSP
ブロ ッ ク
スラ イス
RAM
最大
周波数
N/A
N/A
N/A
コ ア付属品
Product 製品仕様
資料
デザ イ ン
フ ァ イル
Verilog、 VHDL
6 ページの図 1
デザ イ ンの例
テ ス ト ベンチ
なし
制約フ ァ イ ル
ユーザー制約フ ァ イ ル (UCF)
最大バース ト 長 256 の イ ン ク リ メ ン タ ル (INCR)
バース ト が可能です。
宛先が AXI3 ス レーブの場合、 16 ビー ト を超え る
AXI4 バー ス ト には ト ラ ンザ ク シ ョ ン を分割す る 変
換を加え ます。
•
複数のア ド レ ス デ コ ー ド 範囲を持つス レーブに対
し て REGION 出力を生成 し ます。
•
USER 信号が存在す る 場合、 それを各チ ャ ネルに伝
搬 し ます。 その場合、 USER 信号幅はチ ャ ネルご と
に独立 し て設定で き ます ( オプシ ョ ン )。
•
サービ ス品質 (QoS) 信号が存在す る 場合、 それを伝
搬 し ます。 こ の信号は AXI イ ン タ ー コ ネ ク ト コ ア
では使用 し ません ( オプシ ョ ン )。
なし
テ ス ト 済みデザイ ン ツール
AXI イ ン タ ー コ ネ ク ト コ アには次の よ う な特長があ り ます。
•
AXI4、 AXI4-Lite、 AXI3
シ ミ ュ レーシ ョ ン
モデル
機能
•
Virtex®-6、 Spartan®-6
Virtex-7、 Kintex™-7
サポー ト 対象の
デバイ ス フ ァ ミ リ (1)
デザ イ ン入力
ツール
ISE Design Suite 13.1 PlanAhead™ ツール、
XPS
Mentor Graphics ModelSim 6.6d、
Cadence IES 10.2
シ ミ ュ レーシ ョ ン
XST 13.1
合成ツール
サポー ト
ザ イ リ ン ク ス の ウ ェ ブサ イ ト japan.xilinx.com/support よ り 提供
1. サポー ト 対象の全デバ イ ス の リ ス ト は、 こ の コ アに関す る リ リ ー ス
ノ ー ト を参照 し て く だ さ い。
イ ン タ ーフ ェ イ ス デー タ 幅
•
AXI4 : 32、 64、 128、 256、 512、 1024 ビ ッ ト
•
AXI4-Lite : 32 ビ ッ ト
ア ド レ ス幅 32 ビ ッ ト
© Copyright 2010–2011 Xilinx, Inc. XILINX, the Xilinx logo, Virtex, Kintex, Artix, Spartan, ISE and other designated brands included herein are
trademarks of Xilinx in the United States and other countries. AMBA and ARM are trademarks of ARM in the EU and other countries. All other
trademarks are the property of their respective owners.
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
1
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
機能 (続き )
•
•
1 ~ 16 個のマ ス タ ー デバ イ ス と 1 ~ 16 個の ス レーブ デバ イ ス の接続
•
マ ス タ ー と ス レーブ を 1 対 1 で接続す る 場合、 AXI イ ン タ ー コ ネ ク ト コ アでは必要に応 じ てア ド レ ス範囲チ ェ ッ ク
を実行で き ます。 ま た、 デー タ 幅、 ク ロ ッ ク レー ト 、 プ ロ ト コ ルの変換やレ ジ ス タ のパ イ プ ラ イ ン処理、 デー タ パ ス
のバ ッ フ ァ ー処理な ど のオプシ ョ ン機能 も 実行可能です。
•
マ ス タ ー と ス レーブ を 1 対 1 で接続 し 、 変換ま たはア ド レ ス範囲チ ェ ッ ク のいずれ も 実行 し ない場合、 AXI イ ン タ ー
コ ネ ク ト コ アは ワ イ ヤ と し て イ ンプ リ メ ン ト さ れ、 リ ソ ース を一切使用せず、 遅延やレ イ テ ン シ も 発生 し ません。
ビル ト イ ン デー タ 幅変換
•
•
マ ス タ ーお よ びス レーブの各接続では、 それぞれ独立 し たデー タ 幅 (32、 64、 128、 256、 512、 1024 ビ ッ ト 幅のいず
れか) を使用で き ます。
-
内部 ク ロ ス バーは、 ネ イ テ ィ ブ デー タ 幅が 32、 64、 128、 256、 512、 1024 ビ ッ ト にな る よ う な コ ン フ ィ ギ ュ
レーシ ョ ンが可能です。
-
ク ロ ス バーのネ イ テ ィ ブ デー タ 幅 と 異な る 幅のマ ス タ ーお よ びス レーブ接続ではデー タ 幅を変換 し ます。
•
よ り デー タ 幅の広い イ ン タ ーフ ェ イ ス に変換す る 場合 ( ア ッ プサ イ ジ ン グ )、 デー タ をパ ッ ク (結合) し ます。 それに
は、 ア ド レ ス チ ャ ネル制御信号に よ っ て許可す る (CACHE 変更許可ビ ッ ト を アサー ト す る ) 必要があ り ます。
•
よ り デー タ 幅の狭い イ ン タ ーフ ェ イ ス に変換 ( ダ ウ ンサ イ ジ ン グ ) す る 際に、その ま ま では最大バース ト 長を超え て し
ま う 場合は、 バース ト ト ラ ンザ ク シ ョ ン を複数の ト ラ ンザ ク シ ョ ンに分割で き ます。
ビル ト イ ン ク ロ ッ ク レー ト 変換
•
マ ス タ ーお よ びス レーブの接続は、 それぞれ独立 し た ク ロ ッ ク レー ト を使用で き ます。
•
内部 ク ロ ス バーのネ イ テ ィ ブ ク ロ ッ ク レー ト へ整数比 (N:1 お よ び 1:N) の同期変換が可能です。
•
非同期 ク ロ ッ ク 変換に も 対応 し てい ます ( ただ し 、 同期変換 よ り も 多 く の ス ト レージ を使用 し 、 よ り 長い レ イ テ ン シ
を発生 し ます)。
•
AXI イ ン タ ー コ ネ ク ト コ アは、 接続 さ れた各マ ス タ ー / ス レーブ ペアの ク ロ ッ ク レー ト に再同期 さ れた リ セ ッ ト 信
号をエ ク ス ポー ト し ます。
•
ビル ト イ ン AXI4-Lite プ ロ ト コ ル変換
•
AXI イ ン タ ー コ ネ ク ト コ アは、 あ ら ゆ る 比率の AXI4 と AXI4-Lite マ ス タ ー / ス レーブの組み合わせに対す る 接続が
可能です。
•
AXI イ ン タ ー コ ネ ク ト コ ア を AXI4-Lite の ス レーブに接続 し た場合、 ト ラ ンザ ク シ ョ ン ID が保存 さ れ、 応答転送時
に リ ス ト ア さ れます。
-
AXI4-Lite の ス レーブの場合は ID をサンプ リ ン グ し た り 保存 し た り す る必要はあ り ません。
•
AXI イ ン タ ー コ ネ ク ト コ アは、 複数 ワー ド のバース ト が実行 さ れ る ト ラ ンザ ク シ ョ ン な ど、 AXI4 マ ス タ ーか ら の不
正な AXI4-Lite ト ラ ンザ ク シ ョ ン を検出 し ます。 こ の と き 、 接続 さ れてい る マ ス タ ーに対 し てプ ロ ト コ ルに準拠 し た
エ ラ ー応答を生成す る と 共に、 こ の不正な ト ラ ンザ ク シ ョ ンが AXI4-Lite ス レーブに伝搬 さ れない よ う に し ます。
•
AXI4-Lite ス レーブ デバ イ スへの書 き 込みお よ び読み出 し は単一ス レ ッ ド の ト ラ ンザ ク シ ョ ン です。 つま り 、 一度に
1 つのア ド レ ス のみを伝搬 し ます。 こ れに よ っ て通常は、 AXI の書 き 込み と 読み出 し のア ド レ ス信号を分け る こ と に
よ る リ ソ ース のオーバーヘ ッ ド を抑止で き ます。
•
ビル ト イ ン AXI3 プ ロ ト コ ル変換
•
•
AXI3 ス レーブ デバ イ ス に接続 し た場合、 AXI イ ン タ ーコ ネ ク ト コ アは、 接続 さ れてい る AXI4 マ ス タ ーか ら の
16 ビー ト を超え る バース ト ト ラ ンザ ク シ ョ ン を、 16 ビー ト 以下の複数の ト ラ ンザ ク シ ョ ンに分割 し ます。
レ ジ ス タ ス ラ イ ス に よ る パ イ プ ラ イ ン処理 ( オプシ ョ ン )
•
マ ス タ ーやス レーブ デバ イ ス に接続す る 、 各 AXI チ ャ ネルに適用で き ます。
•
周波数対レ イ テ ン シの ト レー ド オ フ を調整す る こ と で、 タ イ ミ ン グ ク ロ ージ ャ を容易に し ます。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
2
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
•
•
•
デー タ パス FIFO に よ る バ ッ フ ァ ー処理 (オプシ ョ ン )
•
マ ス タ ーやス レーブに接続す る 、 書 き 込みお よ び読み出 し のデー タ パ ス に適用で き ます。
•
深 さ 32 の LUT-RAM ベース のバ ッ フ ァ ーを提供 し ます。
•
深 さ 512 のブ ロ ッ ク RAM ベース のバ ッ フ ァ ーを提供 し ます。
選択可能な イ ン タ ー コ ネ ク ト アーキ テ ク チ ャ
•
•
•
•
ク ロ ス バー モー ド (パフ ォーマ ン ス最適化)
-
共有ア ド レ ス複数デー タ (SAMD : Shared-Address, Multiple-Data) ク ロ スバー アーキ テ ク チ ャ
-
書 き 込みお よ び読み出 し デー タ チ ャ ネル用の並列の ク ロ スバー パ ス です。 複数の書 き 込みま たは読み出 し デー
タ ソ ー ス が、 異な る 宛先に対 し てデー タ を送信 し よ う と し てい る 場合、 AXI の順序の規定に従っ ていれば、 こ
れ ら のデー タ 転送を独立 し て同時に実行で き ます。
-
コ ネ ク テ ィ ビ テ ィ マ ッ プの コ ン フ ィ ギ ュ レーシ ョ ンに従っ た、 スパース ク ロ スバー デー タ パ ス は リ ソ ース使用
量を低減 し ます。
-
書 き 込みア ド レ ス の共有アービ タ ー と 読み出 し ア ド レ ス の共有アービ タ ーを それぞれ 1 つずつ含みます。 通常、
ト ラ ンザ ク シ ョ ンが平均 3 デー タ ビー ト 以上の場合、 アービ ト レーシ ョ ンに要す る レ イ テ ン シはデー タ ス ルー
プ ッ ト に影響を与え ません。
共有ア ク セ ス モー ド ( エ リ ア最適化)
-
書 き 込みデー タ 、 読み出 し デー タ お よ び単一のア ド レ ス パ ス を共有 し ます。
-
一度に 1 つの Outstanding ト ラ ンザ ク シ ョ ン を発行 し ます。
-
リ ソ ース使用率を最小化 し ます。
Multiple Outstanding (複数の未処理) ト ラ ンザ ク シ ョ ンのサポー ト ( ク ロ ス バー モー ド )
•
接続 さ れたマ ス タ ーに よ る 複数の深 さ レベルの順序変更 (ID ス レ ッ ド ) に対応 し ます。
•
最大 16 ビ ッ ト 幅の ID 信号に対応 し ます ( シ ス テ ム共通)。
•
書 き 込み応答の順序変更に対応 し ます。 読み出 し デー タ の順序変更お よ び読み出 し デー タ の イ ン タ ー リ ーブに も 対応
し てい ます。
•
接続 さ れた各マ ス タ ーに対 し て、 書 き 込みお よ び読み出 し ト ラ ンザ ク シ ョ ンの受け付け限度を設定で き ます。
•
接続 さ れた各ス レーブに対 し て、 書 き 込みお よ び読み出 し ト ラ ンザ ク シ ョ ンの発行限度を設定で き ます。
•
オプシ ョ ンのシ ン グル ス レ ッ ド モー ド (接続 さ れたマ ス タ ーご と ) は、 1 つ以上の Outstanding ト ラ ンザ ク シ ョ ン を
一度に 1 つの ス レ ッ ド ID に対 し てのみ許可す る こ と で、 ス レ ッ ド 制御の ロ ジ ッ ク を削減 し ます。
サ イ ク ル依存性 (デ ッ ド ロ ッ ク ) を予防す る 「ID あ た り 1 ス レーブ」 の手法
•
•
すべての AXI ハン ド シ ェ イ ク 条件において、 デー タ スループ ッ ト を劣化 さ せる こ と な く 、 1 つの レ ジ ス タ ス ラ イ ス
あ た り 1 レ イ テ ン シ サ イ ク ルの調整が可能です。
接続 さ れてい る マ ス タ ー デバ イ ス が発行 し た各 ID ス レ ッ ド について、 イ ン タ ー コ ネ ク ト は、 書 き 込みお よ び読み出
し それぞれに対 し て一度に各 1 個の ス レーブ デバ イ ス のみ、1 つ以上の Outstanding ト ラ ンザ ク シ ョ ン を許可 し ます。
固定優先順位 と ラ ウ ン ド ロ ビ ン アービ ト レーシ ョ ン
•
16 段階の静的優先順位を設定で き ます。
•
よ り 優先順位が高いほかのマ ス タ ーか ら の要求がない場合、最低の優先順位に設定 さ れた (優先順位 0 の) すべてのマ
ス タ ー間で、 ラ ウ ン ド ロ ビ ン方式のアービ ト レーシ ョ ンが実行 さ れます。
•
受け付け限度に達 し たマ ス タ ー デバ イ ス、発行限度に達 し た ス レーブ デバ イ ス を宛先 と し てい る マ ス タ ー デバ イ ス、
ま たはデ ッ ド ロ ッ ク のおそれがあ る 方法で ス レーブにア ク セ ス し よ う と し てい る マ ス タ ー デバ イ ス は、 アービ ト レー
シ ョ ンへの参加資格を一時的に奪われます。 こ れに よ っ て、 接続 さ れてい る ほかのマ ス タ ーがアービ ト レーシ ョ ンに
参加で き る よ う に し ます。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
3
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
•
•
接続 さ れた各ス レーブに対す る TrustZone セキ ュ リ テ ィ の一括サポー ト
-
セキ ュ ア な ス レーブ デバ イ ス と し て設定す る と 、 セキ ュ ア な AXI ア ク セ ス し か受け付けな く な り ます。
-
セキ ュ アでないア ク セ ス はブ ロ ッ ク さ れ、 AXI イ ン タ ー コ ネ ク ト コ アがマ ス タ ー デバ イ ス に対 し て DECERR 応
答を返 し ます。
リ ソ ース使用量を低減す る 読み出 し 専用お よ び書 き 込み専用マ ス タ ー / ス レーブのサポー ト
AXI イ ン タ ー コ ネ ク ト コ アの制約
•
•
AXI イ ン タ ー コ ネ ク ト コ アは、 次の AXI3 機能には対応 し てい ません。
-
ア ト ミ ッ ク ロ ッ ク ト ラ ンザ ク シ ョ ン。 こ の機能は AXI4 プ ロ ト コ ルでは廃止 さ れま し た。 分割禁止に ロ ッ ク さ れた
ト ラ ンザ ク シ ョ ンは、 ロ ッ ク を解除 さ れた ト ラ ンザ ク シ ョ ンに変換 さ れた う えで宛先ス レーブに伝搬 さ れます。
-
書 き 込み イ ン タ ー リ ーブ。 こ の機能は AXI4 プ ロ ト コ ルでは廃止 さ れま し た。 し たがっ て、 AXI3 マ ス タ ー デバ イ ス
は、 書 き 込み イ ン タ ー リ ーブの深 さ が 1 の ス レーブに接続する よ う に設定する 必要があ り ます。
AXI4 の QoS 信号は、 アービ ト レーシ ョ ンの優先順位に影響を与え ません。 QoS 信号は、 マ ス タ ーか ら ス レーブに伝搬
さ れます。
•
複数ビー ト のバース ト を複数の単一ビー ト ト ラ ンザ ク シ ョ ンに変換す る AXI イ ン タ ーコ ネ ク ト コ アの機能は、
AXI4-Lite ス レーブ デバ イ ス を宛先 と し た場合は実行 さ れません。
•
AXI イ ン タ ー コ ネ ク ト コ アは低消費電力モー ド ま たは AXI C チ ャ ネル信号の伝搬には対応 し てい ません。
•
AXI イ ン タ ー コ ネ ク ト コ アは、 AXI チ ャ ネル伝送のいずれかの宛先が永久に ス ト ール し て も 、 タ イ ム ア ウ ト し ません。 し
たが っ て、 接続 さ れたすべての AXI ス レ ーブは受信 し た ト ラ ン ザ ク シ ョ ン のすべてに対 し て AXI プ ロ ト コ ルの要件に
従っ た応答を返す必要があ り ます。
•
AXI イ ン タ ー コ ネ ク ト コ アはア ド レ ス マ ッ プの再割 り 当て を行い ません。
•
AXI イ ン タ ー コ ネ ク ト コ アは、 APB な ど の非 AXI プ ロ ト コ ルへのビル ト イ ン変換機能を備え てい ません。
•
AXI イ ン タ ー コ ネ ク ト コ アには ク ロ ッ ク イ ネーブル (ACLKEN) 入力があ り ません。 こ のため、 ザ イ リ ン ク ス シ ス テ ム で
は メ モ リ マ ッ プ方式の AXI イ ン タ ーフ ェ イ ス間で ACLKEN を使用で き ません。
メ モ : ザ イ リ ン ク ス AXI4-Stream イ ン タ ーフ ェ イ ス は ACLKEN 信号をサポー ト し てい ます。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
4
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
定義、 頭辞語、 略語
表 1 に、 こ の文書で使用 さ れてい る 頭辞語、 略語、 定義の一覧を示 し ます。
表 1: 定義、 頭辞語、 略語
項目
AXI
内容
イ ンプ リ メ ン ト さ れ る AXI プ ロ ト コ ル イ ン タ ーフ ェ イ ス全般を指す一般的な用語です。
マ ス タ ー デバ イ ス AXI ト ラ ンザ ク シ ョ ン を生成 し て ス レーブ IP へ と 接続 さ れた ワ イ ヤに発信す る 、 IP ま たはデバ イ ス
ま たは接続 さ れた ( ま たは IP 上の複数の イ ン タ ーフ ェ イ ス の う ちの 1 つ)。
マス ター
ス レーブ デバ イ ス マ ス タ ー IP へ と 接続 さ れた ワ イ ヤか ら AXI ト ラ ンザ ク シ ョ ン を受信 し て応答す る 、 IP ま たはデバ イ ス
ま たは接続 さ れた ( ま たは IP 上の複数の イ ン タ ーフ ェ イ ス の う ちの 1 つ)。
ス レーブ
マ ス タ ー イ ン タ ー 発信 AXI ト ラ ンザ ク シ ョ ン を生成 し て AXI 転送の開始側 (送信元) と な る IP ま たはモジ ュ ールの イ ン
フ ェ イ ス (汎用)
タ ーフ ェ イ ス。 AXI のマ ス タ ー イ ン タ ーフ ェ イ ス では、 AWVALID、 ARVALID、 WVALID が出力、 RVALID、
BVALID が入力。
ス レーブ イ ン タ ー AXI 転送の受信側 (宛先) と な っ て着信 AXI ト ラ ンザ ク シ ョ ン を受信す る IP ま たはモジ ュ ールの イ ン
フ ェ イ ス (汎用)
タ ーフ ェ イ ス。 AXI の ス レーブ イ ン タ ーフ ェ イ ス では、 AWVALID、 ARVALID、 WVALID が入力、 RVALID、
BVALID が出力。
SI
AXI イ ン タ ー コ ネ ク ト の ス レーブ イ ン タ ーフ ェ イ ス : 接続 さ れたすべてのマ ス タ ー デバ イ ス か ら の着
信 AXI ト ラ ンザ ク シ ョ ン を受信す る 、 ベ ク タ ー化 さ れた AXI ス レーブ イ ン タ ーフ ェ イ ス。
MI
AXI イ ン タ ー コ ネ ク ト のマ ス タ ー イ ン タ ーフ ェ イ ス : 接続 さ れたすべての ス レーブ デバ イ スへの発信
AXI ト ラ ンザ ク シ ョ ン を生成す る 、 ベ ク タ ー化 さ れた AXI マ ス タ ー イ ン タ ーフ ェ イ ス。
SI ス ロ ッ ト
ス レーブ イ ン タ ーフ ェ イ ス ス ロ ッ ト : 1 つのマ ス タ ー デバ イ ス に接続す る AXI イ ン タ ー コ ネ ク ト コ ア
の ス レーブ イ ン タ ーフ ェ イ ス ベ ク タ ー信号の 1 ス ラ イ ス。
MI ス ロ ッ ト
マ ス タ ー イ ン タ ーフ ェ イ ス ス ロ ッ ト : 1 つの ス レーブ デバ イ ス に接続す る AXI イ ン タ ー コ ネ ク ト コ ア
のマ ス タ ー イ ン タ ーフ ェ イ ス ベ ク タ ー信号の 1 ス ラ イ ス。
SI 側
AXI イ ン タ ー コ ネ ク ト コ アの SI 側に近いモジ ュ ール イ ン タ ーフ ェ イ ス。
MI 側
AXI イ ン タ ー コ ネ ク ト コ アの MI 側に近いモジ ュ ール イ ン タ ーフ ェ イ ス。
ク ロ スバー
AXI イ ン タ ー コ ネ ク ト コ アの中心に位置 し 、 さ ま ざ ま な SI ス ロ ッ ト お よ び MI ス ロ ッ ト 間のア ド レ ス、
デー タ 、 応答の各チ ャ ネルの転送をルーテ ィ ン グす る モジ ュ ール。
SI 域
SI と ク ロ スバーの間に配置 さ れた、AXI イ ン タ ー コ ネ ク ト コ アの変換お よ びス ト レージ モジ ュ ール群。
MI 域
ク ロ スバー と MI の間に配置 さ れた、AXI イ ン ター コ ネ ク ト コ アの変換およびス ト レージ モジ ュール群。
ア ッ プサ イ ザー
SI 側か ら MI 側へ と デー タ を移動する 際に (書き 込み/読み出 し の方向に関係な く )、デー タ パ ス幅を広 く
す る 変換機能。
ダ ウ ンサ イ ザー
SI 側か ら MI 側へ と デー タ を移動する 際に (書き 込み/読み出 し の方向に関係な く )、デー タ パ ス幅を狭 く
す る 変換機能。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
5
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
フ ァ ン ク シ ョ ンの説明
図 1 に、 AXI イ ン タ ー コ ネ ク ト コ アの最上位のブ ロ ッ ク 図を示 し ます。
X-Ref Target - Figure 1
AXI Interconnect
SI Hemisphere
MI Hemisphere
Slave
Interface
Register Slices
Protocol Converters
Down-sizers
Clock Converters
Up-sizers
Data FIFOs
Data FIFOs
Down-sizers
Clock Converters
Master 1
Up-sizers
Master 0
Register Slices
Crossbar
Slave 0
Slave 1
Master
Interface
X12047
図 1 : AXI イ ン タ ー コ ネ ク ト コ ア接続図
AXI イ ン タ ー コ ネ ク ト コ アは、 SI、 MI と 、 その間を結ぶ AXI チ ャ ネル経路を構成する フ ァ ン ク シ ョ ン ユニ ッ ト か ら 成 り ま
す。 SI は、 接続 さ れたマ ス タ ー デバ イ ス か ら の書 き 込みお よ び読み出 し ト ラ ンザ ク シ ョ ン要求を受信 し ます。 MI は ス レーブ
デバ イ ス に接続 し てい ます。中央に位置す る ク ロ スバーは、SI と MI に接続 さ れた さ ま ざ ま なデバ イ ス間のすべての AXI チ ャ
ネルに ト ラ フ ィ ッ ク を振 り 分け ます。 AXI イ ン タ ー コ ネ ク ト コ アには、 ク ロ ス バー と 各 イ ン タ ーフ ェ イ ス と の間に配置 さ れ
たその他の フ ァ ン ク シ ョ ン ユニ ッ ト も あ り 、 各種変換お よ びス ト レージ機能を実行 し ます。 ク ロ ス バーは、 SI 関連の フ ァ ン
ク シ ョ ン ユニ ッ ト (SI 域) と MI 関連の フ ァ ン ク シ ョ ン ユニ ッ ト (MI 域) のち ょ う ど真ん中で、AXI イ ン タ ー コ ネ ク ト コ ア を
2 つに分割 し てい ます。
次のサブセ ク シ ョ ンで、 AXI イ ン タ ー コ ネ ク ト コ アの使用モデルについて説明 し ます。
使用モデル
AXI イ ン タ ー コ ネ ク ト コ アは、1 つ以上の メ モ リ マ ッ プ方式 AXI マ ス タ ー デバ イ ス と 、1 つ以上の メ モ リ マ ッ プ方式の ス レー
ブ デバ イ ス を接続 し ます。 その使用例について説明 し ます。
•
パス スルー
•
変換のみ
•
N 対 1 の イ ン ターコ ネ ク ト
•
1 対 N の イ ン ターコ ネ ク ト
•
N 対 M の イ ン タ ー コ ネ ク ト ( ク ロ スバー モー ド )
•
N 対 M の イ ン タ ー コ ネ ク ト (共有ア ク セ ス モー ド )
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
6
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
パス スルー
接続 さ れ る デバ イ ス がマ ス タ ー と ス レーブのそれぞれ 1 つだけで、 オプシ ョ ンの変換機能やパ イ プ ラ イ ン処理を一切実行 し な
い場合、 AXI イ ン タ ー コ ネ ク ト コ アは ワ イ ヤに よ る 直接接続にな り 、 レ イ テ ン シ を発生せず、 ロ ジ ッ ク リ ソ ース も 消費 し ま
せん。 図 2 はパ ス スルーの接続図です。
X-Ref Target - Figure 2
Interconnect
Master 0
Slave 0
X12048
図 2 : AXI イ ン タ ー コ ネ ク ト の使用例-パス スルー
変換のみ
AXI イ ン タ ー コ ネ ク ト コ アは、 1 つのマ ス タ ーを 1 つの ス レーブに接続 し た場合、 次の よ う な さ ま ざ ま な変換お よ びパ イ プ
ラ イ ン機能を実行で き ます。
•
デー タ 幅変換
•
ク ロ ッ ク レー ト 変換
•
AXI4-Lite ス レーブ適合
•
AXI-3 ス レーブ適合
•
レ ジ ス タ ス ラ イ ス ま たはデー タ チ ャ ネル FIFO に よ る パ イ プ ラ イ ン処理
こ れ ら の使用例では、 AXI イ ン タ ー コ ネ ク ト コ アにアービ ト レーシ ョ ン、 デ コ ー ド 、 ルーテ ィ ン グの ロ ジ ッ ク は含まれませ
ん (オプシ ョ ンのア ド レ ス範囲チ ェ ッ ク が無効の場合の場合に限 る )。 ま た、 実行す る 変換に よ っ ては レ イ テ ン シが発生す る 場
合があ り ます。 図 3 は、 1 対 1 変換の使用例を示 し た も のです。
X-Ref Target - Figure 3
Interconnect
Master 0
Slave 0
Conversion
and/or
Pipelining
X12049
図 3 : AXI イ ン タ ー コ ネ ク ト の使用例- 1 対 1 変換
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
7
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
N 対 1 のイ ン タ ー コ ネ ク ト
一般に、 こ の よ う な AXI イ ン タ ー コ ネ ク ト コ アの コ ン フ ィ ギ ュ レーシ ョ ン を行 う のは、 複数のマ ス タ ー デバ イ ス が、 1 つの
ス レーブ デバ イ ス、 通常は メ モ リ コ ン ト ロ ー ラ ーへのア ク セ ス について アービ ト レーシ ョ ン を実行する 場合です。
こ の よ う な ケース では、 ア ド レ ス デ コ ー ド ロ ジ ッ ク が不要にな る こ と があ り 、 その場合 AXI イ ン タ ーコ ネ ク ト コ アか ら 除
外 さ れます (オプシ ョ ンのア ド レ ス範囲検証が無効の場合に限 る )。 図 4 に示す よ う に、 こ の コ ン フ ィ ギ ュ レーシ ョ ン で も デー
タ 幅や ク ロ ッ ク レー ト な ど の変換機能はすべて動作 し ます。
X-Ref Target - Figure 4
Master 0
Interconnect
Arbiter
Slave 0
Master 1
X12050
図 4 : AXI イ ン タ ー コ ネ ク ト の使用例 - N 対 1
1 対 N のイ ン タ ー コ ネ ク ト
も う 1 つの AXI イ ン タ ー コ ネ ク ト コ アの コ ン フ ィ ギ ュ レーシ ョ ン ケース が、 1 つのマ ス タ ー デバ イ ス、 通常はプ ロ セ ッ サ
が、 複数の メ モ リ マ ッ プ方式の ス レーブ ペ リ フ ェ ラ ルにア ク セ スす る 場合です。 こ の よ う な場合、 図 5 に示す よ う にア ド レ
スお よ び書 き 込みデー タ パス のアービ ト レーシ ョ ンは実行 さ れません。
X-Ref Target - Figure 5
Interconnect
Decoder/Router
Master 0
Slave 0
Slave 1
X12051
図 5 : AXI イ ン タ ー コ ネ ク ト の使用例- 1 対 N
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
8
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
N 対 M のイ ン タ ー コ ネ ク ト ( ク ロ スバー モー ド )
ク ロ ス バー モー ド におけ る N 対 M の AXI イ ン タ ー コ ネ ク ト コ アでは、SAMD (共有ア ド レ ス複数デー タ ) ト ポ ロ ジ を取 る こ
と がで き ます。 こ れは、 図 6 お よ び図 7 に示す よ う に ス パース デー タ ク ロ ス バー接続か ら 構成 さ れ、 単一ス レ ッ ド の書き 込
みお よ び読み出 し ア ド レ ス アービ ト レーシ ョ ンが実行 さ れます。
X-Ref Target - Figure 6
Master 0
AW
AR
Interconnect
Slave 0
AW
Write
Transaction
Arbiter
AR
Router
Master 1
Slave 1
AW
AW
AR
AR
Router
Master 2
AW
AR
Slave 2
AW
Read
Transaction
Arbiter
AR
X12052
図 6 : 共有書き込みおよび読み出 し ア ド レ ス アービ ト レーシ ョ ン
X-Ref Target - Figure 7
Interconnect
Master 0
W
Slave 0
Write Data Crossbar
R
W
R
Master 1
Slave 1
W
W
R
R
Master 2
Slave 2
W
W
R
R
Read Data Crossbar
X12053
図 7 : スパース ク ロ スバーによ る書き込みおよび読み出 し デー タ パス
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
9
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
並列書 き 込みお よ び読み出 し デー タ パ ス は、 ス パー ス コ ネ ク テ ィ ビ テ ィ マ ッ プの コ ン フ ィ ギ ュ レ ーシ ョ ン に従い、 各 SI ス
ロ ッ ト を、 ア ク セ ス可能なすべての MI ス ロ ッ ト に接続 し ます。 複数の ソ ース が異な る 宛先に対 し てデー タ を送信 し よ う と し
てい る 場合、 AXI の順序の規定に従っ ていれば、 デー タ 転送を独立 し て同時に実行で き ます。
すべての SI ス ロ ッ ト 間の書 き 込みア ド レ ス チ ャ ネルはセ ン ト ラ ル ア ド レ ス アービ タ ーに入力 さ れ、アービ タ ーは一度に 1 つ
の SI ス ロ ッ ト へのア ク セ ス を許可 し ます。 読み出 し ア ド レ ス チ ャ ネルの場合 も 同様です。
各アービ ト レーシ ョ ン サ イ ク ルでア ク セ ス権を得たマ ス タ ーは、 宛先の MI ス ロ ッ ト にア ド レ ス情報を転送 し 、 該当す る コ マ
ン ド キ ュ ーにエ ン ト リ をプ ッ シ ュ し ます。 こ の よ う に し て AXI の順序の規定に従いつつ、 適切な宛先にデー タ を導 く 、 さ ま
ざ ま なデー タ パス が得 ら れます。
N 対 M のイ ン タ ー コ ネ ク ト (共有ア ク セス モー ド )
図 8 に示す よ う に、 共有 ア ク セ ス モー ド にお け る N 対 M 接続の場合、 AXI イ ン タ ー コ ネ ク ト コ ア は一度 に 1 つだ け
Outstanding ト ラ ンザ ク シ ョ ン を提供 し ます。 接続 さ れた各マ ス タ ーでは、 読み出 し ト ラ ンザ ク シ ョ ン要求が書 き 込み要求 よ
り も 常に優先 さ れます。 要求を送信 し たマ ス タ ーのいずれかを アービ タ ーが選択 し ます。 宛先の ス レーブ デバ イ ス に対す る 書
き 込みま たは読み出 し デー タ 転送が有効にな り ます。 デー タ 転送が完了 (書 き 込み応答を含む) す る と 、 次の要求が選択 さ れ処
理 さ れ ます。 共有ア ク セ ス モー ド は イ ン タ ー コ ネ ク ト の ク ロ ス バー モジ ュ ールを イ ン プ リ メ ン ト す る ために必要な リ ソ ース
を最小限に抑え ます。
X-Ref Target - Figure 8
0DVWHU
$:
$5
:
5
,QWHUFRQQHFW
6ODYH
$:
$5
$UELWHU
:
5
$GGUHVV
6ODYH
0DVWHU
$:
$5
:
5
$:
$5
:ULWH'DWD
:
5
5HDG'DWD
図 8 : 共有ア ク セス モー ド
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
10
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
AXI イ ン タ ー コ ネ ク ト コ アの機能
以降のサブセ ク シ ョ ンでは、 AXI イ ン タ ー コ ネ ク ト コ アの機能を説明 し ます。
•
最上位の ス レーブ/ マ ス タ ー イ ン タ ーフ ェ イ ス
•
幅変換
•
幅変換 ト ラ ンザ ク シ ョ ン
•
ク ロ ッ ク 変換
•
ペ リ フ ェ ラル レジス タ ス ラ イ ス
•
デー タ パス FIFO
•
ID 信号の使用方法
•
複数ア ド レ ス範囲のサポー ト
•
サ イ ク ル依存性の回避
•
エ ラ ー信号
最上位のス レーブ / マス タ ー イ ン タ ー フ ェ イ ス
最上位の イ ン タ ーフ ェ イ ス は、 それぞれベ ク タ ー化 さ れた単一の AXI SI と AXI MI で構成 さ れます。
•
ベ ク タ ー化 さ れた各 イ ン タ ーフ ェ イ ス は コ ン フ ィ ギ ュ レーシ ョ ン可能であ り 、 1 か ら 16 ま でのマ ス タ ー / ス レーブ デバ イ
ス に接続 し ます。
•
コ ア上のベ ク タ ー化 さ れた AXI イ ン タ ーフ ェ イ ス の各信号幅は、 元の信号幅に、 接続 さ れたデバ イ ス数を乗算 し た値に
な り ます。 1 つのデバ イ ス に接続 さ れた ビ ッ ト ス ラ イ ス のすべて を、 イ ン タ ーフ ェ イ ス の 1 つの ス ロ ッ ト と いい ます。 た
と えば、 AWLEN 信号が書 き 込み ト ラ ン ザ ク シ ョ ン のデー タ ビー ト 数を示す 8 ビ ッ ト の値を保持 し てい ま す。 AXI イ ン
タ ーコ ネ ク ト コ アが 2 つの SI ス ロ ッ ト で構成 さ れ る 場合、 S_AXI_AWLEN 信号の幅は合計 16 ビ ッ ト にな り ます。
•
WDATA、 WSTRB、 RDATA 信号の有効幅 も 、 MI ま たは SI 単位に設定で き ます。 ベ ク タ ー化 さ れた SI ま たは MI 上の こ れ
ら 各信号の幅は、 すべての SI お よ び MI ス ロ ッ ト に設定 さ れた信号幅、 イ ン タ ー コ ネ ク ト のネ イ テ ィ ブ デー タ 幅の う ち
の最大値に ス ロ ッ ト 数を乗算 し た も のにな り ます。 それ よ り も 幅の狭い ス ロ ッ ト の未使用の上位ビ ッ ト は、 AXI イ ン タ ー
コ ネ ク ト コ ア内で固定 さ れ る か (入力の場合)、 ま たは未接続の ま ま (出力の場合) と な り 、 イ ンプ リ メ ン テーシ ョ ン ツー
ルで切 り 捨て ら れます。 こ の よ う に、 AXI イ ン タ ーフ ェ イ ス の各信号は全ス ロ ッ ト 同 じ 物理幅で割 り 付け ら れます。
た と えば、図 9 に示す よ う に、 AXI イ ン タ ーコ ネ ク ト コ アが 2 つの SI ス ロ ッ ト で構成 さ れていて、 1 つのデー タ 幅が 32 ビ ッ
ト 、 も う 1 つのデー タ 幅が 128 ビ ッ ト で、 MI ス ロ ッ ト ま たは イ ン タ ー コ ネ ク ト のいずれに も こ れ よ り 大 き なデー タ 幅が設定
さ れていない場合、 SI の WDATA 信号お よ び RDATA 信号の幅は合計 256 ビ ッ ト にな り ます。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
11
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
X-Ref Target - Figure 9
7RRO*HQHUDWHG:UDSSHU
0DVWHU
:9$/,'
:5($'<
:'$7$
&B'$7$B:,'7+ :9$/,'
:'$7$
$;,B,QWHUFRQQHFWFRUH
6ODYH
6ODYH
,QWHUIDFH
:9$/,'
0DVWHU
,QWHUIDFH
:5($'<
0DVWHU
:5($'<
:9$/,'
:9$/,'
:5($'<
:5($'<
:'$7$
:'$7$
:'$7$
6ODYH
:9$/,'
:5($'<
:'$7$
&B'$7$B:,'7+ &B180B0$67(56 &B180B6/$9(6 &B6B$;,B'$7$B:,'7+
[B
;
図 9 : ベ ク タ ー化 さ れたス レーブ / マス タ ー イ ン タ ー フ ェ イ ス
特に次の点に注意 し て く だ さ い。
•
「ス ロ ッ ト 0」 は WDATA[31:0] を使用 し ます。
•
「ス ロ ッ ト 1」 は WDATA[255:128] を使用 し 、 「マ ス タ ー 1」 デバ イ ス の WDATA[127:0] に接続 し ます。
•
WDATA[127:32] は AXI イ ン タ ー コ ネ ク ト コ ア内で固定 さ れ る か、 ま たは未接続の ま ま と な り ます。
I/O 信号 と 同様に、 AXI イ ン タ ー コ ネ ク ト コ アの多 く の コ ン フ ィ ギ ュ レーシ ョ ン パ ラ メ ー タ ー も すべての SI ス ロ ッ ト 、 MI
ス ロ ッ ト でベ ク タ ー と し て フ ォーマ ッ ト さ れます。 ベ ク タ ー化 さ れたパ ラ メ ー タ ーは、 次の よ う に フ ォーマ ッ ト さ れます。
•
TrustZone セキ ュ リ テ ィ イ ン ジ ケー タ ー (C_M_AXI_SECURE) な ど、 ブール条件を定義す る パ ラ メ ー タ ーは、 1 ス ロ ッ ト
あ た り 1 ビ ッ ト のビ ッ ト ベ ク タ ー と し て フ ォーマ ッ ト さ れます。
•
数値を定義す る パ ラ メ ー タ ーは、値の範囲にかかわ ら ず、1 ス ロ ッ ト あ た り 32 ビ ッ ト のビ ッ ト ベ ク タ ー と し て フ ォーマ ッ
ト さ れます。
•
ベース ア ド レ ス と 高次ア ド レ ス は例外であ り 、 1 ス ロ ッ ト あ た り 64 ビ ッ ト と し て フ ォーマ ッ ト さ れます。
図 9 の例で、 SI (C_S_AXI_DATA_WIDTH) 上の ス ロ ッ ト の有効デー タ 幅を定義す る ベ ク タ ー化 さ れたパ ラ メ ー タ ーの値は
0x0000008000000020 と な り ます。 こ こ で、 0x20 は ス ロ ッ ト 0 が 32 ビ ッ ト であ る こ と を、 0x80 は ス ロ ッ ト 1 が 128
ビ ッ ト であ る こ と を表 し てい ます。 パ ラ メ ー タ ー値は I/O 信号 と 同様に リ ト ル エンデ ィ ア ンであ り 、 し たがっ て ス ロ ッ ト 0
に対応す る 値はパ ラ メ ー タ ー ベ ク タ ーの右端の最下位ビ ッ ト (LSB) に表示 さ れます。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
12
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
幅変換
AXI イ ン ターコ ネ ク ト コ アには、 パラ メ ーターに よ って内部ネ イ テ ィ ブ データ幅が定義されています。 サポー ト されるデータ幅
は 32、 64、128、256、 512、 1024 ビ ッ ト です。 ク ロ スバーに接続された AXI データ チャ ネルは、 C_INTERCONNECT_DATA_WIDTH
パラ メ ーターで指定される AXI イ ン ターコ ネ ク ト コ アのネ イ テ ィ ブ幅にサ イ ズ調整されます。
こ れ と は異な る 幅の SI ス ロ ッ ト ま たは MI ス ロ ッ ト があ る と 、 AXI イ ン タ ーコ ネ ク ト コ アは幅変換ユニ ッ ト を挿入 し て、 ス
ロ ッ ト 幅を AXI イ ン タ ー コ ネ ク ト ネ イ テ ィ ブ幅に適合 さ せてか ら 、 ク ロ スバーを も う 一方の側へ と 横断 さ せます。
デー タ が SI か ら MI に向か う 方向で見てデー タ パ ス幅が広 く な る ( ア ッ プサ イ ジ ン グ ) 場合 と 、 狭 く な る ( ダ ウ ンサ イ ジ ン グ )
場合で、 幅変換の機能は異な り ますが、 SI 域 (SI か ら AXI イ ン タ ー コ ネ ク ト ネ イ テ ィ ブ幅への変換) と MI 域 (AXI イ ン タ ー
コ ネ ク ト ネ イ テ ィ ブ幅か ら MI への変換) では同 じ にな り ます。
MI と SI の各ス ロ ッ ト には、 パ ラ メ ー タ ーに よ っ てそれぞれ個別にデー タ 幅の値が関連付け ら れてい ます。 AXI イ ン タ ー コ
ネ ク ト コ アは、 MI お よ び SI の各ス ロ ッ ト を次の手順で自動的に内部ネ イ テ ィ ブ デー タ 幅に適合 さ せます。
•
SI ス ロ ッ ト のデー タ 幅が AXI イ ン タ ー コ ネ ク ト コ アの内部ネ イ テ ィ ブ デー タ 幅 よ り も 広い場合、 SI ス ロ ッ ト のパ ス に
沿っ てダ ウ ンサ イ ジ ン グの変換を実行 し ます。
•
AXI イ ン タ ー コ ネ ク ト コ アの内部ネ イ テ ィ ブ デー タ 幅が MI ス ロ ッ ト のデー タ 幅 よ り も 広い場合、 MI ス ロ ッ ト のパ ス に
沿っ てダ ウ ンサ イ ジ ン グの変換を実行 し ます。
•
SI ス ロ ッ ト のデー タ 幅が AXI イ ン タ ー コ ネ ク ト コ アの内部ネ イ テ ィ ブ デー タ 幅 よ り も 狭い場合、 SI ス ロ ッ ト のパ ス に
沿っ て ア ッ プサ イ ジ ン グの変換を実行 し ます。
•
AXI イ ン タ ー コ ネ ク ト コ アの内部ネ イ テ ィ ブ デー タ 幅が MI ス ロ ッ ト のデー タ 幅 よ り も 狭い場合、 MI ス ロ ッ ト のパ ス に
沿っ て ア ッ プサ イ ジ ン グの変換を実行 し ます。
以降のサブセ ク シ ョ ンで、 ダ ウ ンサ イ ジ ン グ と ア ッ プサ イ ジ ン グについて説明 し ます。
ダウンサイ ジ ング
SI 側のデー タ 幅が MI 側 よ り も 広 く 、 かつ ト ラ ンザ ク シ ョ ンの転送サ イ ズ も MI 側 のデー タ 幅 よ り 広い場合、 ダ ウ ンサ イ ジ ン
グが実行 さ れ、 MI 側に発行 さ れ る ト ラ ンザ ク シ ョ ンのデー タ ビー ト 数は分割数に応 じ て倍増 し ます。
•
書 き 込みの場合、 デー タ がシ リ アル化 さ れます。
•
読み出 し の場合、 デー タ が結合 さ れます。
•
AXI イ ン タ ー コ ネ ク ト コ アは ( マ ス タ ー デバ イ ス に対する ) 各出力デー タ ビー ト の RRESP を、 結合 し た入力デー タ
ビ ー ト 内で発生 し た ワ ー ス ト エ ラ ー条件に設定 し ま す。 そ の場合の優先度は高い方か ら DECERR、 SLVERR、
OKAY、 EXOKAY です。
ト ラ ンザ ク シ ョ ンの転送サ イ ズが MI 側のデー タ 幅以下であれば、 その ト ラ ンザ ク シ ョ ン ( ア ド レ ス チ ャ ネルの値) は変更 さ
れません。 転送デー タ はバ イ ト レーン ス テ ア リ ン グの場合を除 き 、 その ま ま通過 し ます。 こ れは、 書き 込みお よ び読み出 し
の両方に当ては ま り ます。
ダ ウ ンサ イ ジ ン グの際には AXI イ ン タ ー コ ネ ク ト コ アは各バース ト の長 さ を計算 し 、 累計バース ト 長がバース ト 上限 (AXI4
で 256 デー タ ビー ト ) を超えそ う にな る と 検知 し ます。 その場合、 AXI イ ン タ ー コ ネ ク ト コ アは、 適合す る サ イ ズの複数の
バース ト ト ラ ンザ ク シ ョ ンに自動的に ト ラ ンザ ク シ ョ ン を分割 し ます。
•
AWLOCK ま たは ARLOCK 信号が、 排他ア ク セ ス の書 き 込みま たは読み出 し ト ラ ンザ ク シ ョ ン を示 し てい る 場合に、 ダ ウ ン
サ イ ジ ン グに よ っ て分割が実行 さ れ る と 、AXI イ ン タ ー コ ネ ク ト コ アはすべての出力 ト ラ ンザ ク シ ョ ンの LOCK 信号を通
常ア ク セ ス (0) を示す よ う に変更 し ます。
•
ダ ウ ンサ イ ジ ン グ さ れた書 き 込み ト ラ ンザ ク シ ョ ンが分割 さ れた場合、 AXI イ ン タ ー コ ネ ク ト コ アは ス レーブ デバ イ ス
か ら の複数の書 き 込み応答をひ と ま と めに し 、 1 つの書き 込み応答を マ ス タ ー デバ イ ス に返 し ます。 コ アは、 エ ラ ー応答
コ ー ド (BRESP) を複数の入力応答内で発生 し た ワース ト ケース のエ ラ ー条件に設定 し ます。 その場合の優先度は高い方
か ら DECERR、 SLVERR、 OKAY です (分割 さ れた ト ラ ンザ ク シ ョ ン では EXOKAY は発生 し ません)。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
13
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
ト ラ ンザ ク シ ョ ンの分割を含むダ ウ ンサ イ ジ ン グは、 AW/ARCACHE 信号の値 (具体的には 「変更許可」 ビ ッ ト ) に よ っ て制限
さ れません。 ダ ウ ンサ イ ジ ン グに よ る ト ラ ンザ ク シ ョ ンの分割は、 ト ラ ンザ ク シ ョ ン を完了す る ほかの方法がないため、
CACHE 信号に よ っ て制限で き ません。 さ ま ざ ま なサ イ ズ変換については、 16 ページの表 2 を参照 し て く だ さ い。
ダ ウ ンサ イ ザーのモジ ュ ールに よ っ て複数の Outstanding ト ラ ンザ ク シ ョ ン を伝搬で き ます。 AW/AR チ ャ ネル転送か ら の ト
ラ ンザ ク シ ョ ン特性 と し て、 対応す る 応答転送を待機す る 間キ ュ ーに入 り ます。 ただ し 、 書 き 込み応答ま たは読み出 し デー タ
の順序が変更す る 可能性があ る ため、AW お よ び AR チ ャ ネル ダ ウ ンサ イ ザーに よ る ト ラ ンザ ク シ ョ ンの受け入れは一度に 1
つの ID ス レ ッ ド に限 ら れます。
ア ッ プサイ ジ ング
MI 側のデー タ 幅が SI 側 よ り も 広い場合はア ッ プサ イ ジ ン グが実行 さ れます。 AW/ARCACHE[1] ビ ッ ト (変更許可) がアサー
ト さ れてい る と 、 デー タ の圧縮が実行 さ れます (INCR バース ト お よ び WRAP バース ト の場合)。
その結果、 MI 側に発行 さ れ る ト ラ ンザ ク シ ョ ンのデー タ ビー ト 数は圧縮に応 じ て減少 し ます。
•
書 き 込みの場合、 デー タ が結合 さ れます。
•
読み出 し の場合、 デー タ がシ リ アル化 さ れます。
•
AXI イ ン タ ー コ ネ ク ト コ アは各入力デー タ ビー ト の RRESP を、 各出力デー タ ビー ト ( マ ス タ ー デバ イ ス宛) の
RRESP と し て複製 し ます。
AW/ARCACHE[1] ビ ッ ト がデ ィ アサー ト さ れ る と 、 ト ラ ンザ ク シ ョ ン ( ア ド レ ス チ ャ ネルの値) は変化せず、 転送デー タ はバ
イ ト レーン ス テア リ ン グの場合を除 き 、 その ま ま通過 し ます。
こ の後者の機能は、 エ ク ス パン ダー と 呼ばれ る こ と があ り ます。
ア ッ プサ イ ジ ン グで ト ラ ンザ ク シ ョ ンが分割 さ れ る こ と はあ り ません。 さ ま ざ ま なサ イ ズ変換については、 表 2 を参照 し て
く だ さ い。
ア ッ プサ イ ザーのモジ ュ ールに よ っ て複数の Outstanding ト ラ ンザ ク シ ョ ン を伝搬で き ます。 AW/AR チ ャ ネル転送か ら の ト
ラ ンザ ク シ ョ ンの特性 と し て、 対応す る 応答転送を待機す る 間キ ュ ーに入 り ます。 ただ し 、 読み出 し デー タ の順序が変更す る
可能性があ る ため、 AR チ ャ ネル ア ッ プサ イ ザーに よ る ト ラ ンザ ク シ ョ ンの受け入れは一度に 1 つの ID ス レ ッ ド に限 ら れま
す。 B チ ャ ネル応答はア ッ プサ イ ザーに よ る 変換が不要であ り 、 受信 し た ま ま の任意の順序で伝搬で き る ため、 書 き 込み ト ラ
ンザ ク シ ョ ンは ID ス レ ッ ド に よ る 制約を受け ません。
幅変換 ト ラ ンザ ク シ ョ ンの変化
表 2 では、 特性、 信号、 お よ び導出 さ れ る 式に次の記号を使用 し てい ます。
•
si = ス レーブ イ ン タ ーフ ェ イ ス (SI)
•
cb = イ ン タ ー コ ネ ク ト ( ク ロ ス バー ) コ ア
•
mi = マ ス タ ー イ ン タ ーフ ェ イ ス (MI)
表 2 には次の内容を一覧表示 し てい ます。
•
相対的な DWidth を si.DW と cb.DW で比較 し た場合の SI 域の変化
•
相対的な DWidth を cb.DW と mi.DW で比較 し た場合の MI 域の変化
根拠 と な る式
表 2 は、 幅変換の式を列挙 し た も のです。
1.
幅変換に よ っ て ト ラ ンザ ク シ ョ ンの長 さ が変更 さ れ る 場合、 出力 SIZE は常に出力 DATA_WIDTH と 同 じ です。
2.
si.DW = C_S_AXI_DATA_WIDTH
3.
cb.DW = C_INTERCONNECT_DATA_WIDTH
4.
mi.DW = C_M_AXI_DATA_WIDTH
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
14
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
5.
si.Bytes = si.DW[2] / 8
6.
cb.Bytes = cb.DW[3] / 8
7.
mi.Bytes = mi.DW[4] / 8
8.
cb.ByteMask = cb.Bytes[5] - 1
9.
mi.ByteMask = mi.Bytes[6] – 1
10. si.SIZE = S_AXI_AWSIZE ま たは S_AXI_ARSIZE の当ては ま る 方
11. cb.SIZE = si.SIZE if (cb.LEN=si.LEN), else log2(cb.Bytes[6])
12. mi.SIZE = cb.SIZE if (mi.LEN=cb.LEN), else log2(mi.Bytes[7])
13. si.SizeMask = (2**si.SIZE[10]) – 1
14. cb.SizeMask = (2**cb.SIZE[11]) - 1
15. mi.SizeMask = (2**mi.SIZE[12]) – 1
16. cb.AlignedStart = si.ADDR & ~cb.ByteMask[8]
17. cb.AlignedEnd = ((si.ADDR & ~si.SizeMask[13]) + (si.LEN * 2**si.SIZE[10])) & ~cb.ByteMask[9]
18. cb.upsize_LEN = (cb.AlignedEnd[17] - cb.AlignedStart [16]) / cb.Bytes[6]
19. mi.AlignedStart = cb.ADDR & ~mi.ByteMask[9]
20. mi.AlignedEnd = ((cb.ADDR & ~cb.SizeMask[13]) + (cb.LEN * 2**cb.SIZE[11])) & ~mi.ByteMask[9]
21. mi.upsize_LEN = (mi.AlignedEnd[20] - mi.AlignedStart[19]) / mi.Bytes[4]
22. si.conv_ratio = (2**si.SIZE[10]) / cb.Bytes[8]
23. cb.conv_ratio = (2**cb.SIZE[10]) / mi.Bytes[9]
24. si.downsize_LEN = (si.LEN+1) * si.conv_ratio - 1[22]
25. cb.downsize_LEN = (cb.LEN+1) * cb.conv_ratio - 1[23]
26. cb.AlignedAdjustment = (si.ADDR & si.SizeMask[13] & ~cb.ByteMask[8]) / cb.Bytes[6]
27. mi.AlignedAdjustment = (cb.ADDR & cb.SizeMask[14] & ~mi.ByteMask) / mi.Bytes[9]
28. si.burst_bytes = 2**si.SIZE[10] * (si.LEN+1)
29. cb.burst_bytes = 2**cb.SIZE[11] * (cb.LEN+1)
30. si.burst_mask = si.burst_bytes[28] - 1
31. cb.burst_mask = cb.burst_bytes[29] - 1
32. si.wrap_address = si.ADDR & ~si.burst_mask[30]
33. cb.wrap_address = cb.ADDR & ~cb.burst_mask [31]
34. si.wrap1_LEN = (si.burst_bytes[28] - (si.ADDR & si.burst_mask[30])) / cb.Bytes - 1[8]
35. cb.wrap1_LEN = (cbi.burst_bytes[29] - (cb.ADDR & cb.burst_mask[31])) / mi.Bytes - 1[7]
36. si.wrap2_LEN = (si.ADDR & si.burst_mask[30]) / cb.Bytes - 1[6]
37. cb.wrap2_LEN = (cb.ADDR & cb.burst_mask[31]) / mi.Bytes - 1[7]
メ モ : 「x%y」 は x を y で割っ た余 り を表 し ます。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
15
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
1
else if (cb.downsize_LEN [25] <= 255)
else
if si.CACHE[1])
1
1
ceil ((cb.downsize_
LEN+1[25] ) / 256)
1
else
変更な し
si.downsize_LEN [24] cb.AlignedAdjustment [26]
出力 ADDR
INCR (1)
INCR
INCR
INCR
出力
BURST
変更な し
cb.downsize_LEN [25] mi.AlignedAdjustment [27]
INCR (1)
INCR
変更な し
cb.upsize_LEN [18]
変更な し
変更な し
INCR
INCR (1)
first = 255 - mi.AlignedAdjustment [27]; first = cb.ADDR;
last = cb.downsize_LEN [25] % 256; others = (mi.ADDR[i-1] & ~cb.SizeMask INCR (1)
[14] ) + (256*mi.Bytes [24])
others = 255
変更な し
変更な し
ceil
first = 255 - cb.AlignedAdjustment [26] ; first = si.ADDR;
others = (cb.ADDR[i-1] & ~si.SizeMask INCR (1)
((si.downsize_LEN+1[24) last = si.downsize_LEN [24] % 256;
[13]
others = 255
) + (256*cb.Bytes [6]
/ 256)
if (2**cb.SIZE[11] <= mi.Bytes [7])
else
1
else if (si.downsize_LEN [24] <= 255)
変更な し
変更な し
変更な し
変更な し
変更な し
変更な し
出力 LEN
1. 幅変換に よ っ て ト ラ ンザ ク シ ョ ン の長 さ が変更 さ れ る 場合、 出力 SIZE は常に出力 DATA_WIDTH と 同 じ です。
si.DW [2] < cb.DW [3]
cb.DW [3] > mi.DW [4]
si.DW [2] > cb.DW [3]
1
if (2**si.SIZE [10] <= cb.Bytes [6])
1
cb.DW [3] = mi.DW [4] 常に成立
出力 ト ラ ンザク シ ョ ン
1
条件
si.DW [2] = cb.DW [3] 常に成立
INCR バース ト
相対 DWidth
表 2: 幅変換 ト ラ ンザク シ ョ ン
幅変換 ト ラ ンザ ク シ ョ ン
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
16
DS768 2011 年 3 月 1 日
Product 製品仕様
<= cb.Bytes)
else if (cb.downsize_LEN
[25]
<= 15)
if (2**cb.SIZE [11] <= mi.Bytes)
japan.xilinx.com
1
1
1
2
ceil((si.LEN+1) * (2**si.SIZE [10] )
/cb.Bytes [6] ) - 1
変更な し
If
si.wrap_address [32] + (ceil((si.ADDR &
(cb.LEN>0)
[30]
si.burst_mask
) / cb.Bytes) * cb.Bytes)
then WRAP,
[28]
% si.burst_bytes
else INCR(1)
ceil((si.LEN+1) * (2**si.SIZE [10])
/cb.Bytes) - 1
WRAP
(If
cb.LEN>0)
then WRAP,
else INCR(1)
変更な し
si.wrap_address [33] + (int((si.ADDR &
si.burst_mask [30]) / cb.Bytes [6] ) *
cb.Bytes [6] )
INCR (1)
first = cb.ADDR;
second= cb.wrap_address [33]
first = cb.wrap1_LEN; [35]
second = cb.wrap2_LEN [37]
INCR (1)
WRAP (1)
WRAP
cb.ADDR
変更な し
変更な し
INCR (1)
INCR (1)
WRAP (1)
WRAP
WRAP
WRAP
INCR
INCR (1)
出力
BURST
cb.wrap1_LEN [35]
cb.downsize_LEN [25]
変更な し
first = si.ADDR;
second = si.wrap_address [32]
first = si.wrap1_LEN [34];
second = si.wrap2_LEN [36]
変更な し
変更な し
si.ADDR
[24]
変更な し
変更な し
変更な し
変更な し
出力 ADDR
si.wrap1_LEN [34]
si.downsize_LEN
変更な し
変更な し
変更な し
変更な し
mi.upsize_LEN [21]
出力 LEN
1. 幅変換に よ っ て ト ラ ンザ ク シ ョ ン の長 さ が変更 さ れ る 場合、 出力 SIZE は常に出力 DATA_WIDTH と 同 じ です。
si.DW[2] < cb.DW [3],
if (si.CACHE[1])
Read
else
si.DW[2] < cb.DW [3], if (si.CACHE[1])
Write
else
1
1
1
2
else
1
1
<= 15)
1
else if ((si.ADDR & si.burst_mask [30] )
== 0)
[24]
else if ((cb.ADDR & cb.burst_mask [30] )
==
0)
cb.DW [3] > mi.DW [4]
si.DW [2] > cb.DW [3]
else if (si.downsize_LEN
if (2**si.SIZE
1
[10]
1
1
1
出力 ト ラ ンザク シ ョ ン
cb.DW [3] = mi.DW [4] 常に成立
else
if (si.CACHE [1})
条件
si.DW [2] = cb.DW [3] 常に成立
WRAP バース ト
cb.DW [3] < mi.DW [4]
相対 DWidth
表 2: 幅変換 ト ラ ンザク シ ョ ン (続き )
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
17
DS768 2011 年 3 月 1 日
Product 製品仕様
else
japan.xilinx.com
1
0)
[11])
変更な し
変更な し
all = max(cb.conv_ratio[23] mi.AlignedAdjustment [27] - 1, 0)
変更な し
all = max(si.conv_ratio [22] cb.AlignedAdjustment [26] - 1,
変更な し
変更な し
変更な し
変更な し
ceil((cb.LEN+1) * (2**cb.SIZE
/mi.Bytes [7] ) - 1
変更な し
WRAP
変更な し
変更な し
変更な し
all = cb.ADDR
変更な し
all = si.ADDR
変更な し
変更な し
変更な し
FIXED
FIXED
INCR (1)
FIXED
INCR (1)
FIXED
FIXED
FIXED
WRAP
If
cb.wrap_address [33] + (int((cb.ADDR & (mi.LEN>0)
then
cb.burst_mask [31]) / mi.Bytes [7]) *
WRAP,
mi.Bytes [7])
else INCR(1)
変更な し
If
cb.wrap_address [33 ]+ (ceil((cb.ADDR &
(mi.LEN>0)
cb.burst_mask [31] ) / mi.Bytes) [7] *
then WRAP,
[7]
[29]
mi.Bytes ) % cb.burst_bytes
else INCR(1)
ceil((cb.LEN+1) * (2**cb.SIZE [11])
/mi.Bytes [7] ) - 1
WRAP
出力
BURST
変更な し
出力 ADDR
変更な し
出力 LEN
1. 幅変換に よ っ て ト ラ ンザ ク シ ョ ン の長 さ が変更 さ れ る 場合、 出力 SIZE は常に出力 DATA_WIDTH と 同 じ です。
常に成立
1
cb.LEN+1
1
cb.DW [3] < mi.DW [4]
else
if (2**cb.SIZE[10] <= mi.Bytes [7])
si.DW [2] < cb.DW [3] 常に成立
cb.DW [3] > mi.DW [4]
si.LEN+1
1
else
1
常に成立
if (2**si.SIZE [11]( <= cb.Bytes [6])
si.DW [2] > cb.DW [3]
1
cb.DW [3] = mi.DW [4]
1
si.DW [2]) = cb.DW [3] 常に成立
固定長バース ト
else
1
1
1
if (si.CACHE[1])
出力 ト ラ ンザク シ ョ ン
1
条件
else
cb.DW [3] < mi.DW [4], if (si.CACHE[1])
Read
Write
cb.DW [3] < mi.DW [4],
相対 DWidth
表 2: 幅変換 ト ラ ンザク シ ョ ン (続き )
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
18
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
ク ロ ッ ク 変換
ク ロ ッ ク 変換は、 次の条件に従っ て実行 さ れます。
•
ク ロ ッ ク レー ト リ ダ ク シ ョ ン モジ ュ ールは、 入力 (SI) 側か ら 出力 (MI) 側に向けて整数比 N:1 で ク ロ ッ ク レー ト を分周
し ます。
•
ク ロ ッ ク レー ト ア ク セ ラ レーシ ョ ン モジ ュ ールは、 入力 (SI) 側か ら 出力 (MI) 側に向けて整数比 1:N で ク ロ ッ ク レー ト
を逓倍 し ます。
•
同期 ク ロ ッ ク 変換モジ ュ ールは、 非同期 FIFO を通 し てチ ャ ネル信号を渡す こ と で、 ク ロ ッ ク レー ト を増減 し ます。
リ ダ ク シ ョ ンお よ びア ク セ ラ レーシ ョ ンのいずれのモジ ュ ールで も 、 よ り 高速な ク ロ ッ ク ド メ イ ンのサンプ リ ン グ サ イ ク ル
は自動的に決定 さ れます。 各モジ ュ ールは 5 つの AXI チ ャ ネルすべてに適用 さ れます。
MI お よ び SI は ク ロ ッ ク 入力のベ ク タ ーを持ち、 各ビ ッ ト は対応する イ ン タ ーフ ェ イ ス ス ロ ッ ト の全信号 と 同期 し てい ます。
AXI イ ン タ ー コ ネ ク ト コ アには専用のネ イ テ ィ ブ ク ロ ッ ク 入力があ り ます。 AXI イ ン タ ー コ ネ ク ト コ アは、 MI お よ び SI の
各ス ロ ッ ト の ク ロ ッ ク レー ト を コ アのネ イ テ ィ ブ ク ロ ッ ク レー ト に自動的に適合 さ せます。
通常、 AXI イ ン タ ー コ ネ ク ト コ アのネ イ テ ィ ブ ク ロ ッ ク 入力は、 シ ス テ ム デザ イ ン内の最大周波数の SI ま たは MI ス ロ ッ
ト 、 た と えば メ イ ン メ モ リ コ ン ト ロ ー ラ ーに接続 さ れた MI ス ロ ッ ト な ど が使用 し てい る も の と 同 じ ク ロ ッ ク ソ ース に接続
さ れます。
ペ リ フ ェ ラル レ ジ ス タ ス ラ イ ス
さ ら にオプシ ョ ン と し て深 さ 2 の レ ジ ス タ ス ラ イ ス ( ス キ ッ ド バ ッ フ ァ ー ) を、 各 SI ま たは MI ス ロ ッ ト の 5 つの AXI チ ャ
ネルのそれぞれに対 し て挿入 し 、 シ ス テ ムの タ イ ミ ン グ ク ロ ージ ャ を改善す る こ と も で き ます。 SI お よ び MI 両方の最外周
部分では、 必要に応 じ て、 各 イ ン タ ーフ ェ イ ス ス ロ ッ ト の各チ ャ ネルに レ ジ ス タ ス ラ イ ス バ ッ フ ァ ーを挿入で き ます。 その
主な目的は、 レ イ テ ン シは 1 サ イ ク ル分増加 し ますが、 シ ス テ ム タ イ ミ ン グ を改善す る こ と です。
ペ リ フ ェ ラ ル レ ジ ス タ ス ラ イ ス は、 常に SI ま たは MI ス ロ ッ ト の ク ロ ッ ク に同期 し ます。
デー タ パス FIFO
あ る 環境下では、 デー タ バース ト をバ ッ フ ァ リ ン グす る こ と で AXI イ ン タ ーコ ネ ク ト の スループ ッ ト が向上する 場合があ り
ます。 こ の よ う な状況は通常、 デー タ 幅ま たは ク ロ ッ ク レー ト の変換に よ っ て SI ま たは MI ス ロ ッ ト のデー タ レー ト が AXI
イ ン タ ー コ ネ ク ト コ アのネ イ テ ィ ブ デー タ レー ト と 異な る 場合に見 ら れます。 レー ト 変換の さ ま ざ ま な組み合わせに対応す
る ために、 オプシ ョ ンで次の 4 箇所にデー タ バース ト バ ッ フ ァ ーを挿入で き ます。
•
SI 側のデー タ 幅ま たは ク ロ ッ ク 変換の後、 ク ロ スバー モジ ュ ールの前の SI 側書き 込みデー タ FIFO
•
ク ロ ス バー モジ ュ ールの後、 MI 側のデー タ 幅、 ク ロ ッ ク ま たはプ ロ ト コ ル変換の前の MI 側書き 込みデー タ FIFO
•
ク ロ ス バー モジ ュ ールの MI 側の前、 MI 側のデー タ 幅、 ク ロ ッ ク ま たはプ ロ ト コ ル変換の後の MI 側読み出 し デー タ
FIFO
•
ク ロ ス バー モジ ュ ールの SI 側の後、 SI 側のデー タ 幅ま たは ク ロ ッ ク 変換の前の SI 側読み出 し デー タ FIFO
デー タ FIFO は AXI イ ン タ ー コ ネ ク ト のネ イ テ ィ ブ ク ロ ッ ク に同期 し ます。 各デー タ FIFO の幅は、 AXI イ ン タ ー コ ネ ク ト
のネ イ テ ィ ブ デー タ 幅 と 同 じ です。
ID 信号の使用方法
マ ス タ ー デバ イ ス か ら ス レーブ デバ イ ス に伝搬す る ID 信号 (AWID と ARID) お よ びス レーブ デバ イ ス か ら マ ス タ ー デバ イ
ス に伝搬す る ID 信号 (BID と RID) に よ っ て、 各 ト ラ ンザ ク シ ョ ンの開始元 と 、 シ ス テ ムの イ ン タ ー コ ネ ク ト ト ポ ロ ジ上で
ス レーブ デバ イ ス の応答が元のマ ス タ ー デバ イ ス に戻 さ れ る 経路が特定 さ れます。
エン ド ポ イ ン ト のマ ス タ ー デバ イ ス は、 ト ラ ンザ ク シ ョ ンの複数の 「ス レ ッ ド 」 を選択す る のに使用で き る AWID 信号 と
ARID 信号を必要に応 じ て出力 し 、 マ ス タ ー IP が内部的に複数のマ ス タ ー デバ イ ス で構成 さ れてい る かの よ う に動作 し ます。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
19
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
ス レ ッ ド の深 さ は 1 つのマ ス タ ーが生成可能な ID 値の合計であ り 、 2**idwidth で表 さ れます。 こ こ で、 idwidth は各 SI
ス ロ ッ ト の THREAD_ID_WIDTH パ ラ メ ー タ ーで指定 さ れます。 ス レ ッ ド の深 さ が 1 のマ ス タ ー デバ イ ス は、 イ ン タ ーフ ェ イ
ス に ID 信号を一切送出す る 必要があ り ません。 ト ラ ンザ ク シ ョ ンの順序付けは次の よ う に決ま り ます。
•
同一ス レ ッ ド に属す る ト ラ ンザ ク シ ョ ンは、 受付順に応答を返す必要があ る 。
•
異な る ス レ ッ ド の ト ラ ンザ ク シ ョ ンは、 ア ウ ト オブオーダで応答を返す こ と がで き る 。
すべてのマ ス タ ー デバ イ ス の ID 値は、 ス レーブ デバ イ ス のいずれかに伝搬 さ れ る 前の時点で一意でなければな り ません。
AXI イ ン タ ー コ ネ ク ト コ アは、 各 SI ス ロ ッ ト でサンプ リ ン グ さ れ る AWID 信号お よ び ARID 信号 (存在す る 場合) の前に、
一意の定数 「マ ス タ ー ID」 値を付加 し ます。
各 SI ス ロ ッ ト に対応付け ら れた BASE_ID パ ラ メ ー タ ーに よ り 、 AXI イ ン タ ーコ ネ ク ト コ アは コ ンパ イ ル時にマ ス タ ー ID
を割 り 当て る こ と がで き ます。 エン ド ポ イ ン ト のマ ス タ ー デバ イ ス は、 割 り 当て ら れたマ ス タ ー ID を自身の ID 出力に入れ
る 必要はないため、 割 り 当て ら れたマ ス タ ー ID 値を認識す る 必要はあ り ません。
SI ス ロ ッ ト が階層状に別の AXI イ ン タ ー コ ネ ク ト コ アに接続 さ れてい る 場合は、 ア ッ プ ス ト リ ームの AXI イ ン タ ー コ ネ ク
ト コ アで生成 さ れたすべての ID 信号が、 接続 さ れたマ ス タ ー デバ イ ス の ス レ ッ ド ID ビ ッ ト であ る かの よ う に扱われます。
ほかのマ ス タ ー デバ イ ス と 同様に、 ダ ウ ン ス ト リ ームの AXI イ ン タ ーコ ネ ク ト コ アは階層状の SI ス ロ ッ ト か ら サンプ リ ン
グ さ れた ID 信号の前に一意のマ ス タ ー ID を付加 し ます。 こ れに よ り 、 階層状の AXI イ ン タ ー コ ネ ク ト ト ポ ロ ジ を伝搬 さ れ
る につれて ID 幅が大 き く な り ます。 マ ス タ ー ID が一致する すべての応答がア ッ プ ス ト リ ームの AXI イ ン タ ー コ ネ ク ト コ ア
に戻 さ れます。
20 ページの図 10 に、 2 つの AXI イ ン タ ー コ ネ ク ト コ ア を階層状に接続 し た場合の例を示 し ます。
X-Ref Target - Figure 10
Interconnect 0
Master 0
3
SI0
BASE_ID=
b0000
SI1
1
Master 1
0
ADDR_RNG0=h10xxxxxx
ADDR_RNG1=h20000xxx
4
MI1
Slave 1
ADDR_RNG0=h3000xxxx
BASE_ID=
b1000
SI2
Slave 0
4
MI0
Interconnect 1
SI0
MI2
BASE_ID=
b1010
Master 2
4
ADDR_RNG0=h4000xxxx
ADDR_RNG1=h4001xxxx
ADDR_RNG2=h5000xxxx
ADDR_RNG3=h6000xxxx
BASE_ID=
b00000
SI1
2
Master 3
BASE_ID=
b10000
SI2
0
BASE_ID=
b10100
MI0
5
Slave 2
ADDR_RNG0=h4000xxxx
MI1
ADDR_RNG0=h4001xxxx
5
Slave 3
MI2
ADDR_RNG0=h5000xxxx
ADDR_RNG1=h6000xxxx
Master 4
5
Slave 4
X12068
図 10 : AXI イ ン タ ー コ ネ ク ト コ アの階層化
図 10 は、 次の こ と を示 し てい ます。
•
AXI イ ン タ ー コ ネ ク ト 0 の MI ス ロ ッ ト 2 (MI2) は、 AXI イ ン タ ー コ ネ ク ト 1 の SI ス ロ ッ ト 0 (SI0) に接続 さ れてい ま
す。 エン ド ポ イ ン ト ス レーブ デバ イ ス 2 ~ 4 は、 AXI イ ン タ ーコ ネ ク ト 1 の MI0 ~ MI2 で定義 さ れた ア ド レ ス範囲を
持ち ます。
メ モ : わか り やす く する ために、BASEADDR と HIGHADDR のペア を don’t care を含む ADDR 範囲 と し て表し ています。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
20
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
•
イ ン タ ー コ ネ ク ト 1 がア ク セ ス で き る ア ド レ ス範囲のすべてが、 イ ン タ ー コ ネ ク ト 0 の MI2 の複数のア ド レ ス範囲 と し
て列挙 さ れてい ます。
•
矢印は、 各マ ス タ ー デバ イ ス か ら 伝搬す る ID 信号を表 し ます。 AXI イ ン タ ー コ ネ ク ト 0 は 4 ビ ッ ト の ID 出力を生成 し
ます。 こ れは、 マ ス タ ー ID の一意性を確保す る ために必要な最小の幅です。 た と えば、 マ ス タ ー 0 が ト ラ ンザ ク シ ョ ン
を発行す る 場合の出力 ID は、 マ ス タ ー ID (1’b0) の後にマ ス タ ー デバ イ ス か ら サンプ リ ン グ さ れた 3 ビ ッ ト の ID を付
加 し た も のにな り ます。
•
マ ス タ ー 2 か ら の ト ラ ンザ ク シ ョ ンはすべて、 ID 値 4’b1010 を持ち ます ( マ ス タ ー デバ イ ス か ら 可変ス レ ッ ド ビ ッ ト
は出力 さ れません )。
•
マ ス タ ー 0 ~ 2 か ら の ト ラ ンザ ク シ ョ ンの宛先が ス レーブ 2 ~ 4 の場合、 AXI イ ン タ ー コ ネ ク ト 0 は 4 ビ ッ ト の ID 値
を イ ン タ ー コ ネ ク ト 1 に渡 し ま す。 次に、 イ ン タ ー コ ネ ク ト 1 が こ の値の前に 1'b0 (SI0 のマ ス タ ー ID) を付加 し て 5
ビ ッ ト の ID を生成 し 、 接続 さ れてい る ス レーブ デバ イ ス のいずれか渡 し ます。
複数ア ド レ ス範囲のサポー ト
AXI イ ン タ ー コ ネ ク ト コ アは SI ス ロ ッ ト か ら の各 AW お よ び AR チ ャ ネル ト ラ ンザ ク シ ョ ンのア ド レ ス をデコ ー ド し て、
ど の MI ス ロ ッ ト が各 ト ラ ンザ ク シ ョ ンの宛先なのか を判断す る 必要があ り ます。 こ のア ド レ ス デ コ ー ド には、 MI ス ロ ッ ト
の識別に必要な上位ア ド レ ス ビ ッ ト のみが関係 し 、 接続 さ れた ス レーブ デバ イ ス内での ロ ケーシ ョ ン を識別する ために使用
する 下位ビ ッ ト は無視 さ れます。 SI か ら 受信 し た ア ド レ ス値全体が MI に渡 さ れて ス レーブ デバ イ ス で使用で き ます。 上位
のア ド レ ス ビ ッ ト が通常ス レーブ デバ イ ス で再利用 さ れない場合で も 、 接続 し たモニ タ ーには表示で き ます。
1 つの ス レーブ デバ イ ス (MI ス ロ ッ ト ) にア ク セ スする と き に、 連続 し ていない、 複数のア ド レ ス範囲を定義する 場合 も あ り
ます。 AXI イ ン タ ー コ ネ ク ト コ アのア ド レ ス デ コ ー ド ロ ジ ッ ク には、 各 MI ス ロ ッ ト の選択を決定する 複数のア ド レ ス範囲
が含まれます。 複数のア ド レ ス範囲の識別は、 一般に接続 さ れた ス レーブ デバ イ ス の機能で も 必要です。
こ れは、 AXI イ ン タ ー コ ネ ク ト コ アに よ っ て イ ンプ リ メ ン ト さ れてい る デコ ー ド ロ ジ ッ ク の一部が ス レーブ デバ イ ス に も 複
製 さ れてい る こ と を意味 し ます。 AMBA 4 仕様では、 AXI イ ン タ ー コ ネ ク ト コ アに よ っ てデコ ー ド さ れ る ア ド レ ス範囲を エ
ン コ ー ド す る と き に使用す る AXI 信号 AWREGION と ARREGION が導入 さ れま し た。 AXI イ ン タ ー コ ネ ク ト コ アが生成 し た
こ の よ う な REGION 出力を、 複数のア ド レ ス デ コ ー ド 範囲を持つス レーブ デバ イ ス が使用す る こ と で、 ス レーブ デバ イ ス で
ア ド レ ス範囲デ コ ー ド ロ ジ ッ ク を重複 し て持つ こ と がな く な り ます。
各 REGION 信号で生成 さ れ る 4 ビ ッ ト の値は各 MI ス ロ ッ ト 内の C_M_AXI_BASE_ADDR お よ び C_M_AXI_HIGH_ADDR パ ラ
メ ー タ ーの ト ラ ンザ ク シ ョ ン ア ド レ ス が一致す る 位置に対応 し ます。 多 く の場合、 こ れ ら のア ド レ ス範囲は接続 さ れた ス
レーブ デバ イ ス の複数のパ ラ メ ー タ ーを用いて、 C_busif_RNGnn_BASEADDR や C_busif_RNGnn_HIGHADDR の よ う な
形式で表 さ れます。 さ ま ざ ま な MI ス ロ ッ ト に複数のア ド レ ス範囲を割 り 当て る 方法については、 図 10 の例を参照 し て く だ
さ い。
SI で受信 し た ト ラ ンザ ク シ ョ ン ア ド レ ス が AXI イ ン タ ー コ ネ ク ト コ アに よ っ てデ コ ー ド さ れた ア ド レ ス範囲のいずれかに
ない場合、 ト ラ ンザ ク シ ョ ンは ト ラ ッ プ さ れ、 AXI イ ン タ ー コ ネ ク ト コ ア内のデ コ ー ド エ ラ ー モジ ュ ールで処理 さ れます。
AXI イ ン タ ー コ ネ ク ト コ アの MI ス ロ ッ ト が 1 つのみで、 ア ド レ ス範囲が 1 つ し かない場合は、 例外が発生 し ます。 こ の場
合、 C_RANGE_CHECK パ ラ メ ー タ ーに よ っ て、 ア ド レ ス のデコ ー ド お よ び関連する デコ ー ド エ ラ ー ト ラ ッ プが イ ンプ リ メ ン
ト さ れてい る か ど う か、 ま たはすべての ト ラ ンザ ク シ ョ ン を MI ス ロ ッ ト に伝搬する か ど う かが判別 さ れます。
サイ ク ル依存性の回避
Multiple outstanding ト ラ ンザ ク シ ョ ン を発行で き る (1 つま たは複数のマ ス タ ー デバ イ ス に よ っ て発行 さ れた ) 2 つ以上の ト
ラ ンザ ク シ ョ ン ID が存在 し 、 キ ュ ーに複数 ト ラ ンザ ク シ ョ ン を保持で き る 2 つ以上の ス レーブ デバ イ ス が接続 さ れてお り 、
ス レーブのいずれかが R チ ャ ネルま たは B チ ャ ネルにア ウ ト オブオーダで応答で き る 場合、 サ イ ク ル依存性 (デ ッ ド ロ ッ ク )
の リ ス ク があ り ます。 AXI 完全準拠であ る ため、 AXI イ ン タ ー コ ネ ク ト コ アにはア ウ ト オブオーダ応答をサポー ト す る ス
レーブ デバ イ ス の処理が組み込まれてい ます。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
21
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
デ ッ ド ロ ッ ク の起き る仕組み
次の例では、 読み出 し ト ラ ンザ ク シ ョ ンがデ ッ ド ロ ッ ク にな る シーケ ン ス を示 し ます。 ス レーブが書 き 込み応答の順序を変更
で き る 場合、 同様のシチ ュ エーシ ョ ンが書 き 込み ト ラ ンザ ク シ ョ ンのシーケ ン ス に も 当ては ま り ます。 こ の例は、 2 つのマ ス
タ ー デバ イ ス (M0 と M1)、 お よ び 2 つの ス レーブ デバ イ ス (S0 と S1) が AXI イ ン タ ー コ ネ ク ト コ アで接続 さ れてい る 場合
を示 し てい ます。
1.
マ ス タ ー デバ イ ス M0 が ス レーブ デバ イ ス S0 か ら の読み出 し を行い ます。
2.
次に、 マ ス タ ー デバ イ ス M0 が ス レーブ デバ イ ス S1 か ら の読み出 し を (同 じ ID の ス レ ッ ド を使用 し て ) 行い ます。
3.
続いて、 マ ス タ ー デバ イ ス M1 が ス レーブ デバ イ ス S1 か ら の読み出 し を行い ます。
4.
その後、 マ ス タ ー デバ イ ス M1 が ス レーブ デバ イ ス S0 か ら の読み出 し を (同 じ ID の ス レ ッ ド を使用 し て ) 行い ます。
5.
ス レーブ デバ イ ス S0 は最初にマ ス タ ー デバ イ ス M1 に応答 し ます。 読み出 し 応答の順序が変更 さ れてい ますが、 こ れは
受信 し た ト ラ ンザ ク シ ョ ン ID が異な る ため許容 さ れます。 ただ し 、 マ ス タ ー デバ イ ス M1 は先に ス レーブ デバ イ ス S1
か ら の応答を受け取 る 必要があ る ため、 AXI イ ン タ ーコ ネ ク ト コ アは応答を マ ス タ ー デバ イ ス M1 に渡す こ と がで き ま
せん。
6.
ス レーブ デバ イ ス S1 がマ ス タ ー デバ イ ス M0 (順序変更 さ れていない) に応答 し ます。 し か し 、 マ ス タ ー デバ イ ス M0
は先に ス レーブ デバ イ ス S0 か ら の応答を受け取 る 必要があ る ため、 AXI イ ン タ ーコ ネ ク ト コ アは応答を マ ス タ ー デバ
イ ス M0 に渡す こ と がで き ません。
こ れに よ り 、 デ ッ ド ロ ッ ク が生 じ ます。
1 ス レーブ 1 ID でデ ッ ド ロ ッ ク を回避
AXI イ ン タ ー コ ネ ク ト コ アでデ ッ ド ロ ッ ク を回避す る には、 「1 ス レーブ 1 ID」 方式を使用 し ます。 こ の方式は、 最 も 重要な
問題であ る ト ラ ンザ ク シ ョ ンのパフ ォーマ ン ス に影響を与え ません。 こ れは、 複数の読み出 し お よ び書 き 込みのパ イ プ ラ イ ン
処理であ り 、 メ モ リ コ ン ト ロ ー ラ ーな ど のパフ ォーマ ン ス に厳 し い ス レーブ デバ イ ス に接続 し た複数のマ ス タ ー デバ イ ス で
使用 し ます。
「1 ス レーブ 1 ID」 方式を採用す る と 、各マ ス タ ー デバ イ ス が発行する ID ス レ ッ ド が持つ こ と ので き る (各種の) Outstanding
ト ラ ンザ ク シ ョ ンは一度に 1 つの ス レーブのみに制限 さ れます。
ただ し 、 ス レーブ デバ イ ス が、 複数のマ ス タ ー デバ イ ス か ら の Multiple Outstanding ト ラ ンザ ク シ ョ ン を キ ュ ーに格納で き
る こ と に変わ り はあ り ません。
前セ ク シ ョ ンに示 し た例に こ の規則を適用す る と 、 手順 2 の M0 か ら S1 への読み出 し ト ラ ンザ ク シ ョ ンは、 S0 が M0 への応
答を完了す る ま で待た さ れます。 同様に、 手順 4 の M1 か ら S0 への ト ラ ンザ ク シ ョ ンは S1 が M1 への応答を完了す る ま で
待た さ れます。 こ れ ら の条件の も と では、 ど の よ う に ト ラ ンザ ク シ ョ ンが処理 さ れ よ う と も デ ッ ド ロ ッ ク の原因 と な る 相互依
存は回避 さ れます。
「1 ス レーブ 1 ID」 に よ る 制約は、 AXI イ ン タ ー コ ネ ク ト コ アが 1 対 1 のシ ンプルなパ ス スルーで設定 さ れてい る 場合を除い
て、 すべての ト ラ ンザ ク シ ョ ン ス レ ッ ド に適用 さ れます。 デ ッ ド ロ ッ ク を防止す る ほか、 こ の制約に よ り 、 連続 し た ト ラ ン
ザ ク シ ョ ンの う ちの 1 つの ト ラ ンザ ク シ ョ ン ス レ ッ ド が別の ス レーブ デバ イ ス を宛先に し ていた場合で も 、 宛先 SI でのすべ
ての書 き 込み ト ラ ンザ ク シ ョ ンが順序どお り 完了す る こ と が保証 さ れます。
た と えば、 マ ス タ ー デバ イ ス が メ モ リ の DMA デ ィ ス ク リ プ タ ーに書 き 込みを行っ た後に、 そのデ ィ ス ク リ プ タ ーを読み出 し
た DMA エン ジ ンの制御レ ジ ス タ に書 き 込みを行い ます。AXI イ ン タ ーコ ネ ク ト コ アは最初の書 き 込みが完了す る ( メ モ リ コ
ン ト ロ ー ラ ーか ら 書 き 込み完了を受信す る ) ま では 2 回目の書 き 込みを DMA ス レーブ デバ イ ス に伝搬 さ せないので、 DMA
が メ モ リ か ら 古いデ ィ ス ク リ プ タ ーのデー タ を読み出 し て し ま う 心配はあ り ません。 し たがっ て各マ ス タ ー デバ イ ス では、 さ
ま ざ ま な ス レーブ デバ イ ス、 同 じ 方向、 同 じ ス レ ッ ド の ト ラ ンザ ク シ ョ ンが順序どお り 完了する こ と が保証 さ れます。 こ れに
よ り 、 こ の よ う な条件の も と では、 先行 ト ラ ンザ ク シ ョ ンの書 き 込み応答を受信 し てか ら 後続の書 き 込み ト ラ ンザ ク シ ョ ン を
発行す る と い う 条件を マ ス タ ー デバ イ ス に設定す る 必要がな く な り ます。
メ モ : AXI プ ロ ト コ ルでは、 前の書 き 込みがすべて完了 し た と い う B チ ャ ネル応答を待つ以外に、 書 き 込み ト ラ ンザ ク シ ョ
ン と 読み出 し ト ラ ンザ ク シ ョ ンの間で順序どお り の完了を保証す る 手段が用意 さ れてい ません。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
22
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
エ ラ ー信号
AXI イ ン タ ー コ ネ ク ト コ アで検出 さ れ る エ ラ ー状態は次の と お り です。
•
ア ド レ ス デ コ ー ド エ ラ ー : コ ネ ク テ ィ ビ テ ィ マ ッ プ と 適用可能な書 き 込み専用/読み出 し 専用パ ラ メ ー タ ーに基づ く ト ラ
ン ザ ク シ ョ ン の ア ド レ ス に、 使用可能 な MI ス ロ ッ ト が マ ッ ピ ン グ さ れ て い ま せん。 AXI イ ン タ ー コ ネ ク ト コ ア が
DECERR を 返 し 、 ト ラ ン ザ ク シ ョ ン は ど の MI ス ロ ッ ト に も 伝搬 さ れ ま せん。 た だ し 、 ア ド レ ス デ コ ー ド エ ラ ーは、
C_RANGE_CHECK パ ラ メ ー タ ーが 0 に設定 さ れてい る 場合には ト ラ ッ プ さ れません。デフ ォ ル ト では、複数の MI ス ロ ッ
ト が存在す る か、 複数のア ド レ ス範囲があ る 場合は、 常に C_RANGE_CHECK が イ ネーブルにな り ます。 複数の MI ス ロ ッ
ト があ り 、 C_RANGE_CHECK パ ラ メ ー タ ーが強制的に OFF (0) に設定 さ れてい る 場合、 無効な ア ド レ ス にア ク セ スす る
と 、 プ ロ ト コ ルに準拠 し ない予測不能な ト ラ ンザ ク シ ョ ンの伝搬が発生す る 可能性があ り ます。
•
AXI4-Lite ア ク セ ス違反 : 次の条件のいずれかで発生 し ます。
•
バース ト 長違反 : AXI4-Lite ス レーブ デバ イ ス が宛先であ る と き に、 ト ラ ンザ ク シ ョ ンの長 さ が 1 デー タ ビー ト よ り
も 大 き い。
•
デー タ サ イ ズ違反 : AXI4-Lite ス レーブ デバ イ ス が宛先であ る と き に、 ト ラ ンザ ク シ ョ ン デー タ の転送サ イ ズの幅
が 4 バ イ ト よ り も 大 き い。
AXI イ ン タ ー コ ネ ク ト コ アが DECERR を返 し 、 ト ラ ンザ ク シ ョ ンは MI ス ロ ッ ト に伝搬 さ れません。 AXI4-Lite のア ク
セ ス違反は、 C_RANGE_CHECK = 0 の場合に無効にな り ます。 デフ ォ ル ト では、 AXI4-Lite ス レーブ と 非 AXI4-Lite マ ス
タ ーがそれぞれ 1 つで も あれば、 常に C_RANGE_CHECK が イ ネーブルにな り ます。 C_RANGE_CHECK が OFF (0) で、 マ
ス タ ーが AXI4-Lite ス レーブに無効な ト ラ ンザ ク シ ョ ン を発行 し た場合、 予測不能な結果 と な り 、 ト ラ ンザ ク シ ョ ンはエ
ラ ーにな る 可能性が高 く な り ます。
•
C_M_AXI_SECURE を設定 さ れた MI ス ロ ッ ト が、AWPROT[1] ま たは ARPROT[1] を セ ッ ト さ れた ( セキ ュ アでない) ト
ラ ンザ ク シ ョ ンの宛先 と な っ てい ます。
メ モ : MI ス ロ ッ ト のいずれかが SECURE と し て設定さ れてい る場合には、 C_RANGE_CHECK を無効に し てはな り ません。
AXI イ ン タ ー コ ネ ク ト コ アは次のエ ラ ー状態を検出 し ません。
•
ス レーブ デバ イ ス か ら 受信 さ れた応答 ID がいずれの SI ス ロ ッ ト に も マ ッ ピ ン グ さ れない場合、 AXI イ ン タ ー コ ネ ク ト
コ アか ら ス レーブ デバ イ スへの READY 応答は発行 さ れ ません。 応答全体 (書 き 込み応答ま たは読み出 し デー タ バース
ト ) は AXI イ ン タ ー コ ネ ク ト コ アに よ り 永続的に遮断 さ れます。 こ れに よ り 、 問題のあ る ス レーブ デバ イ ス と 応答の受
信を待つマ ス タ ー デバ イ ス がハン グ ア ッ プす る 場合があ り ます。
•
AXI イ ン タ ー コ ネ ク ト コ アは AXI4 プ ロ ト コ ル違反を ト ラ ッ プ し ません。 こ れはエン ド ポ イ ン ト IP で行われます。
•
AXI イ ン タ ー コ ネ ク ト コ アは書 き 込みデー タ の イ ン タ ー リ ーブ をサポー ト せず、 ト ラ ッ プす る こ と も あ り ません (すべて
の書 き 込みデー タ は書 き 込み ト ラ ンザ ク シ ョ ンの順序に従っ て振 り 分け ら れます。WID は SI でサンプ リ ン グ さ れません )。
•
AXI イ ン タ ー コ ネ ク ト コ アは幅の狭いバース ト 違反を ト ラ ッ プ し ません。 こ の違反は、 SI ス ロ ッ ト が
C_S_AXI_SUPPORTS_NARROW_BURST = 0 に設定 さ れてい る 場合に、 長 さ が 1 デー タ ビー ト を超え、 デー タ 転送サ イ
ズが SI ス ロ ッ ト のデー タ 幅 よ り も 狭い ト ラ ン ザ ク シ ョ ン を受信す る か、 AWCACHE[1] ま たは ARCACHE[1] がデ ィ ア
サー ト さ れた ト ラ ンザ ク シ ョ ン を受信す る と 発生 し ます。 こ れは、 エン ド ポ イ ン ト のマ ス タ ー IP で行われます。
•
Xilinx Platform Studio (XPS) は、 コ ンパ イ ル時にエ ラ ー と な る コ ン フ ィ ギ ュ レーシ ョ ン を行わない よ う にす る デザ イ ン
ルールを適用 し ます。 し たが っ て、 AXI イ ン タ ー コ ネ ク ト コ アには次の コ ン フ ィ ギ ュ レーシ ョ ン エ ラ ーに対す る エ ラ ー
検出 ロ ジ ッ ク は備え ら れてい ません。
•
非同期 ク ロ ッ ク と し て コ ン フ ィ ギ ュ レーシ ョ ン さ れてお ら ず、 ク ロ ッ ク 倍率が整数でない
•
パ ラ メ ー タ ー値範囲違反
•
ア ド レ ス ま たは ID の範囲が重複 し てい る 、 非バ イ ナ リ サ イ ズであ る 、 ま たはベース値のア ラ イ メ ン ト が正 し く ない
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
23
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
AXI プ ロ ト コ ル コ ンバー タ ー
次のサブセ ク シ ョ ンで、 AXI プ ロ ト コ ル コ ンバー タ ーについて説明 し ます。
•
AXI4-Lite ス レーブ変換
•
AXI3 ス レーブ コ ンバー タ ー
AXI4-Lite ス レーブ変換
AXI4-Lite ス レーブ デバ イ ス に接続 さ れた各 MI ス ロ ッ ト は、 AXI4-Lite 変換ブ ロ ッ ク を通っ てルーテ ィ ン グ さ れます。 変換
ブ ロ ッ ク では、書 き 込み ト ラ ンザ ク シ ョ ン と 読み出 し ト ラ ンザ ク シ ョ ン間の単一ス レ ッ ド の ラ ウ ン ド ロ ビ ン アービ ト レーシ ョ
ン を含めて、 すべての ト ラ ンザ ク シ ョ ン を単一ス レ ッ ド 化 し ます。 多 く の場合、 書 き 込みア ド レ ス と 読み出 し ア ド レ ス は 1 つ
のバ ス に多重化 さ れ、 その後 MI ス ロ ッ ト の AWADDR 信号 と ARADDR 信号に複製 さ れ ます。 多 く の場合、 こ れ ら の重複す る
信号はバ ッ ク エン ド デザ イ ンの イ ンプ リ メ ン テーシ ョ ン時に自動削除 さ れ る ため、 AXI4-Lite の ス レーブが使用す る リ ソ ース
はア ド レ ス バ ス が 1 つ し かない場合 と ほぼ同様にな り ます。
ト ラ ンザ ク シ ョ ン ID (AWID ま たは ARID) は分解 さ れて変換ブ ロ ッ ク に格納 さ れ、 応答の転送時に BID ま たは RID と し て読
み出 さ れます。
図 11 に AXI4-Lite の変換 ロ ジ ッ ク を示 し ます。
X-Ref Target - Figure 11
AXI to AXI-Lite
AWVALID
AWVALID
W/R
Arb
ARVALID
ARVALID
AWADDR
AWADDR
ARADDR
ARADDR
AWID
ARID
BID
RID
X12067
図 11 : AXI4-Lite の変換ロ ジ ッ ク
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
24
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
AXI3 ス レーブ コ ンバー タ ー
MI ス ロ ッ ト が 1 つ以上の AXI4 SI ス ロ ッ ト か ら ア ク セ ス で き る 場合、 AXI3 ス レーブ変換モジ ュ ールは AXI3 ス レーブ デバ
イ ス に接続 さ れた各 MI ス ロ ッ ト の位置に イ ン ス タ ン シエー ト さ れます。
ア ド レ ス チ ャ ネル ダ ウ ンサ イ ザー モジ ュ ール と 同様に、 こ のモジ ュ ールは ス レーブ イ ン タ ーフ ェ イ ス で AW ま たは AR 転
送 ( コ マ ン ド ) を受信 し 、 MI で 1 つま たは複数の コ マ ン ド を生成 し ます。 デー タ 転送の SIZE が AXI3 コ ンバー タ ーで変更 さ
れ る こ と はあ り ません。 16 デー タ ビー ト よ り も 長いバース ト を受信 し た場合、 コ マ ン ド は複数の短いバース ト ト ラ ンザ ク
シ ョ ンに分割 さ れます。
AXI3 コ ンバー タ ー モジ ュ ールは通常、 複数の Outstanding ト ラ ンザ ク シ ョ ン を伝搬で き ます。 AW/AR チ ャ ネル転送か ら の
ト ラ ンザ ク シ ョ ン特性 と し て、 対応す る 応答転送を待機す る 間、 キ ュ ーに入 り ます。 ただ し 、 書 き 込み応答ま たは読み出 し
デー タ の順序が変更す る 可能性があ る ため、 AW お よ び AR チ ャ ネル コ ンバー タ ーに よ る ト ラ ンザ ク シ ョ ンの受け入れは、
ト ラ ンザ ク シ ョ ンが分割を必要 と し てい る 場合は常に、 各方向一度に 1 つの ト ラ ンザ ク シ ョ ンに限 ら れます。
I/O 信号
こ のセ ク シ ョ ンでは、 AXI イ ン タ ー コ ネ ク ト コ ア信号の一覧を示 し ます。
表 3、 27 ページの表 4、 29 ページの表 5、 30 ページの表 6、 31 ページの表 7、 34 ページの表 8 の 「デフ ォ ル ト 」 列には、
入力信号が必須 (REQ) か ど う か、 必須でない場合は未接続の場合のデフ ォ ル ト 値を示 し てい ます。 信号の接続が必要なのは、
使用す る SI ス ロ ッ ト お よ び MI ス ロ ッ ト のみです。 「デフ ォ ル ト 」 列には、 AXI4、 AXI3、 Lite (AXI4-Lite) な ど、 ス ロ ッ ト
のプ ロ ト コ ル モー ド を示す値 も 記載 さ れてい ます。 AXI4-Lite でサンプ リ ン グ さ れない ( ド ン ト ケ ア ) 入力信号は 「d/c」 で示
し ます。
ス レーブ イ ン タ ー フ ェ イ スの I/O 信号
表 3 に、 ス レーブ イ ン タ ーフ ェ イ ス信号の一覧を示 し ます。 「幅」 列の 「N」 は、 SI ス ロ ッ ト の総数を示 し ます。 こ れは、
AXI イ ン タ ー コ ネ ク ト コ アに接続 さ れたマ ス タ ー デバ イ ス の数です。
表 3: ス レーブの I/O 信号
信号名
方向
デ フ ォル ト
説明 (範囲)
幅
N*1
出力 ( ア ク テ ィ ブ Low) を リ セ ッ ト し 、 各ス
ロ ッ ト の ク ロ ッ ク に再同期 し ます。 (AXI プ
ロ ト コ ルで定義 さ れた信号ではない )
N*1
クロック
S_AXI_ARESET_OUT_N
出力
S_AXI_ACLK
入力
S_AXI_AWID
入力
S_AXI_AWADDR
入力
REQ
S_AXI_AWLEN
入力
AXI3、 AXI4: 0
Lite: d/c
N*8
書 き 込みア ド レ ス チ ャ ネルのバース ト 長。
S_AXI_AWSIZE
入力
AXI3、 AXI4:
REQ*
Lite: d/c
N*3
書 き 込みア ド レ ス チ ャ ネルの転送サ イ ズ。
コ ー ド (0 ~ 7)
S_AXI_AWBURST
入力
AXI3、 AXI4:
REQ*
Lite: d/c
N*2
書 き 込みア ド レ ス チ ャ ネルのバース ト
タ イ プ コ ー ド (0 ~ 2)。
REQ
AXI3、 AXI4: 0
N*C_AXI_ID_WIDTH
Lite: d/c
N*C_AXI_ADDR_WIDTH
書 き 込みア ド レ ス チ ャ ネル ト ラ ンザ ク シ ョ ン
ID。
書 き 込みア ド レ ス チ ャ ネルのア ド レ ス。
(0-255)
* AXI4 マ ス タ ー デバ イ ス の AW/RSIZE 出力お よ び AW/RBURST 出力は、 マ ス タ ー デバ イ ス自身で駆動す る こ と を推奨 し ます。 通常、 アプ リ ケーシ ョ
ン要件に規定 さ れていない限 り 、 マ ス タ ー デバ イ ス はその イ ン タ ーフ ェ イ ス のデー タ 幅に対応 し た AW/RSIZE の値を駆動 し ます。 通常マ ス タ ー デ
バ イ ス はその AW/RBURST 出力を 0b01 に駆動 し ます。 こ れは、 イ ン ク リ メ ン タ ル (INCR) バー ス ト を表 し ます。
** AXI プ ロ ト コ ルの場合、 マ ス タ ー デバ イ ス は自身の AW/RPROT 出力を駆動す る 必要があ り ます。 AW/RPROT 信号が駆動 さ れない ま ま にな っ てい る
場合、 デフ ォ ル ト ですべて 0 と な り 、 ト ラ ンザ ク シ ョ ンはセ キ ュ アで あ る と 解釈 さ れ ます。
*** マ ス タ ー デバ イ ス がそれ自身の AW/RCACHE 出力を 0b0011 に駆動 し 、 幅変換の実行中に AXI イ ン タ ー コ ネ ク ト コ アがデー タ を圧縮で き る よ う
に し て、 デー タ パ ス FIFO での ス ト ア フ ォ ワ ー ド を可能にす る こ と を推奨 し ます。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
25
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
表 3: ス レーブの I/O 信号 (続き )
信号名
説明 (範囲)
方向
デ フ ォル ト
幅
S_AXI_AWLOCK
入力
AXI3、 AXI4: 0
Lite: d/c
N*2
書 き 込みア ド レ ス チ ャ ネルのア ト ミ ッ ク
ア ク セ ス タ イ プ (0、 1)。
S_AXI_AWCACHE
入力
AXI3、 AXI4:
0***
Lite: d/c
N*4
書 き 込みア ド レ ス チ ャ ネル キ ャ ッ シ ュ 特性。
S_AXI_AWPROT
入力
0b000**
N*3
書き込みア ド レ ス チ ャ ネルの保護ビ ッ ト 。
S_AXI_AWQOS
入力
AXI4: 0
Lite: d/c
N*4
AXI4 書 き 込みア ド レ ス チ ャ ネルのサービ ス
品質 (QoS)。
S_AXI_AWUSER
入力
S_AXI_AWVALID
入力
S_AXI_AWREADY
出力
S_AXI_WDATA
入力
REQ
S_AXI_WSTRB
入力
すべて 1
S_AXI_WLAST
入力
AXI3、 AXI4: 0
Lite: d/c
S_AXI_WUSER
入力
AXI3、 AXI4: 0
N*C_AXI_WUSER_WIDTH
Lite: d/c
S_AXI_WVALID
入力
S_AXI_WREADY
出力
S_AXI_BID
出力
S_AXI_BRESP
出力
S_AXI_BUSER
出力
S_AXI_BVALID
出力
S_AXI_BREADY
入力
S_AXI_ARID
入力
S_AXI_ARADDR
入力
REQ
S_AXI_ARLEN
入力
AXI3、 AXI4: 0
Lite: d/c
N*8
読み出 し ア ド レ ス チ ャ ネルのバース ト 長
コ ー ド (0 ~ 255)。
S_AXI_ARSIZE
入力
AXI3、 AXI4:
REQ*
Lite: d/c
N*3
読み出 し ア ド レ ス チ ャ ネルの転送サ イ ズ
コ ー ド (0 ~ 7)。
S_AXI_ARBURST
入力
AXI3、 AXI4:
REQ*
Lite: d/c
N*2
読み出 し ア ド レ ス チ ャ ネルのバース ト
タ イ プ (0 ~ 2)。
S_AXI_ARLOCK
入力
AXI3、 AXI4: 0
Lite: d/c
N*2
読み出 し ア ド レ ス チ ャ ネルのア ト ミ ッ ク
ア ク セ ス タ イ プ (0、 1)。
AXI3、 AXI4: 0
N*C_AXI_AWUSER_WIDTH
Lite: d/c
REQ
REQ
N*1
書 き 込みア ド レ ス チ ャ ネル有効。
N*1
書 き 込みア ド レ ス チ ャ ネル準備完了。
N*C_S_AXI_DATA_WIDTH
書 き 込みデー タ チ ャ ネルのデー タ 。
N*C_S_AXI_DATA_WIDTH/8
書 き 込みデー タ チ ャ ネルのバ イ ト
ス ト ロ ーブ。
N*1
書 き 込みデー タ チ ャ ネルの最終デー タ
ビー ト 。
ユーザー定義の W チ ャ ネル信号。
N*1
書 き 込みデー タ チ ャ ネル有効。
N*1
書 き 込みデー タ チ ャ ネル準備完了。
N*C_AXI_ID_WIDTH
N*2
N*C_AXI_BUSER_WIDTH
REQ
ユーザー定義の AW チ ャ ネル信号。
書 き 込み応答 チ ャ ネル ト ラ ンザ ク シ ョ ン ID。
書 き 込み応答チ ャ ネルの応答 コ ー ド (0 ~ 3)。
ユーザー定義の B チ ャ ネル信号。
N*1
書 き 込み応答チ ャ ネル有効。
N*1
書 き 込み応答チ ャ ネル準備完了。
AXI3、 AXI4: 0
N*C_AXI_ID_WIDTH
Lite: d/c
N*C_AXI_ADDR_WIDTH
読み出 し ア ド レ ス チ ャ ネル ト ラ ンザ ク シ ョ ン
ID。
読み出 し ア ド レ ス チ ャ ネルのア ド レ ス。
* AXI4 マ ス タ ー デバ イ ス の AW/RSIZE 出力お よ び AW/RBURST 出力は、 マ ス タ ー デバ イ ス自身で駆動す る こ と を推奨 し ます。 通常、 アプ リ ケーシ ョ
ン要件に規定 さ れていない限 り 、 マ ス タ ー デバ イ ス はその イ ン タ ーフ ェ イ ス のデー タ 幅に対応 し た AW/RSIZE の値を駆動 し ます。 通常マ ス タ ー デ
バ イ ス はその AW/RBURST 出力を 0b01 に駆動 し ます。 こ れは、 イ ン ク リ メ ン タ ル (INCR) バー ス ト を表 し ます。
** AXI プ ロ ト コ ルの場合、 マ ス タ ー デバ イ ス は自身の AW/RPROT 出力を駆動す る 必要があ り ます。 AW/RPROT 信号が駆動 さ れない ま ま にな っ てい る
場合、 デフ ォ ル ト ですべて 0 と な り 、 ト ラ ンザ ク シ ョ ンはセ キ ュ アで あ る と 解釈 さ れ ます。
*** マ ス タ ー デバ イ ス がそれ自身の AW/RCACHE 出力を 0b0011 に駆動 し 、 幅変換の実行中に AXI イ ン タ ー コ ネ ク ト コ アがデー タ を圧縮で き る よ う
に し て、 デー タ パ ス FIFO での ス ト ア フ ォ ワ ー ド を可能にす る こ と を推奨 し ます。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
26
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
表 3: ス レーブの I/O 信号 (続き )
方向
デ フ ォル ト
幅
説明 (範囲)
S_AXI_ARCACHE
入力
AXI3、 AXI4:
0***
Lite: d/c
N*4
読み出 し ア ド レ ス チ ャ ネル キ ャ ッ シ ュ 特性。
S_AXI_ARPROT
入力
0b000**
N*3
読み出し ア ド レ ス チャ ネルの保護ビ ッ ト 。
S_AXI_ARQOS
入力
AXI4: 0
Lite: d/c
N*4
AXI4 読み出 し ア ド レ ス チ ャ ネルのサービ ス
品質 (QoS)。
S_AXI_ARUSER
入力
S_AXI_ARVALID
入力
信号名
AXI3、 AXI4: 0
N*C_AXI_ARUSER_WIDTH
Lite: d/c
REQ
ユーザー定義の AR チ ャ ネル信号。
N*1
読み出 し ア ド レ ス チ ャ ネル有効。
N*1
読み出 し ア ド レ ス チ ャ ネル準備完了。
S_AXI_ARREADY
出力
S_AXI_RID
出力
N*C_AXI_ID_WIDTH
S_AXI_RDATA
出力
N*C_S_AXI_DATA_WIDTH
S_AXI_RRESP
出力
N*2
読み出 し デー タ チ ャ ネル応答 コ ー ド 。 (0-3)
S_AXI_RLAST
出力
N*1
読み出 し デー タ チ ャ ネルの最終デー タ ビー
ト。
S_AXI_RUSER
出力
S_AXI_RVALID
S_AXI_RREADY
N*C_AXI_RUSER_WIDTH
出力
入力
REQ
読み出 し デー タ チ ャ ネル ト ラ ンザ ク シ ョ ン
ID。
読み出 し デー タ チ ャ ネルのデー タ 。
ユーザー定義の R チ ャ ネル信号。
N*1
読み出 し デー タ チ ャ ネル有効。
N*1
読み出 し デー タ チ ャ ネル準備完了。
* AXI4 マ ス タ ー デバ イ ス の AW/RSIZE 出力お よ び AW/RBURST 出力は、 マ ス タ ー デバ イ ス自身で駆動す る こ と を推奨 し ます。 通常、 アプ リ ケーシ ョ
ン要件に規定 さ れていない限 り 、 マ ス タ ー デバ イ ス はその イ ン タ ーフ ェ イ ス のデー タ 幅に対応 し た AW/RSIZE の値を駆動 し ます。 通常マ ス タ ー デ
バ イ ス はその AW/RBURST 出力を 0b01 に駆動 し ます。 こ れは、 イ ン ク リ メ ン タ ル (INCR) バー ス ト を表 し ます。
** AXI プ ロ ト コ ルの場合、 マ ス タ ー デバ イ ス は自身の AW/RPROT 出力を駆動す る 必要があ り ます。 AW/RPROT 信号が駆動 さ れない ま ま にな っ てい る
場合、 デフ ォ ル ト ですべて 0 と な り 、 ト ラ ンザ ク シ ョ ンはセ キ ュ アで あ る と 解釈 さ れ ます。
*** マ ス タ ー デバ イ ス がそれ自身の AW/RCACHE 出力を 0b0011 に駆動 し 、 幅変換の実行中に AXI イ ン タ ー コ ネ ク ト コ アがデー タ を圧縮で き る よ う
に し て、 デー タ パ ス FIFO での ス ト ア フ ォ ワ ー ド を可能にす る こ と を推奨 し ます。
マス タ ー イ ン タ ー フ ェ イ スの I/O 信号
次の表で 「幅」 列の 「M」 は、 マ ス タ ー イ ン タ ーフ ェ イ ス (MI) ス ロ ッ ト の総数を示 し ます。 こ れは、 AXI イ ン タ ー コ ネ ク ト
コ アに接続 さ れた ス レーブ デバ イ ス の数です。
表 4: マス タ ー I/O 信号
方向
M_AXI_ARESET_OUT_N
出力
M_AXI_ACLK
入力
M_AXI_AWID
出力
M*C_AXI_ID_WIDTH
書 き 込みア ド レ ス チ ャ ネル ト ラ ンザ ク シ ョ ン ID。
M_AXI_AWADDR
出力
M*C_AXI_ADDR_WIDTH
書 き 込みア ド レ ス チ ャ ネルのア ド レ ス。
M_AXI_AWLEN
出力
M*8
M_AXI_AWSIZE
出力
M*3
M_AXI_AWBURST
出力
M*2
書 き 込みア ド レ ス チ ャ ネルのバース ト タ イ プ
(0 ~ 2)。
M_AXI_AWLOCK
出力
M*2
書 き 込みア ド レ ス チ ャ ネルのア ト ミ ッ ク ア ク セ
ス タ イ プ (0、 1)
M_AXI_AWCACHE
出力
M*4
書 き 込みア ド レ ス チ ャ ネル キ ャ ッ シ ュ 特性。
DS768 2011 年 3 月 1 日
Product 製品仕様
デ フ ォル ト
説明 (範囲)
信号名
REQ
幅
M*1
出力 ( ア ク テ ィ ブ Low) を リ セ ッ ト し 、 各ス ロ ッ
ト の ク ロ ッ ク に再同期 し ます。 (AXI プ ロ ト コ ル
で定義 さ れた信号ではない )
M*1
ク ロ ッ ク。
japan.xilinx.com
書 き 込みア ド レ ス チ ャ ネルのバース ト 長 コ ー ド 。
(0-255)
書 き 込みア ド レ ス チ ャ ネルの転送サ イ ズ コ ー ド
(0 ~ 7)。
27
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
表 4: マス タ ー I/O 信号 (続き )
信号名
方向
デ フ ォル ト
説明 (範囲)
幅
M_AXI_AWPROT
出力
M*3
書 き 込みア ド レ ス チ ャ ネルの保護ビ ッ ト 。
M_AXI_AWREGION
出力
M*4
AXI4 書 き 込みア ド レ ス チ ャ ネルのア ド レ ス領域
M*4
書 き 込みア ド レ ス チ ャ ネルのサービ ス品質
(QoS)。
イ ンデ ッ ク ス。
M_AXI_AWQOS
出力
M_AXI_AWUSER
出力
M_AXI_AWVALID
出力
M_AXI_AWREADY
入力
M_AXI_WID
出力
M*C_AXI_ID_WIDTH
AX3 ス レーブの書 き 込みデー タ チ ャ ネル ト ラ ン
ザ ク シ ョ ン ID (S_AXI_AWID か ら コ ピー )。
M_AXI_WDATA
出力
M*C_M_AXI_DATA_WIDTH
書 き 込みデー タ チ ャ ネルのデー タ 。
M_AXI_WSTRB
出力
M*C_M_AXI_DATA_WIDTH/8
書 き 込みデー タ チ ャ ネルのデー タ バ イ ト ス ト
ロ ーブ。
M_AXI_WLAST
出力
M_AXI_WUSER
出力
M*C_AXI_AWUSER_WIDTH
REQ
書 き 込みア ド レ ス チ ャ ネル有効。
M*1
書 き 込みア ド レ ス チ ャ ネル準備完了。
1
M*C_AXI_WUSER_WIDTH
M_AXI_WVALID
出力
M_AXI_WREADY
入力
M_AXI_BID
入力
M_AXI_BRESP
入力
0b00
M_AXI_BUSER
入力
AXI3、
AXI4: 0
Lite: d/c
M_AXI_BVALID
入力
REQ
REQ
ユーザー定義の AW チ ャ ネル信号。
M*1
書 き 込みデー タ チ ャ ネルの最終デー タ ビー ト 。
ユーザー定義の W チ ャ ネル信号。
M*1
書 き 込みデー タ チ ャ ネル有効。
M*1
書 き 込みデー タ チ ャ ネル準備完了。
AXI3、
AXI4: REQ M*C_AXI_ID_WIDTH
Lite: d/c
M*2
M*C_AXI_BUSER_WIDTH
書 き 込み応答 チ ャ ネル ト ラ ンザ ク シ ョ ン ID。
書 き 込み応答チ ャ ネルの応答 コ ー ド (0 ~ 3)。
ユーザー定義の B チ ャ ネル信号。
M*1
書 き 込み応答チ ャ ネル有効。
M*1
書 き 込み応答チ ャ ネル準備完了。
M_AXI_BREADY
出力
M_AXI_ARID
出力
M*C_AXI_ID_WIDTH
読み出 し ア ド レ ス チ ャ ネル ト ラ ンザ ク シ ョ ン ID。
M_AXI_ARADDR
出力
M*C_AXI_ADDR_WIDTH
読み出 し ア ド レ ス チ ャ ネルのア ド レ ス。
M_AXI_ARLEN
出力
M*8
M_AXI_ARSIZE
出力
M*3
M_AXI_ARBURST
出力
M*2
読み出 し ア ド レ ス チ ャ ネルのバース ト タ イ プ
(0 ~ 2)。
M_AXI_ARLOCK
出力
M*2
読み出 し ア ド レ ス チ ャ ネルのア ト ミ ッ ク ア ク セ
ス タ イ プ (0、 1)
M_AXI_ARCACHE
出力
M*4
読み出 し ア ド レ ス チ ャ ネル キ ャ ッ シ ュ 特性。
出力
M*3
読み出 し ア ド レ ス チ ャ ネルの保護ビ ッ ト 。
M_AXI_ARPROT
読み出 し ア ド レ ス チ ャ ネルのバース ト 長 コ ー ド
(0 ~ 255)。
読み出 し ア ド レ ス チ ャ ネルの転送サ イ ズ コ ー ド
(0 ~ 7)。
M_AXI_ARREGION
出力
M*4
AXI4 読み出 し ア ド レ ス チ ャ ネルのア ド レ ス領域
M_AXI_ARQOS
出力
M*4
AXI4 読み出 し ア ド レ ス チ ャ ネルのサービ ス品質
(QoS)。
M_AXI_ARUSER
出力
M_AXI_ARVALID
出力
M_AXI_ARREADY
入力
DS768 2011 年 3 月 1 日
Product 製品仕様
M*C_AXI_ARUSER_WIDTH
REQ
イ ンデ ッ ク ス。
ユーザー定義の AR チ ャ ネル信号。
M*1
読み出 し ア ド レ ス チ ャ ネル有効。
M*1
読み出 し ア ド レ ス チ ャ ネル準備完了。
japan.xilinx.com
28
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
表 4: マス タ ー I/O 信号 (続き )
信号名
M_AXI_RID
説明 (範囲)
方向
デ フ ォル ト
入力
AXI3、
AXI4: REQ M*C_AXI_ID_WIDTH
Lite: d/c
幅
M_AXI_RDATA
入力
REQ
M_AXI_RRESP
入力
0b00
M*2
入力
AXI3、
AXI4: REQ
Lite: d/c
M*1
入力
AXI3、
AXI4: 0
Lite: d/c
入力
REQ
M_AXI_RLAST
M_AXI_RUSER
M_AXI_RVALID
M_AXI_RREADY
読み出 し デー タ チ ャ ネル ト ラ ンザ ク シ ョ ン ID。
M*C_M_AXI_DATA_WIDTH
読み出 し デー タ チ ャ ネルのデー タ 。
読み出しデータ チ ャ ネルの応答コー ド (0 ~ 3)。
読み出しデータ チャ ネルの最終データ ビー ト 。
ユーザー定義の R チ ャ ネル信号。
M*C_AXI_RUSER_WIDTH
出力
M*1
読み出 し デー タ チ ャ ネル有効。
M*1
読み出 し デー タ チ ャ ネル準備完了。
グローバル ポー ト
表 5: グローバル ポー ト 信号
ポー ト 信号名
説明 (範囲)
方向
デ フ ォル ト
幅
INTERCONNECT_ACLK
入力
REQ
1
イ ン タ ー コ ネ ク ト のネ イ テ ィ ブ ク ロ ッ ク 入力。
INTERCONNECT_ARESETN
入力
REQ
1
グ ロ ーバル リ セ ッ ト ( ア ク テ ィ ブ Low)。 詳細は 「 リ
セ ッ ト 要件」 を参照。
リ セ ッ ト 要件
すべての内部 ロ ジ ッ ク の リ セ ッ ト が確実に完了す る よ う に、 INTERCONNECT_ARESETN 入力を 16 ク ロ ッ ク サ イ ク ル間以上ア
ク テ ィ ブ (Low) に保持す る 必要があ り ます。 複数の ク ロ ッ ク 周波数を使用 し てい る 場合は、 AXI イ ン タ ー コ ネ ク ト コ アに接
続 さ れてい る 最低周波数 (INTERCONNECT_ACLK の周波数 も 含む) の ク ロ ッ ク の 16 サ イ ク ル間 INTERCONNECT_ARESETN を
ア ク テ ィ ブにす る 必要があ り ます。 こ の要件は、 INTERCONNECT_ARESETN を proc_sys_reset コ アの同様の名前の出力ポー
ト に よ っ て駆動する こ と で満たす こ と がで き ます。
デザイ ン パラ メ ー タ ー
次のサブセ ク シ ョ ンに、 デザ イ ン パ ラ メ ー タ ー と こ れ ら のパ ラ メ ー タ ーの説明に使用す る 表記規則の一覧を示 し ます。
パラ メ ー タ ー サマ リ テーブルにおける表記規則
コ ア、 ス レーブ固有、 マ ス タ ー固有のパ ラ メ ー タ ー テーブル (表 6、 表 7、 お よ び表 8) では、 次の表記規則を使用 し てい ます。
「表示形式/範囲」 列は次の よ う に表記 さ れます。
•
「N」 は C_NUM_SLAVE_SLOTS の値を表 し ます。
•
「M」 は C_NUM_MASTER_SLOTS の値を表 し ます。
•
中か っ こ { } は後に続 く 値の繰 り 返 し 回数を示 し ます。
•
「Bit1」 は 1 ビ ッ ト の値を、 「Bit32」 は 32 ビ ッ ト の値を、 「Bit64」 は 64 ビ ッ ト の値を表 し ます。
た と えば、 「{N} Bit32」 は 32 ビ ッ ト の値が SI ス ロ ッ ト ご と に繰 り 返 さ れ る パ ラ メ ー タ ーを表 し ます。
•
脚注 N が付いていない限 り 、 コ アのパ ラ メ ー タ ーは HDL の コ ンパ イ ルに影響を与え ます。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
29
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
イ ン タ ー コ ネ ク ト コ ア パラ メ ー タ ー
表 6: イ ン タ ー コ ネ ク ト コ ア パラ メ ー タ ー
パ ラ メ ー タ ー名
デ フ ォル ト 値
C_NUM_SLAVE_SLOTS (T)
1
C_NUM_MASTER_SLOTS (T)
1
表示形式/
範囲
整数
(1-16)
整数
(1-16)
内容
SI ス ロ ッ ト の数。
MI ス ロ ッ ト の数。
文字列
C_FAMILY (T)
REQ
C_AXI_ID_WIDTH (T)
1
C_AXI_ADDR_WIDTH (C)
32
(*virtex6*、
*spartan6*)
整数
(1-16)
整数
(32)
FPGA フ ァ ミ リ 。
AXI イ ン タ ー コ ネ ク ト コ アに よ っ て伝搬 さ れ
る すべての ID 信号の幅。
全 SI ス ロ ッ ト お よ び全 MI ス ロ ッ ト のすべての
ADDR 信号の幅。
CDAM ロ ジ ッ ク が イ ンプ リ メ ン ト さ れてい る
C_S_AXI_IS_INTERCONNECT
(T )
{N}0b0
C_INTERCONNECT_DATA_WIDTH (O)
C_INTERCONNECT_ACLK_RATIO
(T)
最 も 幅の広い SI ま たは
MI ス ロ ッ ト と 同 じ
{N} Bit1
整数
か ど う かの判別に使用 し ます。
0 = エ ン ド ポ イ ン ト マ ス タ ー デバ イ ス に接続
1 = 別の AXI イ ン タ ー コ ネ ク ト コ アに接続
イ ン タ ー コ ネ ク ト 内部の書 き 込みお よ び読み出
(32、 64、 128、
し デー タ パ ス のデー タ 幅。
256、 512、 1024)
全 SI ス ロ ッ ト お よ び MI ス ロ ッ ト に関連す る
AXI イ ン ターコ ネ ク ト コ ア内部の ク ロ ッ ク 周波
(1-2147483647) 数倍率。 ( ツールが こ の値を Hz 単位で イ ン ター
コ ネ ク ト ク ロ ッ ク の周波数に設定 )
整数
1
USER 信号 (5 チ ャ ネルすべて ) を AXI イ ン ター
C_AXI_SUPPORTS_USER_SIGNALS (O)
0
C_AXI_AWUSER_WIDTH (O)
1
C_AXI_ARUSER_WIDTH (O)
1
C_AXI_WUSER_WIDTH (O)
1
C_AXI_RUSER_WIDTH (O)
1
C_AXI_BUSER_WIDTH (O)
1
C_AXI_CONNECTIVITY (T)
整数
整数
(1-256)
整数
(1-256)
整数
(1-256)
整数
(1-256)
整数
(1-256)
すべて 1
{M} Bit32
({N}Bit1)
コ ネ ク ト コ アに伝搬する かど う かを示す。
0 = 伝搬 し ない
1 = 伝搬す る
AXI4 の全 SI ス ロ ッ ト お よ び全 MI ス ロ ッ ト の
AWUSER 信号の幅。
AXI4 の全 SI ス ロ ッ ト お よ び全 MI ス ロ ッ ト の
ARUSER 信号の幅。
AXI4 の全 SI ス ロ ッ ト お よ び全 MI ス ロ ッ ト の
WUSER 信号の幅。
AXI4 の全 SI ス ロ ッ ト お よ び全 MI ス ロ ッ ト の
RUSER 信号の幅。
AXI4 の全 SI ス ロ ッ ト お よ び全 MI ス ロ ッ ト の
BUSER 信号の幅。
各 SI ス ロ ッ ト (N) か ら 各 MI ス ロ ッ ト (M) ま
で を ク ロ ス バーで接続す る か ど う かを示す。
( ク ロ ス バー モー ド の イ ン タ ー コ ネ ク ト のみに
適用 )
0 = 経路不要
1 = 経路要
メモ :
I = 接続 さ れたすべてのマ ス タ ー デバ イ ス で有効な固有のパ ラ メ ー タ ー。
U = ユーザー指定。
T = ツールで生成 (EDK が情報を生成 し 、 値を設定)。
C = 定数。
O = ユーザーのオーバー ラ イ ド に よ り ツールで生成ま たは TCL で自動生成。
N = コ アの HDL では使用 し ない。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
30
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
表 6: イ ン タ ー コ ネ ク ト コ ア パラ メ ー タ ー (続き )
パ ラ メ ー タ ー名
C_INTERCONNECT_CONNECTIVITY_
MODE(U)
デ フ ォル ト 値
表示形式/
範囲
1
整数
(0、 1)
内容
イ ン タ ー コ ネ ク ト アーキ テ ク チ ャ を定義 し
ます。
0 = 共有ア ク セ ス ( エ リ ア最適化 )
1 = ク ロ ス バー ( パフ ォーマ ン ス最適化 )
次の場合は ON (1)。
C_NUM_MASTER_SLOTS>1 で
あ る 場合、 ま たは、
C_M_AXI_BASE/HIGH_ADDR
C_RANGE_CHECK (O)
が 1 よ り も 大 き い範囲を
定義す る 場合、 ま たは
MI ス ロ ッ ト のいずれかが
AXI4-Lite であ る 場合
かつ
SI ス ロ ッ ト のいずれかが
AXI4-Lite でない場合、
ま たは、 MI ス ロ ッ ト の
いずれかで
C_M_AXI_SECURE が設定 さ
れてい る 場合。
上記以外は OFF (0)。
整数
(0、 1)
イ ン タ ーコ ネ ク ト コ アが各種 ト ラ ンザ ク シ ョ ン
エ ラー状態を検出する かど う かを指定し ます。
0 (OFF) = DECERR の状態を検出 し ない。
45 ページの 「デ コ ー ド エ ラ ー検出」 を参照 し
て く だ さ い。
1 (ON) = ト ラ ンザ ク シ ョ ン エ ラ ーを ト ラ ッ プ
し 、 DECERR 応答を生成。
メモ :
I = 接続 さ れたすべてのマ ス タ ー デバ イ ス で有効な固有のパ ラ メ ー タ ー。
U = ユーザー指定。
T = ツールで生成 (EDK が情報を生成 し 、 値を設定)。
C = 定数。
O = ユーザーのオーバー ラ イ ド に よ り ツールで生成ま たは TCL で自動生成。
N = コ アの HDL では使用 し ない。
ス レーブ イ ン タ ー フ ェ イ ス パラ メ ー タ ー
表 7: ス レーブ パラ メ ー タ ー
デ フ ォル ト 値
表示形式/
範囲
{N}0x00000000
{N} Bit32
C_S_AXI_DATA_WIDTH(M)
{N} 0x00000020
{N} Bit32
(0x00000020、
0x00000040、
0x00000080、
0x00000100、
0x00000200、
0x00000400)
C_S_AXI_BASE_ID (I,O)
{N}0x00000000
{N} Bit32
(0-0xFFFF)
パラ メ ー タ ー名
内容
接続されたマス ター デバイ スの AXI プロ ト コル :
C_S_AXI_PROTOCOL(M)
0 = SI ス ロ ッ ト は AXI4
1 = SI ス ロ ッ ト は AXI3
2 = SI ス ロ ッ ト は AXI4-Lite
各 SI ス ロ ッ ト の S_AXI_WDATA お よ び
S_AXI_RDATA の有効幅。 (AXI4-Lite の SI
ス ロ ッ ト では 0x20 の必要があ る )
各 SI ス ロ ッ ト のベース ID (N-1:0)。
メモ :
I = 接続 さ れたすべてのマ ス タ ー デバ イ ス で有効な固有のパ ラ メ ー タ ー。
M = 値は接続 さ れたマ ス タ ー デバ イ ス上に存在す る パ ラ メ ー タ ーか ら コ ピー。
T = ツールで生成 (EDK が情報を生成 し 、 値を設定)。
C = 定数。
O = ユーザーのオーバー ラ イ ド に よ り 、 ツールで生成 (EDK が情報を生成 し 、 値を設定。 ユーザーはオーバー ラ イ ド 可能)。
N = コ アの HDL では使用 し ない。
U = ユーザー指定。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
31
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
表 7: ス レーブ パラ メ ー タ ー (続き )
パラ メ ー タ ー名
C_S_AXI_THREAD_ID_WIDTH (M)
C_S_AXI_SINGLE_THREAD(I, U)
C_S_AXI_ACLK_RATIO
(I,T)
デ フ ォル ト 値
表示形式/
範囲
内容
{N}0x00000000
{N} Bit32
(0-0x10)
各 SI ス ロ ッ ト の ID の下位にあ る 可変ビ ッ ト の
数 (N-1:0)。 それぞれの値は
<= C_AXI_ID_WIDTH でなければな り ません。
{N} Bit1
SI ス ロ ッ ト に よ る ID ス レ ッ ド のサポー ト :
0 = Outstanding ス レ ッ ド ID 値を複数個受け付
け る ( パフ ォーマ ン ス最適化 )
1 = Outstanding ス レ ッ ド ID 値を一度に 1 つだ
け受け付け る ( エ リ ア最適化 )
{N}0b0
{N}0x00000001
内部 イ ン タ ー コ ネ ク ト に関連す る 各 SI ス ロ ッ
{N} Bit32
ト の ク ロ ッ ク 周波数倍率 ( 同期時 )。
(0x1-0x7FFFFFFF) ( ツールが こ の値を Hz 単位で SI ク ロ ッ ク の周
波数に設定 )
{N}d、
各 SI ス ロ ッ ト の
デフ ォ ル ト 値 d は
C_S_AXI_IS_ACLK_ASYNC (I,O)
(C_S_AXI_ACLK_
RATIO[slot] :
C_INTERCONNEC
T_ACLK_RATIO)
の比率が 1:k ま たは
k:1 の場合は 0 、
それ以外の場合は 1。
k は 1 ~ 16 の整数。
SI ス ロ ッ ト の ク ロ ッ ク が、 AXI イ ン タ ー コ ネ
{N} Bit1
ク ト のネ イ テ ィ ブ ク ロ ッ ク に同期 し てい る か
非同期なのかを示 し ます。
0 = SI ス ロ ッ ト の ク ロ ッ ク は AXI イ ン タ ー コ
ネ ク ト のネ イ テ ィ ブ ク ロ ッ ク に同期
1 = SI ス ロ ッ ト の ク ロ ッ ク は AXI イ ン タ ー コ
ネ ク ト のネ イ テ ィ ブ ク ロ ッ ク に非同期
C_S_AXI_ARB_PRIORITY (I,U)
{N}0x00000000
{N} Bit32
(0x00000000
-0x0000000f)
各 SI ス ロ ッ ト 間のアービ ト レ ーシ ョ ン優先順
位。 値が大 き いほ ど、 優先順位が高い こ と を示
し ます。 値が 0 のすべての ス ロ ッ ト は ラ ウ ン ド
ロ ビ ン アービ ト レーシ ョ ンの対象にな り ます。
C_S_AXI_WRITE_ACCEPTANCE (I,U)
{M}0x00000001
{M} Bit32
(2**0 – 2**5)
AXI の SI ス ロ ッ ト が生成で き る デー タ ア ク
C_S_AXI_READ_ACCEPTANCE (I,U)
{M}0x00000001
{M} Bit32
(2**0 – 2**5)
AXI の SI ス ロ ッ ト が生成で き る ア ク テ ィ ブな
読み出 し ト ラ ンザ ク シ ョ ンの数。
テ ィ ブな書 き 込み ト ラ ンザ ク シ ョ ンの数。
C_S_AXI_SUPPORTS_WRITE (M)
{N}0b1
{N} Bit1
各 SI ス ロ ッ ト が書 き 込み関連チ ャ ネルを使用
す る か ど う かを示 し ます。
0 = 読み出 し 専用
1 = AW チ ャ ネル、 W チ ャ ネル、 お よ び B チ ャ
ネルを使用
C_S_AXI_SUPPORTS_READ (M)
{N}0b1
{N} Bit1
各 SI ス ロ ッ ト が読み出 し 関連チ ャ ネルを使用
す る か ど う かを示 し ます。
0 = 書 き 込み専用
1 = AR チ ャ ネル と R チ ャ ネルを使用
{N} Bit1
接続 さ れたマ ス タ ー デバ イ ス が幅の狭いバー
ス ト を生成で き る か ど う かを示 し ます。
0 = すべてのバース ト はデー タ 幅 と 同 じ サ イ ズ
であ り 、 常に A*CACHE[1]=1 ( 単一ビー ト の
転送には当ては ま ら ない )。
1 = 幅の狭いバース ト を生成で き る 、 ま たは
A*CACHE[1] をデ ィ アサー ト 可。
C_S_AXI_SUPPORTS_NARROW_BURST (M, N)
{N}0b1
メモ :
I = 接続 さ れたすべてのマ ス タ ー デバ イ ス で有効な固有のパ ラ メ ー タ ー。
M = 値は接続 さ れたマ ス タ ー デバ イ ス上に存在す る パ ラ メ ー タ ーか ら コ ピー。
T = ツールで生成 (EDK が情報を生成 し 、 値を設定)。
C = 定数。
O = ユーザーのオーバー ラ イ ド に よ り 、 ツールで生成 (EDK が情報を生成 し 、 値を設定。 ユーザーはオーバー ラ イ ド 可能)。
N = コ アの HDL では使用 し ない。
U = ユーザー指定。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
32
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
表 7: ス レーブ パラ メ ー タ ー (続き )
パラ メ ー タ ー名
C_S_AXI_WRITE_FIFO_DEPTH
(I,U)
C_S_AXI_READ_FIFO_DEPTH (I,U)
C_S_AXI_AW_REGISTER (I,U)
C_S_AXI_AR_REGISTER (I,U)
C_S_AXI_W_REGISTER (I,U)
C_S_AXI_R_REGISTER (I,U)
C_S_AXI_B_REGISTER (I,U)
デ フ ォル ト 値
表示形式/
範囲
内容
{N} 0x
00000000
{N} Bit32
(0x00000000,
0x00000020,
0x00000200)
各 SI ス ロ ッ ト の SI 側書 き 込みデー タ FIFO
(W チ ャ ネル アービ ト レーシ ョ ンの前 ) の深 さ
{N}0x00000000
{N} Bit32
(0x00000000,
0x00000020,
0x00000200)
各 SI ス ロ ッ ト の SI 側読み出 し デー タ FIFO
(R チ ャ ネル ルーテ ィ ン グの後 ) の深 さ
{N}0x00000000
{N}0x00000000
{N}0x00000000
{N}0x00000000
{N}0x00000000
{N} Bit32
各 SI ス ロ ッ ト イ ン タ ーフ ェ イ ス の AW チ ャ ネ
ルに レ ジ ス タ ス ラ イ ス を挿入 し ます。
0 = バイパス
1 = 完全レ ジ ス タ 化
7 = 軽量化
8 = 自動
{N} Bit32
各 SI ス ロ ッ ト イ ン タ ーフ ェ イ ス の AR チ ャ ネ
ルに レ ジ ス タ ス ラ イ ス を挿入 し ます。
0 = バイパス
1 = 完全レ ジ ス タ 化
7 = 軽量化
8 = 自動
{N} Bit32
各 SI ス ロ ッ ト イ ン タ ーフ ェ イ ス の W チ ャ ネ
ルに レ ジ ス タ ス ラ イ ス を挿入 し ます。
0 = バイパス
1 = 完全レ ジ ス タ 化
7 = 軽量化
8 = 自動
{N} Bit32
各 SI ス ロ ッ ト イ ン タ ーフ ェ イ ス の R チ ャ ネル
に レ ジ ス タ ス ラ イ ス を挿入 し ます。
0 = バイパス
1 = 完全レ ジ ス タ 化
7 = 軽量化
8 = 自動
{N} Bit32
各 SI ス ロ ッ ト イ ン タ ーフ ェ イ ス の B チ ャ ネル
に レ ジ ス タ ス ラ イ ス を挿入 し ます。
0 = バイパス
1 = 完全レ ジ ス タ 化
7 = 軽量化
8 = 自動
メモ :
I = 接続 さ れたすべてのマ ス タ ー デバ イ ス で有効な固有のパ ラ メ ー タ ー。
M = 値は接続 さ れたマ ス タ ー デバ イ ス上に存在す る パ ラ メ ー タ ーか ら コ ピー。
T = ツールで生成 (EDK が情報を生成 し 、 値を設定)。
C = 定数。
O = ユーザーのオーバー ラ イ ド に よ り 、 ツールで生成 (EDK が情報を生成 し 、 値を設定。 ユーザーはオーバー ラ イ ド 可能)。
N = コ アの HDL では使用 し ない。
U = ユーザー指定。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
33
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
マス タ ー イ ン タ ー フ ェ イ ス パラ メ ー タ ー
表 8: マス タ ー イ ン タ ー フ ェ イ ス関連パラ メ ー タ ー
パ ラ メ ー タ ー名
デ フ ォル ト 値
表示形式/
範囲
{M}0x00000000
{M} Bit32
内容
接続 さ れた ス レーブ デバ イ ス の AXI プ ロ ト コ ル :
C_M_AXI_PROTOCOL (S)
0 = MI ス ロ ッ ト は AXI4
1 = MI ス ロ ッ ト は AXI3
2 = MI ス ロ ッ ト は AXI4-Lite
{M} Bit32
(0x00000020、
0x00000040、 各 MI ス ロ ッ ト の M_AXI_WDATA お よ び
0x00000080、 M_AXI_RDATA の有効幅。 (AXI4-Lite の MI ス ロ ッ
0x00000100、 ト では 0x20 の必要があ る )
0x00000200、
0x00000400)
C_M_AXI_DATA_WIDTH (S)
{M}0x00000020
C_M_AXI_BASE_ADDR (I,U)
{M}
({16}0xffffffff_ffffffff)
{M}
({16} Bit64)
各 MI ス ロ ッ ト (M-1:0) の各範囲のベース ア ド レ
ス (15:0)。 未使用範囲にはベース ア ド レ ス を
0xffffffff_ffffffff に設定 し ます。
C_M_AXI_HIGH_ADDR (I,U)
{M}
({16} 0x
00000000_
00000000}
{M}
({16} Bit64)
各 MI ス ロ ッ ト (M-1:0) の各範囲の高次ア ド レ ス
(15:0)。 未使用範囲では、 高次ア ド レ ス を
0x00000000_00000000 に設定 し ます。
C_M_AXI_ACLK_RATIO
(I,T)
{M} 0x
00000001
内部 AXI イ ン タ ー コ ネ ク ト コ アに関連す る 各 MI
{M} Bit32 (0x1- ス ロ ッ ト の ク ロ ッ ク 周波数倍率 ( 同期時 )。
0x7FFFFFFF) ( ツールが こ の値を MI 単位で MI ク ロ ッ ク の周波
数に設定 )
{M}d,
各 MI ス ロ ッ ト の
デフ ォ ル ト 値 d は
C_M_AXI_IS_ACLK_ASYNC (I,O)
(C_M_AXI_ACLK_
RATIO[slot] :
C_INTERCONNEC
T_ACLK_RATIO)
の比率が 1:k ま たは
k:1 の場合は 0、 それ
以外の場合は 1。
k は 1 ~ 16 の整数。
MI ス ロ ッ ト の ク ロ ッ ク が、 AXI イ ン タ ー コ ネ ク ト
{M} Bit1
のネ イ テ ィ ブ ク ロ ッ ク に同期 し てい る か非同期な
のかを示 し ます。
0 = MI ス ロ ッ ト の ク ロ ッ ク は同期
1 = MI ス ロ ッ ト の ク ロ ッ ク は非同期
C_M_AXI_SUPPORTS_WRITE (S)
{M}0b1
{M} Bit1
各 MI ス ロ ッ ト が書 き 込み関連チ ャ ネルを使用す る
か ど う かを示 し ます。
0 = 読み出 し 専用
1 = AW チ ャ ネル、 W チ ャ ネル、 お よ び B チ ャ ネ
ルを使用
C_M_AXI_SUPPORTS_READ (S)
{M}0b1
{M} Bit1
各 MI ス ロ ッ ト が読み出 し 関連チ ャ ネルを使用す る
か ど う かを示 し ます。
0 = 書 き 込み専用
1 = AR チ ャ ネル と R チ ャ ネルを使用
C_M_AXI_WRITE_ISSUING (I,U)
{M}0x00000001
{M} Bit32
AXI4 の MI ス ロ ッ ト が生成で き る デー タ ア ク テ ィ
(2**0 – 2**5) ブな書 き 込み ト ラ ンザ ク シ ョ ンの数。
メモ :
I = 接続 さ れたすべてのマ ス タ ー デバ イ ス で有効な固有のパ ラ メ ー タ ー。
S = 値は接続 さ れた ス レーブ デバ イ ス上に存在す る パ ラ メ ー タ ーか ら コ ピー。
U = ユーザー指定。
T = ツールで生成 (EDK が情報を生成 し 、 値を設定)。
C = 定数。
N = コ アの HDL では使用 し ない。
O = ユーザーのオーバー ラ イ ド に よ り 、 ツールで生成 (EDK が情報を生成 し 、 値を設定。 ユーザーはオーバー ラ イ ド 可能)。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
34
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
表 8: マス タ ー イ ン タ ー フ ェ イ ス関連パラ メ ー タ ー (続き )
パ ラ メ ー タ ー名
C_M_AXI_READ_ISSUING (I,U)
デ フ ォル ト 値
{M}0x00000001
表示形式/
範囲
内容
{M} Bit32
AXI4 の MI ス ロ ッ ト が生成で き る ア ク テ ィ ブな読
(2**0 – 2**5) み出 し ト ラ ンザ ク シ ョ ンの数。
各 MI ス ロ ッ ト がセキ ュ ア な ス レーブ デバ イ ス
(TrustZone のセキ ュ ア ア ク セ ス を許可 ) に接続 さ
C_M_AXI_SECURE (I,U)
{M}0b0
{M} Bit1
れてい る か ど う かを示 し ます。
0 = セキ ュ アでない ス レーブ デバ イ ス
1 = セキ ュ ア な ス レーブ デバ イ ス
C_M_AXI_SUPPORTS_NARROW_BURST (S, N)
C_M_AXI_WRITE_FIFO_DEPTH (I,U)
C_M_AXI_READ_FIFO_DEPTH (I,U)
C_M_AXI_AW_REGISTER (I,U)
{M}0b1
{M} Bit1
接続 さ れてい る ス レーブ デバ イ ス が、 転送サ イ ズ
がデー タ 幅 よ り も 小 さ いバース ト をサポー ト す る
よ う コ ン フ ィ ギ ュ レーシ ョ ン さ れてい る か ど う か
を示 し ます。
0 = 接続 さ れてい る ス レーブ デバ イ ス が、MI ス ロ ッ
ト のデー タ 幅 と SIZE と が異な る バース ト を許容 し
ない ( 単一ビー ト の転送には該当し ない )。
1 = 接続 さ れてい る ス レーブ デバ イ ス が幅の狭い
バース ト をサポー ト す る 。
{M}0x00000000
各 MI ス ロ ッ ト の MI 側書 き 込みデー タ FIFO
{M} Bit32
(W チ ャ ネル ルーテ ィ ン グの後 ) の深 さ
(0x00000000、
0x0 = FIFO な し
0x00000020、
0x00000200) 0x20 = 深 さ 32 の LUT RAM ベース FIFO
0x200 = 深 さ 512 の ブ ロ ッ ク RAM ベース FIFO
{M} 0x
00000000
各 MI ス ロ ッ ト の MI 側読み出 し デー タ FIFO
{M} Bit32
(R チ ャ ネル アービ ト レーシ ョ ンの前 ) の深 さ
(0x00000000、
0x0 = FIFO な し
0x00000020、
0x00000200) 0x20 = 深 さ 32 の LUT RAM ベース FIFO
0x200 = 深 さ 512 の ブ ロ ッ ク RAM ベース FIFO
{M}0x00000000
{M} Bit32
各 MI ス ロ ッ ト イ ン タ ーフ ェ イ ス の AW チ ャ ネル
に レ ジ ス タ ス ラ イ ス を挿入 し ます。
0 = バ イ パ ス、 1 = 完全レ ジ ス タ 化
7 = 軽量化、 8 = 自動
(I,U)
{M}0x00000000
{M} Bit32
各 MI ス ロ ッ ト イ ン タ ーフ ェ イ ス の AR チ ャ ネル
に レ ジ ス タ ス ラ イ ス を挿入 し ます。
0 = バ イ パ ス、 1 = 完全レ ジ ス タ 化
7 = 軽量化、 8 = 自動
C_M_AXI_W_REGISTER (I,U))
{M}0x00000000
{M} Bit32
各 MI ス ロ ッ ト イ ン タ ーフ ェ イ ス の W チ ャ ネルに
レ ジ ス タ ス ラ イ ス を挿入 し ます。
0 = バ イ パ ス、 1 = 完全レ ジ ス タ 化
7 = 軽量化、 8 = 自動
C_M_AXI_R_REGISTER (I,U)
{M}0x00000000
{M} Bit32
各 MI ス ロ ッ ト イ ン タ ーフ ェ イ ス の R チ ャ ネルに
レ ジ ス タ ス ラ イ ス を挿入 し ます。
0 = バ イ パ ス、 1 = 完全レ ジ ス タ 化
7 = 軽量化、 8 = 自動
{M} Bit32
各 MI ス ロ ッ ト イ ン タ ーフ ェ イ ス の B チ ャ ネルに
レ ジ ス タ ス ラ イ ス を挿入 し ます。
0 = バ イ パ ス、 1 = 完全レ ジ ス タ 化
7 = 軽量化、 8 = 自動
C_M_AXI_AR_REGISTER
C_M_AXI_B_REGISTER
(I,U)
{M}0x00000000
メモ :
I = 接続 さ れたすべてのマ ス タ ー デバ イ ス で有効な固有のパ ラ メ ー タ ー。
S = 値は接続 さ れた ス レーブ デバ イ ス上に存在す る パ ラ メ ー タ ーか ら コ ピー。
U = ユーザー指定。
T = ツールで生成 (EDK が情報を生成 し 、 値を設定)。
C = 定数。
N = コ アの HDL では使用 し ない。
O = ユーザーのオーバー ラ イ ド に よ り 、 ツールで生成 (EDK が情報を生成 し 、 値を設定。 ユーザーはオーバー ラ イ ド 可能)。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
35
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
AXI イ ン タ ー コ ネ ク ト パラ メ ー タ ーの使用方法
以降に示す表で、 さ ま ざ ま なデザ イ ン パ ラ メ ー タ ーの よ り 詳細な定義 と 使用方法 (値、 効果、 ほかのパ ラ メ ー タ ー と の相関)
について説明 し ます。 パ ラ メ ー タ ーの説明では、 AXI イ ン タ ー コ ネ ク ト コ アにあ る パ ラ メ ー タ ーが、 接続 さ れたマ ス タ ーお
よ びス レーブ デバ イ ス のパ ラ メ ー タ ーか ら コ ピーま たは導出 さ れ る 状況について も 示 し ます。
イ ン ターフ ェ イ ス プロ ト コル
接続マス タ ー
イ ン ターコネク ト
C_S_AXI_PROTOCOL
C_M_AXI_PROTOCOL
接続ス レーブ
C_busif_PROTOCOL
C_busif_PROTOCOL
*_PROTOCOL パ ラ メ ー タ ーは、 AMBA AXI 仕様の イ ン タ ーフ ェ イ ス サブプ ロ ト コ ル (AXI4、 AXI3、 ま たは AXI4-Lite) を
指定 し ます。 一般に、 パ ラ メ ー タ ーは接続 さ れたマ ス タ ーお よ びス レーブ IP の MPD に定数 と し て指定 さ れます。 ただ し 、
一部の IP では、 プ ロ ト コ ルが設定可能であ り (通常、 AXI4 ま たは AXI4-Lite)、 ユーザーが選択で き ます。
AXI イ ン タ ー コ ネ ク ト コ アは Protocol パ ラ メ ー タ ーを次の目的で使用 し ます。
•
オプシ ョ ンのプ ロ ト コ ル変換モジ ュ ールを挿入す る
•
エ ラ ー検出 ロ ジ ッ ク を適用す る
•
AXI4-Lite の場合、 使用 し ない AXI4 イ ン タ ーフ ェ イ ス機能に関連する ロ ジ ッ ク リ ソ ース を節約する
ツールは、 こ れ ら の値を接続 さ れたマ ス タ ーお よ びス レーブか ら AXI イ ン タ ー コ ネ ク ト コ アに コ ピー し ます。
デー タ 幅
イン ターコネク ト
C_S_AXI_DATA_WIDTH
C_M_AXI_DATA_WIDTH
C_INTERCONNECT_DATA_WIDTH
接続マス タ ー
接続ス レーブ
C_busif_DATA_WIDTH
C_busif_DATA_WIDTH
C_S_AXI_DATA_WIDTH パ ラ メ ー タ ーは接続 さ れたマ ス タ ー デバ イ ス の、 C_M_AXI_DATA_WIDTH パ ラ メ ー タ ーは接続 さ
れた ス レーブ デバ イ ス の WDATA 信号 と RDATA 信号の幅を示 し ます。 ツールは、 こ れ ら の値を接続 さ れたマ ス タ ーお よ び
ス レーブか ら AXI イ ン タ ー コ ネ ク ト コ アに コ ピー し ます。
C_INTERCONNECT_DATA_WIDTH パ ラ メ ー タ ーは、 内部 ク ロ ス バーのネ イ テ ィ ブ デー タ 幅を指定 し ます。 デフ ォ ル ト の場
合、 ツールは接続 さ れてい る SI ま たは MI ス ロ ッ ト で最 も 広い幅に一致する よ う に こ の値を設定 し ます。 ただ し 、 ユーザー
はサポー ト さ れてい る 範囲内であれば任意の値で (接続 さ れてい る デバ イ ス の幅に関係な く ) こ れ よ り 優先 さ せ る こ と がで き
ます。
動作は次の と お り です。
•
SI ス ロ ッ ト の C_S_AXI_DATA_WIDTH の値が C_INTERCONNECT_DATA_WIDTH よ り も 小 さ い場合、 AXI イ ン タ ー コ
ネ ク ト コ アの SI 域の SI ス ロ ッ ト か ら の経路 (SI と ク ロ ス バーの間) にア ッ プサ イ ザー モジ ュ ールが挿入 さ れます。
•
C_S_AXI_DATA_WIDTH の値が C_INTERCONNECT_DATA_WIDTH よ り も 大き い場合、ダ ウ ンサ イ ザー モジ ュ ールが SI
域に挿入 さ れます。
•
C_M_AXI_DATA_WIDTH の値が C_INTERCONNECT_DATA_WIDTH と 異な る 場合、 適切な幅コ ンバー タ ーが MI 域 ( ク
ロ ス バー と MI の間) に挿入 さ れます。
デー タ 幅 コ ンバー タ ーに よ り 、 異な る 幅のデー タ を接続す る ために必要なデー タ の圧縮 と シ リ アル化が行われ、 こ れに よ り
SI、 ク ロ スバー、 MI の間の経路を流れ る デー タ 幅が影響を受け ます。
C_INTERCONNECT_DATA_WIDTH に十分大 き な値を選択す る こ と で、 デー タ の帯域幅損失を防止で き ます。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
36
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
た と えば、 メ モ リ コ ン ト ロ ー ラ ーの よ う に ス ピー ド が重要な ス レーブの場合、 ス レーブにア ク セ スす る すべてのマ ス タ ーの
デー タ 幅が ス レーブ よ り も 狭 く て も C_INTERCONNECT_DATA_WIDTH には、 こ の ス レーブの幅に合わせた値を選択で き ま
す。 こ の よ う に設定す る こ と で、 AXI イ ン タ ー コ ネ ク ト コ アはデー タ の圧縮 (書 き 込み ト ラ ンザ ク シ ョ ンの場合) ま たはデー
タ のシ リ アル化 (読み出 し ト ラ ンザ ク シ ョ ンの場合) を SI 域の複数の SI ス ロ ッ ト 経路で同時に実行で き ます。 その間、 マ ス
タ ー よ り 幅の広い ス レーブ デバ イ スお よ び ク ロ スバーは、 デー タ スループ ッ ト レー ト がマ ス タ ー デバ イ ス 1 つで保て る 値を
超え る よ う に周期的に維持 し ます。
一方、 C_INTERCONNECT_DATA_WIDTH に低い値を選択す る と 、 ス ピー ド を それほ ど重視 し ないデザ イ ンにおいて ロ ジ ッ
ク リ ソ ース の使用率を削減で き ます。 リ ソ ース の使用率を最小限に抑え る には、 幅 コ ンバー タ ー ( ア ッ プサ イ ザーお よ びダ ウ
ンサ イ ザー ) の総数が最小にな る よ う に C_INTERCONNECT_DATA_WIDTH を設定 し ます。
ク ロ ッ ク 周波数
イ ン ターコネク ト
C_S_AXI_ACLK_RATIO
接続マス タ ー
C_M_AXI_ACLK_RATIO
C_S_AXI_IS_ACLK_ASYNC
C_M_AXI_IS_ACLK_ASYNC
C_INTERCONNECT_ACLK_RATIO
接続ス レーブ
C_busif_ACLK_RATIO
(C_busif_ACLK_FREQ_HZ も )
C_busif_ACLK_RATIO
(C_busif_ACLK_FREQ_HZ も )
C_busif_IS_ACLK_ASYNC
C_busif_IS_ACLK_ASYNC
XPS ツールは、 各バ ス イ ン タ ーフ ェ イ ス に関連付け ら れた ク ロ ッ ク ポー ト (ACLK) に対応する CLK_FREQ_HZ プ ロ パテ ィ
(パ ラ メ ー タ ー C_busif_ACLK_FREQ_HZ で表 さ れ る 場合があ り ます) を使用 し て、 エンベデ ッ ド シ ス テ ム内の各種 ク ロ ッ ク 信
号を ト ラ ッ ク し ます。
AXI イ ン タ ー コ ネ ク ト コ アには、 ク ロ スバー と その他の内部モジ ュ ールを同期 さ せ る グ ロ ーバル ク ロ ッ ク ポー ト 、
INTERCONNECT_ACLK も あ り ます。 ツールは、 接続 さ れたすべてのマ ス タ ー と ス レーブの CLK_FREQ_HZ の値を調査 し て
INTERCONNECT_ACLK ポー ト の周波数 と 比較 し 、 SI ス ロ ッ ト 、 MI ス ロ ッ ト のそれぞれ と ク ロ スバー と の間の ク ロ ッ ク 周波
数の関係を判断 し ます。
ク ロ ッ ク 周波数の関係は、 ツールに よ っ て次の よ う に判断 さ れます。
•
1:16 ~ 16:1 の範囲の整数比 (速い ま たは遅い) であれば、 ツールは対応する IS_ACLK_ASYNC パ ラ メ ーターをゼ ロ (同期)
に設定し ます。 それ以外の場合は、 ス ロ ッ ト は非同期 と し て タ グ付け さ れます。
•
ツールは C_INTERCONNECT_ACLK_RATIO パ ラ メ ー タ ーに、 INTERCONNECT_ACLK ポー ト の CLK_FREQ_HZ プ ロ パ
テ ィ の値 を 割 り 当 て ま す。 ま た、 C_S_AXI_ACLK_RATIO と C_M_AXI_ACLK_RATIO には、 それぞれ SI ACLK と MI
ACLK ポー ト の CLK_FREQ_HZ の値を割 り 当て ます。 コ ア内では、 対応す る C_S/M_AXI_IS_ACLK_ASYNC = 0 であ る
場合にのみ、 こ れ ら のパ ラ メ ー タ ー間の比率のみ意味を持ち ます。
•
SI ス ロ ッ ト が非同期 (C_S_AXI_IS_ACLK_ASYNC = 1) の場合、 あ る いは ク ロ ッ ク 倍率が INTERCONNECT_ACLK の
も の と 異な る 場合 (C_S_AXI_ACLK_RATIO != C_INTERCONNECT_ACLK_RATIO の場合)、AXI イ ン タ ー コ ネ ク ト コ
アの SI 域 (SI ス ロ ッ ト と ク ロ スバーの間の経路上) に ク ロ ッ ク 変換モジ ュ ールが挿入 さ れます。
•
MI ス ロ ッ ト が非同期であ る か、 ク ロ ッ ク 倍率が AXI イ ン タ ー コ ネ ク ト コ ア と 異な る 場合は、 ク ロ ッ ク 変換モジ ュ ールが
MI 域 ( ク ロ スバー と MI の間) に挿入 さ れます。
•
C_S/M_AXI_IS_ACLK_ASYNC = 0 の場合、 倍率の違いを解消す る ために同期 ク ロ ッ ク 変換モジ ュ ールが使用 さ れます。
こ れに よ っ て レ イ テ ン シ と リ ソ ース が最小化 さ れ る と 共に、 ク ロ ッ ク ド メ イ ン境界を ま た ぐ経路の タ イ ミ ン グ制約を適切
に保つ こ と がで き ます。
•
C_S/M_AXI_IS_ACLK_ASYNC = 1 の場合、 非同期 FIFO に基づ く ク ロ ッ ク 変換モジ ュ ールが使用 さ れ、 各 ク ロ ッ ク ド
メ イ ンの信号間の タ イ ミ ン グ関係がな く な り ます。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
37
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
ク ロ ッ ク 変換モジ ュ ールに よ り 、 必ず追加の レ イ テ ン シがかか り ます。 マ ス タ ー と ス レーブの間の経路を通 る 際に SI 域 と MI
域の両方で ク ロ ッ ク 変換モジ ュ ールを通過す る のは リ ソ ース の無駄遣いです。 可能な限 り ク ロ ッ ク 周波数を選択 し て、 SI 域
と MI 域の両方で ク ロ ッ ク 変換モジ ュ ールを通過 し ない よ う に し く だ さ い。
シ ス テ ム内の ク ロ ッ ク 変換モジ ュ ール数を減 ら すために、 AXI イ ン タ ー コ ネ ク ト イ ン ス タ ン ス を階層状にカ ス ケー ド 接続 し
て、 同程度の ク ロ ッ ク 速度のデバ イ ス を グループ化す る と 効果があ り ます。 た と えば、 周波数の低い AXI4-Lite ス レーブの
グループ を、 同 じ 低周波数の ク ロ ッ ク が供給 さ れてい る 別の AXI イ ン タ ーコ ネ ク ト コ アに接続する こ と で、 ク ロ ッ ク ド メ イ
ンが ま たが る 箇所を階層状に接続 さ れてい る AXI イ ン タ ーコ ネ ク ト コ ア間の経路にあ る 1 つの コ ンバー タ ーに統合で き ます。
メ モ リ コ ン ト ロ ー ラ ーな ど、 ス ピー ド が重要なデバ イ ス を AXI イ ン タ ーコ ネ ク ト コ アに接続する 場合、 ス ピー ド が要求 さ れ
る ス レーブ と 同 じ ク ロ ッ ク ソ ース で INTERCONNECT_ACLK ポー ト に ク ロ ッ ク 供給を行 う こ と に よ っ て、 ほ と ん ど の場合最
良のデー タ スループ ッ ト が得 ら れます。
ア ド レ ス範囲
イ ン ターコネク ト
接続マス タ ー
接続ス レーブ
C_M_AXI_BASE_ADDR
C_busif_BASEADDR ま たは
C_busif_RNGnn_BASEADDR
C_M_AXI_HIGH_ADDR
C_busif_HIGHADDR ま たは
C_busif_RNGnn_HIGHADDR
C_busif_ADDR_WIDTH
C_AXI_ADDR_WIDTH
C_busif_ADDR_WIDTH
未使用のア ド レ ス範囲のすべてで、 C_M_AXI_BASE_ADDR の対応す る 値 (C_AXI_ADDR_WIDTH で指定 さ れてい る 数ま たは
それ以上の下位ビ ッ ト ) をすべて 1、 C_M_AXI_HIGH_ADDR の対応する 値をすべて 0 に設定 し ます。
使用す る ア ド レ ス範囲については次の よ う に設定 し ます。
•
範囲のサ イ ズ (HIGH_ADDR – BASE_ADDR + 1) は 4k 以上でなければな り ません。
•
範囲のサ イ ズは 2 のべ き 乗でなければな り ません。
•
BASE_ADDR は範囲のサ イ ズの倍数 ( ア ラ イ ン さ れた状態) でなければな り ません。
•
ア ド レ ス デ コ ー ド テーブル全体 (全 MI ス ロ ッ ト ) でア ド レ ス範囲の重複があ っ てはな り ません。
ア ド レ ス範囲に関す る すべての制約は、 AXI イ ン タ ー コ ネ ク ト コ アの コ ン フ ィ ギ ュ レーシ ョ ンに使用 さ れ る ツールで強制的
に適用 さ れ、 コ ア自体のエ ラ ー チ ェ ッ ク では強制適用 さ れません。
MI ス ロ ッ ト が階層的に 「ダ ウ ン ス ト リ ーム」 の別の AXI イ ン タ ー コ ネ ク ト コ アに接続 さ れてい る 場合、 ア ッ プ ス ト リ ーム
の AXI イ ン タ ー コ ネ ク ト のア ド レ ス デ コ ーダーはその MI ス ロ ッ ト に複数のア ド レ ス範囲を使用 し て、 ダ ウ ン ス ト リ ームの
AXI イ ン タ ー コ ネ ク ト か ら ア ク セ ス で き る すべてのダ ウ ン ス ト リ ーム ス レーブ デバ イ ス のア ド レ ス範囲の集合 と し て表現 し
ます。
重要なのは、 異な る エン ド ポ イ ン ト の ス レーブ デバ イ ス を表すには別々のア ド レ ス領域を使用す る こ と です。 ま た、 シ ス テ
ム ア ド レ ス マ ッ プで隣接ま たは近 く の領域にマ ッ ピ ン グ さ れていた と し て も 、 複数の ス レーブ デバ イ ス を同 じ ア ド レ ス デ
コ ーダー領域に結合 し ない こ と です。 こ れに よ り 、 サ イ ク ル依存性回避方式 (CDAM) を使用 し て、 各マ ス タ ー デバ イ ス が発
行 し た ID ス レ ッ ド のそれぞれについて、一度に 1 つのエン ド ポ イ ン ト ス レーブ デバ イ ス に対 し てのみ Outstanding 書 き 込み
/読み出 し ト ラ ンザ ク シ ョ ン を持つ こ と がで き る と い う ポ リ シーを適用で き ます。 階層状に接続 さ れた MI ス ロ ッ ト に複数の
ア ド レ ス範囲を定義す る 方法については、 21 ページの 「複数ア ド レ ス範囲のサポー ト 」 と 20 ページの図 10 の例を参照 し て
く だ さ い。
エンベデ ッ ド ハー ド ウ ェ ア シ ス テ ムでは 32 ビ ッ ト ア ド レ ス のみをサポー ト し てい る ため、C_AXI_ADDR_WIDTH の値は 32
(定数) です。
メ モ : AXI イ ン タ ー コ ネ ク ト コ アはア ド レ ス マ ッ プの再割 り 当て をサポー ト し てい ません。 し たがっ て、 マルチポー ト の ス
レーブ ( マルチポー ト メ モ リ コ ン ト ロ ー ラ ーな ど ) を シ ス テ ムで使用す る (複数のバ ス イ ン タ ーフ ェ イ ス が同一の、 ま たは重
複 し た ア ド レ ス範囲を共有す る ) 場合、こ れ ら の複数バ ス イ ン タ ーフ ェ イ ス は AXI イ ン タ ー コ ネ ク ト の別々の イ ン ス タ ン ス に
接続す る 必要があ り ます。 ま た、 こ れ ら の AXI イ ン タ ー コ ネ ク ト イ ン ス タ ン ス を相互に カ ス ケー ド 接続 し てはな り ま せん。
ツールでは、 同 じ イ ン タ ー コ ネ ク ト 内で複数のア ド レ ス範囲は重複で き ない と い う 規則が強制的に適用 さ れます。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
38
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
ID 範囲
イン ターコネク ト
接続マス タ ー
C_S_AXI_BASE_ID
C_INTERCONNECT_busif_BASE_ID
C_S_AXI_THREAD_ID_WIDTH
C_busif_SUPPORTS_THREADS,
C_busif_THREAD_ID_WIDTH
C_AXI_ID_WIDTH
接続ス レーブ
C_busif_ID_WIDTH
ID 値に よ り 、 正 し い SI ス ロ ッ ト と 、 接続 さ れたマ ス タ ー デバ イ ス に応答が戻 さ れます。
深 さ が 1 よ り も 大 き い ト ラ ンザ ク シ ョ ン順序変更をサポー ト する マ ス タ ー デバ イ ス では、 AWID お よ び ARID 出力を異な る 値
で駆動す る こ と に よ り 、 ト ラ ンザ ク シ ョ ンの複数の 「ス レ ッ ド 」 を発行で き ます。
さ ま ざ ま な ID パ ラ メ ー タ ーは、 次の よ う に関連 し ます。
•
C_S_AXI_THREAD_ID_WIDTH ベ ク タ ー パ ラ メ ー タ ーは、各マ ス タ ー デバ イ ス が生成す る ID のビ ッ ト 数を指定 し ます。
順序変更の深 さ が 1 のマ ス タ ーは ID 信号を生成せず、対応する C_S_AXI_THREAD_ID_WIDTH の値を 0 に設定 し ます。
マ ス タ ー デバ イ ス が生成す る すべての ス レ ッ ド ID ビ ッ ト は、 イ ン タ ー コ ネ ク ト コ ア全体で使用 さ れ、 接続 さ れた ス レー
ブに伝搬 さ れ る 完全 ID 信号の下位ビ ッ ト と し て使用 さ れます。
•
C_AXI_ID_WIDTH グ ロ ーバル パ ラ メ ー タ ーは イ ン タ ー コ ネ ク ト 全体で使用 さ れ、すべての MI ス ロ ッ ト に よ っ て伝搬 さ
れ る 完全 ID 信号の幅を指定 し ます。 こ れはすべての SI ス ロ ッ ト を一意に区別す る のに十分な上位ビ ッ ト ( マ ス タ ー ID)
を含んでいなければな り ません。
•
C_S_AXI_BASE_ID パ ラ メ ー タ ーは、各 SI ス ロ ッ ト に接続 さ れたマ ス タ ー デバ イ ス に対応する ベース (最小) ID の値を
定義 し ます。
•
C_S_AXI_BASE_ID パ ラ メ ー タ ー値の う ち、 C_S_AXI_THREAD_ID_WIDTH で示 さ れ る 下位ビ ッ ト 位置の値はすべて
0 でなければな り ません。
•
C_S_AXI_BASE_ID の残 り の上位ビ ッ ト は 「マ ス タ ー ID」 と みな さ れ、 各 SI ス ロ ッ ト か ら 伝搬 さ れ る すべての ト ラ ン
ザ ク シ ョ ンで一定です。
•
イ ン ターコ ネ ク ト 全体で使用 さ れ、 すべての MI ス ロ ッ ト に よ っ て伝搬 さ れ る完全 ID 信号は、 接続 さ れたマ ス ターか ら 受
信 し た ス レ ッ ド ID ビ ッ ト (存在する場合) と 、 SI ス ロ ッ ト の C_S_AXI_BASE_ID の値を OR する こ と で生成 さ れます。
EDK ツールは AXI イ ン タ ー コ ネ ク ト の C_AXI_ID_WIDTH パ ラ メ ー タ ーに必要 と さ れ る 値を判断 し 、 各 SI ス ロ ッ ト で検出
し た C_S_AXI_THREAD_ID_WIDTH パ ラ メ ー タ ーの値に基づいてすべての SI ス ロ ッ ト に一意のマ ス タ ー ID 値を割 り 当て
ます。
AXI イ ン タ ー コ ネ ク ト コ アは C_S_AXI_BASE_ID パ ラ メ ー タ ー と C_S_AXI_THREAD_ID_WIDTH パ ラ メ ー タ ーを使用 し
て、 R チ ャ ネルお よ び B チ ャ ネル上の応答を適切な SI ス ロ ッ ト に戻すデ コ ー ド ロ ジ ッ ク を イ ンプ リ メ ン ト し ます。
SI ス ロ ッ ト が別の ( ア ッ プ ス ト リ ームの) AXI イ ン タ ー コ ネ ク ト コ アの MI ス ロ ッ ト に接続 さ れてい る 場合、 その ス ロ ッ ト の
ID 信号で受信で き る ID 値の範囲は、 ア ッ プ ス ト リ ーム AXI イ ン タ ーコ ネ ク ト コ アの全 SI ス ロ ッ ト (接続 さ れた MI ス ロ ッ
ト への接続経路を持つ も の) にあ る すべての ID 値を含みます。 ア ッ プ ス ト リ ーム AXI イ ン タ ーコ ネ ク ト コ アで生成 さ れた
ID 信号は、 接続 さ れたマ ス タ ー デバ イ ス の ス レ ッ ド ID ビ ッ ト と し て扱われます。
ほかのマ ス タ ー デバ イ ス と 同様に、 ダ ウ ン ス ト リ ームの AXI イ ン タ ーコ ネ ク ト は階層状の SI ス ロ ッ ト か ら サンプ リ ン グ さ
れた ID 信号の前に一意のマ ス タ ー ID を付加 し ます。 こ れに よ り 、 階層状の AXI イ ン タ ー コ ネ ク ト ト ポ ロ ジ を伝搬 さ れ る に
つれて ID 幅が大 き く な り ます。 マ ス タ ー ID が一致する すべての応答がア ッ プ ス ト リ ームの AXI イ ン タ ー コ ネ ク ト に戻 さ れ
ます。
ア ド レ ス範囲 と 同様に、 BASE_ID パ ラ メ ー タ ーの下位ビ ッ ト (THREAD_ID_WIDTH に よ っ て指定) がすべて 0 でなければな
ら ない こ と か ら 、 各 ID 範囲はア ラ イ ン さ れた 2 のべ き 乗で表 さ れ る サ イ ズにな り ます。
ID デ コ ー ド テーブル全体 (全 SI ス ロ ッ ト ) で、 ID 範囲は重複 し てはな り ません。 ア ド レ ス範囲 と は異な り 、 ID 範囲には最小
サ イ ズ と し て 1 が規定 さ れてい ます。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
39
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
AXI イ ン タ ー コ ネ ク ト に対す る エン ド ポ イ ン ト マ ス タ ー デバ イ ス の ス レ ッ ド ID 幅パ ラ メ ー タ ーは次の よ う に指定 し ます。
•
AXI イ ン タ ー コ ネ ク ト コ アの SI ス ロ ッ ト の C_S_AXI_THREAD_ID_WIDTH 値が 0 の場合、 対応す る ID 範囲のサ イ ズ
は単一の ID 値 と な り 、 接続 さ れたマ ス タ ー デバ イ ス か ら サンプ リ ン グ さ れ る ID 信号はあ り ません。
•
接続 さ れたマ ス タ ー デバ イ ス上では、対応す る C_busif_THREAD_ID_WIDTH パ ラ メ ー タ ーを使用 し て IP イ ン タ ーフ ェ イ
ス上の ID 信号の物理的な ビ ッ ト 幅を決定す る こ と が多いため、 こ の値を 1 よ り 小 さ い値に設定 し てはな り ません。
•
C_busif_SUPPORTS_THREADS パ ラ メ ー タ ーはエン ド ポ イ ン ト マ ス タ ー デバ イ ス で使用 さ れ、マ ス タ ーの ス レ ッ ド の有効
幅が 0 であ る こ と を示 し ます。
•
接続 さ れたマ ス タ ーで C_busif_SUPPORTS_THREADS = 0 の と き 、 AXI イ ン タ ー コ ネ ク ト コ ア上の対応する SI ス ロ ッ
ト の C_S_AXI_THREAD_ID_WIDTH を 0 に設定 し ます。
ト ラ ンザ ク シ ョ ンの受け入れ限度 と 発行限度
接続マス タ ー
イ ン ターコネク ト
C_S_AXI_WRITE_ACCEPTANCE
C_INTERCONNECT_busif_WRITE_ISSUING
C_S_AXI_READ_ACCEPTANCE
C_INTERCONNECT_busif_READ_ISSUING
接続ス レーブ
C_M_AXI_WRITE_ISSUING
C_INTERCONNECT_busif_WRITE
_ACCEPTANCE
C_M_AXI_READ_ISSUING
C_INTERCONNECT_busif_READ
_ACCEPTANCE
C_S_AXI_WRITE_ACCEPTANCE パ ラ メ ー タ ー と C_S_AXI_READ_ACCEPTANCE パ ラ メ ー タ ーは、 その時点で ク ロ スバー
が受け入れ る タ イ プ別の Outstanding ト ラ ンザ ク シ ョ ン数の上限を ID ス レ ッ ド ご と に設定 し ます。 各 SI ス ロ ッ ト で受け入れ
可能な ID 値 (C_S_AXI_THREAD_ID_WIDTH と C_S_AXI_SINGLE_THREAD の値に基づ く ) のそれぞれについて、 ク ロ ス
バーは別々の書 き 込みお よ び読み出 し ト ラ ンザ ク シ ョ ン カ ウ ン タ ーを保持 し ます。 ク ロ スバーが受け入れ る 、 ス レ ッ ド 全体
の ト ラ ンザ ク シ ョ ン数の合計には上限があ り ません。 ま た、 ACCEPTANCE 上限パ ラ メ ー タ ーでは、 ク ロ スバーに到着する
前の SI 域でア ド レ ス チ ャ ネルに イ ンプ リ メ ン ト さ れ る 、 レ ジ ス タ ス ラ イ スや ク ロ ッ ク 変換モジ ュ ールな ど のバ ッ フ ァ ー モ
ジ ュ ールで受け入れて保管す る ア ド レ ス転送の数を対象 と し てい ません。
C_M_AXI_WRITE_ISSUING パ ラ メ ー タ ー と C_M_AXI_READ_ISSUING パ ラ メ ー タ ーは、 その時点で ク ロ スバーが (ID 値
を指定 し て ) 発行す る タ イ プ別の Outstanding ト ラ ンザ ク シ ョ ン総数の上限を設定 し ます。 ま た、 ISSUING 上限パ ラ メ ー タ ー
では、 ク ロ ス バーが発行 し た後に MI 域でア ド レ ス チ ャ ネルに イ ンプ リ メ ン ト さ れ る 、 レ ジ ス タ ス ラ イ スや ク ロ ッ ク 変換モ
ジ ュ ールな ど のバ ッ フ ァ ー モジ ュ ールで受け入れて保管す る ア ド レ ス転送の数を対象 と し てい ません。
受け入れカ ウ ン タ ー と 発行カ ウ ン タ ーのカ ウ ン ト 条件は次の と お り です。
•
書 き 込み ト ラ ンザ ク シ ョ ンは、 ク ロ ス バーで BVALID/BREADY のハン ド シ ェ イ ク が完了 し た と き に完了 し た と みな さ れ
ます ( カ ウ ン タ ー値は 1 減少)。
•
読み出 し ト ラ ンザ ク シ ョ ンは、ク ロ ス バーで RLAST を アサー ト する RVALID/RREADY ハン ド シ ェ イ ク が完了 し た と き に
完了 し た と みな さ れます。
書き 込み/読み出 し ト ラ ンザ ク シ ョ ンが、 受け入れ限度に達 し た SI ス ロ ッ ト ま たは発行限度に達 し た MI ス ロ ッ ト に対 し て送
信 さ れてい る 場合にはアービ ト レーシ ョ ンの対象か ら 外 さ れ、 書 き 込み/読み出 し アービ タ ーが停止せずにその他の適格な SI
ス ロ ッ ト へのアービ ト レーシ ョ ン を継続で き る よ う に し ます。
ACCEPTANCE ま たは ISSUING パ ラ メ ー タ ーの値を大き く する と 、 接続 さ れた ス レーブ デバ イ ス で書 き 込み/読み出 し コ マ
ン ド のパ イ プ ラ イ ン処理が可能 と な る ため、 デー タ スループ ッ ト が向上 し 、 書 き 込み/読み出 し デー タ チ ャ ネルでのア イ ド ル
サ イ ク ルを回避で き ます。 ただ し 、 パ ラ メ ー タ ー値を大き く し すぎ る と 、 複数のマ ス タ ーが共有ス レーブにア ク セ スす る 際に
HOL (Head-of-Line) ブ ロ ッ キ ン グが発生 し ます。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
40
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
ツールで、 接続 さ れたマ ス タ ーお よ びス レーブ デバ イ ス か ら AXI イ ン タ ー コ ネ ク ト コ アへパ ラ メ ー タ ー値を コ ピーす る 際に
は、 次の こ と が起 こ り ます。
•
接続 さ れたマ ス タ ー デバ イ ス の ISSUING パ ラ メ ー タ ーは、 AXI イ ン タ ーコ ネ ク ト コ ア上の ACCEPTANCE パ ラ メ ー
タ ーにマ ッ ピ ン グ さ れます。
•
接続 さ れた ス レーブ デバ イ ス の ACCEPTANCE パ ラ メ ー タ ーは、 AXI イ ン タ ー コ ネ ク ト コ ア上の ISSUING パ ラ メ ー
タ ーにマ ッ ピ ン グ さ れます。
メ モ : AXI4-Lite の SI ス ロ ッ ト と MI ス ロ ッ ト では、 それぞれ ACCEPTANCE パ ラ メ ー タ ー と ISSUING パ ラ メ ー タ ーが無
視 さ れ、 一度に 1 つの Outstanding ト ラ ンザ ク シ ョ ンのみが許可 さ れます。
アービ ト レーシ ョ ンの優先順位
イ ン ターコネク ト
C_S_AXI_ARB_PRIORITY
接続マス タ ー
接続ス レーブ
C_INTERCONNECT_busif_ARB_PRIORITY
原則 と し て、 対応す る SI ス ロ ッ ト の相対優先順位に基づ く アービ ト レーシ ョ ンに よ り 使用権が与え ら れます。
C_S_AXI_ARB_PRIORITY パ ラ メ ー タ ーには、 0 か ら 15 ま での優先順位の値を静的に設定で き ます。 値が大 き いほ ど、 優
先順位が高 く な り ます。 優先順位が同 じ 場合は、 次の よ う に処理 さ れます。
•
要求送出中の適格な SI ス ロ ッ ト すべての優先順位が レベル 0 の場合、 アービ ト レーシ ョ ンは ラ ウ ン ド ロ ビ ンにな り ます。
•
要求送出中の SI ス ロ ッ ト の う ち、 最 も 高い優先順位の値が 0 よ り も 大 き い場合、 優先順位が同 じ ス ロ ッ ト の間では、 ス
ロ ッ ト 番号が小 さ い も のが優先 さ れます。
書き 込み/読み出 し ト ラ ンザ ク シ ョ ンが、 受け入れ限度に達 し た SI ス ロ ッ ト ま たは発行限度に達 し た MI ス ロ ッ ト に対 し て送
信 さ れてい る 場合には一時的にアービ ト レーシ ョ ンの対象か ら 外 さ れ、 書 き 込み/読み出 し アービ タ ーが停止せず、 その他の
適格な SI ス ロ ッ ト へのアービ ト レーシ ョ ン を継続で き る よ う に し ます (40 ページの 「 ト ラ ンザ ク シ ョ ンの受け入れ限度 と 発
行限度」 を参照)。
ま た、 SI ス ロ ッ ト か ら ス レーブへのア ク セ ス でデ ッ ド ロ ッ ク が発生する おそれがあ る 場合、 その SI は一時的にアービ ト レー
シ ョ ンか ら 外 さ れます (21 ページの 「サ イ ク ル依存性の回避」 を参照)。
ク ロ スバーの接続
イン ターコネク ト
接続マス タ ー
C_AXI_CONNECTIVITY
接続ス レーブ
C_INTERCONNECT_busif_MASTERS
C_INTERCONNECT_CONNECTIVITY_MODE
C_S_AXI_SINGLE_THREAD
C_INTERCONNECT_busif_
SINGLE_THREAD
C_S_AXI_IS_INTERCONNECT
AXI イ ン タ ー コ ネ ク ト コ アは、 C_INTERCONNECT_CONNECTIVITY_MODE パ ラ メ ー タ ーに よ っ て選択 さ れ る 2 つの コ ネ ク
テ ィ ビ テ ィ アーキテ ク チ ャ をサポー ト し てい ます。
共有ア ク セ ス モー ド に設定 し た場合 (C_INTERCONNECT_CONNECTIVITY_MODE = 0)、 AXI イ ン タ ー コ ネ ク ト コ アは一度
に 1 つだけ Outstanding ト ラ ンザ ク シ ョ ン を提供 し ます。 共有ア ク セ ス モー ド を使用す る と 、 同時デー タ 転送のパフ ォーマ
ン ス が不要な アプ リ ケーシ ョ ンで、 イ ン タ ー コ ネ ク ト の ク ロ スバー モジ ュ ールを イ ンプ リ メ ン ト す る ために必要な リ ソ ース
が最小限に抑え ら れます。
ク ロ スバー モー ド に設定し た場合 (C_INTERCONNECT_CONNECTIVITY_MODE = 1)、 AXI イ ン タ ーコ ネ ク ト コ アは 「スパー
ス ク ロ スバー」 コ ネ ク テ ィ ビ テ ィ をサポー ト し ます。 こ れに よ り 、 どのマ ス ターがどの ス レーブにア ク セ スすべき かをユー
ザーが指定で き ます。 未使用のマ ス タ ー / ス レーブ間の経路を無効にする こ と で、 データ パス多重化ロ ジ ッ ク と ア ド レ ス デ
コー ド ロ ジ ッ ク を削減で き、 使用する FPGA リ ソ ース を減 ら し て タ イ ミ ン グ パス を高速化で き ます。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
41
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
ク ロ ス バー モー ド に設定 し た場合、 残 り の コ ネ ク テ ィ ビ テ ィ パ ラ メ ー タ ーは次の よ う に設定 さ れます。
•
スパース コ ネ ク テ ィ ビ テ ィ の情報は、 AXI イ ン ターコ ネ ク ト コ アの C_AXI_CONNECTIVITY パ ラ メ ーターで表 さ れます。
•
C_AXI_CONNECTIVITY の値は、 接続 さ れたすべての ス レーブ デバ イ ス の C_INTERCONNECT_busif_MASTERS パ ラ
メ ー タ ーの累計か ら 導 き 出 さ れます。
•
各ス レーブ デバ イ ス の C_INTERCONNECT_busif_MASTERS パ ラ メ ー タ ーは、 その ス レーブにア ク セ スす る 必要のあ る す
べてのマ ス タ ー デバ イ ス を、 各マ ス タ ーの イ ン ス タ ン ス名 と バ ス イ ン タ ーフ ェ イ ス名に従っ て列挙 し ます。
XPS GUI を使用 し てシ ス テ ムのバ ス接続を確立す る 場合、 MHS (Microprocessor Hardware System) デザ イ ン フ ァ イ ルに
C_INTERCONNECT_busif_MASTERS パ ラ メ ー タ ーが自動的に挿入 さ れます。
ま た、 C_S_AXI_IS_INTERCONNECT パ ラ メ ー タ ー も ク ロ スバー接続に影響を与え ます。 こ のパ ラ メ ー タ ーは、 別の AXI
イ ン タ ー コ ネ ク ト に駆動 さ れてい る SI ス ロ ッ ト があ る か ど う か を示 し ます。
axi2axi_connector コ ア を使用 し て ア ッ プ ス ト リ ームの AXI イ ン タ ー コ ネ ク ト の MI ス ロ ッ ト を ダ ウ ン ス ト リ ームの
AXI イ ン タ ー コ ネ ク ト の SI ス ロ ッ ト に渡す こ と に よ っ て、 2 つの AXI イ ン タ ー コ ネ ク ト コ ア を カ ス ケー ド 接続で き ます。
ツールが SI ス ロ ッ ト 上に axi2axi_connector を検出 し た場合、 一定の条件で C_S_AXI_IS_INTERCONNECT パ ラ メ ー
タ ーの値が自動的に設定 さ れます。 ユーザーの操作は必要あ り ません。 こ のパ ラ メ ー タ ーは、 ア ッ プ ス ト リ ームの AXI イ ン
タ ー コ ネ ク ト で実行 さ れ る ト ラ ンザ ク シ ョ ン ス レ ッ ド 制御 ロ ジ ッ ク の重複を可能な限 り 回避す る ために、 ダ ウ ン ス ト リ ーム
の AXI イ ン タ ー コ ネ ク ト で使用 さ れます。
C_S_AXI_SINGLE_THREAD パ ラ メ ー タ ーに よ っ て、 一度に許可す る 1 つ以上の Outstanding ト ラ ンザ ク シ ョ ン を 1 つの ス
レ ッ ド ID だけか ら にす る こ と で、 ス レ ッ ド 制御 ロ ジ ッ ク が SI ス ロ ッ ト ご と の簡潔な も のにな り ます。 こ の方法に よ り 、 特
に SI ス ロ ッ ト がマ ス タ ー デバ イ ス ま たはア ッ プ ス ト リ ームの イ ン タ ー コ ネ ク ト に接続 さ れ、 非常に広い ID 信号が生成 さ れ
る 場合に、 リ ソ ース節約の効果が得 ら れ る こ と があ り ます。
各種 コ ネ ク テ ィ ビ テ ィ パ ラ メ ー タ ーの相互関係を表 9 に示 し ます。
表 9: コ ネ ク テ ィ ビ テ ィ パラ メ ー タ ー間の関係
C_INTERCONNECT_
C_S_AXI_
C_S_AXI_IS_
CONNECTIVITY_MODE SINGLE_THREAD INTERCONNECT
説明
共有ア ク セ ス アーキ テ ク チ ャ
0
x
x
1
1
x
複数の ト ラ ンザ ク シ ョ ン を受け付け ますが、 一度に 1
つの ス レ ッ ド ID 値に限 ら れます。
0
一度に複数の ID ス レ ッ ド の ト ラ ンザ ク シ ョ ン を受け
付け ますが、宛先ス レーブは 1 ス レ ッ ド あ た り 1 つに
限 ら れます。
イ ン タ ー コ ネ ク ト は一度に 1 つの ト ラ ン ザ ク シ ョ ン
を受け付け ます。
SI ス ロ ッ ト が単一ス レ ッ ド です。
SI ス ロ ッ ト が複数ス レ ッ ド です。
1
1
0
0
1
SI ス ロ ッ ト が複数ス レ ッ ド です。
一度に複数の ID ス レ ッ ド の ト ラ ンザ ク シ ョ ン を受け
付け、 ア ッ プ ス ト リ ームの イ ン タ ーコ ネ ク ト が宛先ス
レーブ を 1 ス レ ッ ド あ た り 1 つだけ受け付け る も の
と 想定 し ます。
メ モ : XPS ツールは、 マ ス タ ーお よ びス レーブの イ ン ス タ ン ス と そのバ ス イ ン タ ーフ ェ イ ス が MHS フ ァ イ ルに現れ る 順番
に従っ て、 接続 さ れたマ ス タ ーに連続す る SI ス ロ ッ ト 番号を、 接続 さ れた ス レーブに連続す る MI ス ロ ッ ト 番号を自動的に割
り 当て ます。 マ ス タ ー / ス レーブの イ ン ス タ ン スやそのバ ス イ ン タ ーフ ェ イ ス接続が追加、 削除、 置換 さ れた場合に、 ス ロ ッ
ト 番号の割 り 当て をユーザーが明示的に制御 し た り 、 割 り 当て ら れた ス ロ ッ ト 番号を反復デザ イ ン時に保持 し た り す る メ カ ニ
ズ ムはあ り ません。 AXI イ ン タ ー コ ネ ク ト コ アは、 SI ま たは MI の 「空き 」 のサポー ト は し ません。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
42
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
読み出 し 専用イ ン タ ー フ ェ イ ス と 書き込み専用イ ン タ ー フ ェ イ ス
接続マス タ ー
イ ン ターコネク ト
C_S_AXI_SUPPORTS_WRITE
C_busif_SUPPORTS_WRITE
C_S_AXI_SUPPORTS_READ
C_busif_SUPPORTS_READ
接続ス レーブ
C_M_AXI_SUPPORTS_WRITE
C_busif_SUPPORTS_WRITE
C_M_AXI_SUPPORTS_READ
C_busif_SUPPORTS_READ
一般に、 読み出 し 専用/書 き 込み専用のパ ラ メ ー タ ーは接続 さ れたマ ス タ ー / ス レーブ IP の MPD に定数 と し て指定 さ れます。
し か し 、 コ ン フ ィ ギ ャ ブルな読み出 し 専用/書 き 込み専用動作 (ユーザーが選択可能) をサポー ト す る IP も あ り ます。 ス レーブ
の読み出 し お よ び書 き 込みのサポー ト を無効にす る 場合、 次の規則が適用 さ れます。
•
C_AXI_CONNECTIVITY パ ラ メ ー タ ーが特定のマ ス タ ー / ス レーブのペアの間の経路を無効にす る の と 同様に、
SUPPORTS_WRITE パ ラ メ ー タ ーを無効にす る と 、 対応す る SI ま たは MI ス ロ ッ ト の AW、 W、 お よ び B チ ャ ネルの接
続経路が無効にな り ます。
•
SUPPORTS_READ パ ラ メ ー タ ーが無効の場合、対応する SI ま たは MI ス ロ ッ ト の AR チ ャ ネル と R チ ャ ネルの接続経路
が無効にな り ます。
•
未使用の書 き 込み/読み出 し チ ャ ネルを無効にする こ と で、 デー タ パス多重化 ロ ジ ッ ク やア ド レ ス デ コ ー ド ロ ジ ッ ク を削
減で き 、 使用す る FPGA リ ソ ース を減 ら す と 共に タ イ ミ ン グ パス を高速化で き ます。
コ ン フ ィ ギ ュ レーシ ョ ンに よ り 読み出 し お よ び書 き 込み ト ラ ンザ ク シ ョ ン をサポー ト で き る ス レーブでは、 こ れ ら の ス レーブ
にア ク セ スす る 接続 さ れたマ ス タ ーで検出 さ れた値に基づいて、 ツールが ト ラ ンザ ク シ ョ ンの値を設定 し ます。
レジス タ ス ラ イ ス
イ ン ターコネク ト
接続マス タ ー
C_S_AXI_AW_REGISTER
C_INTERCONNECT_busif_AW_REGISTER
C_S_AXI_AR_REGISTER
C_INTERCONNECT_busif_AR_REGISTER
C_S_AXI_W_REGISTER
C_INTERCONNECT_busif_W_REGISTER
C_S_AXI_R_REGISTER
C_INTERCONNECT_busif_R_REGISTER
C_S_AXI_B_REGISTER
C_INTERCONNECT_busif_B_REGISTER
接続ス レーブ
C_M_AXI_AW_REGISTER
C_INTERCONNECT_busif_AW_REGISTER
C_M_AXI_AR_REGISTER
C_INTERCONNECT_busif_AR_REGISTER
C_M_AXI_W_REGISTER
C_INTERCONNECT_busif_W_REGISTER
C_M_AXI_R_REGISTER
C_INTERCONNECT_busif_R_REGISTER
C_M_AXI_B_REGISTER
C_INTERCONNECT_busif_B_REGISTER
REGISTER パ ラ メ ー タ ーを設定す る と 、 SI 域ま たは MI 域で最 も 外側の レ ジ ス タ ス ラ イ ス が有効にな り ます。 各 イ ン タ ー
フ ェ イ ス ス ロ ッ ト のチ ャ ネルのそれぞれが独立 し て有効化 さ れます。 レ ジ ス タ ス ラ イ ス を設け る 主な目的は、 1 レ イ テ ン シ
サ イ ク ルを犠牲に し てシ ス テ ム タ イ ミ ン グ を改善す る こ と です。
モー ド 選択は次の と お り です。
•
FULLY_REGISTERED : 深 さ 2 の FIFO バ ッ フ ァ ー と し て イ ンプ リ メ ン ト さ れ る こ のモー ド は、 バブル サ イ ク ルを引 き
起 こ す こ と な く 、マ ス タ ー (チ ャ ネル ソ ース ) やス レーブ (チ ャ ネル デス テ ィ ネーシ ョ ン ) の ス ロ ッ ト ル と back-to-back 転
送をサポー ト し ます。
•
LIGHT_WEIGHT : 単純な 1 段パ イ プ ラ イ ン レ ジ ス タ と し て イ ンプ リ メ ン ト さ れ る こ のモー ド は、 タ イ ミ ン グ パ ス を分
離 し つつ リ ソ ース を最小化 し ますが、 毎回の転送後必ず 1 バブル サ イ ク ルが発生 し ます。
•
AUTOMATIC : AXI4-Lite ス ロ ッ ト では、 全チ ャ ネルに LIGHT_WEIGHT を使用 し ます。 その他のプ ロ ト コ ルの場合、
W チ ャ ネル と R チ ャ ネルには FULLY_REGISTERED を、 AW、 AR、 お よ び B チ ャ ネルには LIGHT_WEIGHT を使用
し ます。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
43
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
デー タ パス FIFO
イン ターコネク ト
接続マス タ ー
接続ス レーブ
C_S_AXI_WRITE_FIFO_DEPTH
C_INTERCONNECT_busif_WRITE_FIFO
_DEPTH
C_S_AXI_READ_FIFO_DEPTH
C_INTERCONNECT_busif_READ_FIFO_
DEPTH
C_M_AXI_WRITE_FIFO_DEPTH
C_INTERCONNECT_busif_WRITE_FIFO_
DEPTH
C_M_AXI_READ_FIFO_DEPTH
C_INTERCONNECT_busif_READ_FIFO_
DEPTH
*_FIFO_DEPTH パ ラ メ ー タ ーを設定す る と 、 SI 域ま たは MI 域のデー タ チ ャ ネル上の ク ロ スバーのすぐ隣に FIFO バ ッ
フ ァ ーが挿入 さ れます。 パ ラ メ ー タ ーの値に よ り 、 FIFO の深 さ が設定 さ れます (0 = FIFO な し )。 各 FIFO に保存 さ れ る デー
タ の幅は、 常に ク ロ ス バーのネ イ テ ィ ブ デー タ 幅 (C_INTERCONNECT_DATA_WIDTH) と 同 じ にな り ます。
TrustZone セキ ュ リ テ ィ
イ ン ターコネク ト
接続マス タ ー
C_M_AXI_SECURE
接続ス レーブ
C_INTERCONNECT_busif_SECURE
C_M_AXI_SECURE パ ラ メ ー タ ーを設定す る と 、 指定 し た ( ス レーブに接続 さ れてい る ) 各 MI ス ロ ッ ト に一括で TrustZone
セキ ュ リ テ ィ が適用 さ れます。 セキ ュ ア ス ロ ッ ト と し て設定 さ れた場合、 セキ ュ ア な AXI ア ク セ ス のみが許可 さ れます
(S_AXI_AWPROT[1] ま たは M_AXI_AWPROT[1] が 0 でなければな ら ない)。 セキ ュ アでないア ク セ ス はブ ロ ッ ク さ れ、
AXI イ ン タ ー コ ネ ク ト コ アは接続 さ れたマ ス タ ーに DECERR 応答を返 し ます。 接続 さ れた ス レーブ デバ イ ス が独自の
TrustZone セキ ュ リ テ ィ 機能を持つ場合は、 通常 AXI イ ン タ ー コ ネ ク ト で C_M_AXI_SECURE パ ラ メ ー タ ーを設定する 必要
はあ り ません。
幅の狭いバース ト のサポー ト
イ ン ターコネク ト
C_S_AXI_SUPPORTS_NARROW_BURST
接続マス タ ー
接続ス レーブ
C_busif_SUPPORTS_NARROW_BURST
C_M_AXI_SUPPORTS_NARROW_BURST
C_busif_SUPPORTS_NARROW_
BURST
SI ス ロ ッ ト で C_S_AXI_SUPPORTS_NARROW_BURST パ ラ メ ー タ ーを 0 に設定 し た場合、 接続 さ れたマ ス タ ー デバ イ ス は
「行儀の よ い」 デバ イ ス であ り 、 デー タ 転送の SIZE が イ ン タ ーフ ェ イ ス のデー タ 幅 よ り も 小 さ い複数ビー ト ト ラ ンザ ク シ ョ
ン ( 「幅の狭いバー ス ト 」 ) を決 し て発行 し ない こ と を表 し ま す。 た と えば、 64 ビ ッ ト 幅の WDATA/RDATA 信号を使用す る
「行儀の よ い」 マ ス タ ーは、 AWLEN/ARLEN が 0 よ り 大き い ト ラ ンザ ク シ ョ ンの発行時、その AWSIZE/ARSIZE が常に 0x011
(8 バ イ ト ) にな り ます ( シ ン グル ビー ト ト ラ ンザ ク シ ョ ン のサ イ ズはい く つで も よ い)。 接続 さ れた 「行儀の よ く ない」 マ ス
タ ーはすべて、 C_S_AXI_SUPPORTS_NARROW_BURST パ ラ メ ー タ ーをデフ ォ ル ト の 1 に保つ必要があ り ます。
ま た、 C_S_AXI_SUPPORTS_NARROW_BURST = 0 の場合、 マ ス タ ー デバ イ ス はど の ト ラ ンザ ク シ ョ ンの AWCACHE[1] ま
たは ARCACHE[1] ( 「変更許可」 ビ ッ ト ) も デ ィ アサー ト し てはな り ません。
こ れに よ り 、 SI ス ロ ッ ト に よ っ て駆動 さ れ る 経路上のア ッ プサ イ ザーに よ り デー タ が完全に圧縮 さ れ、 「行儀の よ い」 SI ス
ロ ッ ト で生成 さ れたすべての ト ラ ンザ ク シ ョ ンで、 MI での幅の狭いバース ト が ま っ た く 生成 さ れな く な り ます。
C_S_AXI_SUPPORTS_NARROW_BURST = 0 と 設定 し た SI ス ロ ッ ト のいずれかで幅の狭いバース ト が受信 さ れた場合、
AXI イ ン タ ー コ ネ ク ト コ アは DECERR 応答の検出、 送信のいずれ も 実行 し ません。 こ れは幅の狭いバース ト を回避する た
めのマ ス タ ー IP のデザ イ ン要件です。
MI ス ロ ッ ト の C_M_AXI_SUPPORTS_NARROW_BURST パ ラ メ ー タ ーが 0 に設定 さ れてい る 場合、 接続 さ れた ス レーブ デバ
イ ス が幅の狭いバース ト を受信す る こ と がな く 、 こ れに関す る デー タ の圧縮 ロ ジ ッ ク が省略で き る こ と を表 し ます。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
44
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
幅の狭いバース ト に対応 し た コ ン フ ィ ギ ュ レーシ ョ ン をサポー ト す る ス レーブ デバ イ ス では、 ス レーブにア ク セ ス で き る 全
マ ス タ ー デバ イ ス が 「行儀 よ く 」 動作す る ( マ ス タ ーの C_busif_SUPPORTS_NARROW_BURST が 0 に設定 さ れてい る ) 場
合、 XPS ツールに よ り C_busif_SUPPORTS_NARROW_BURST パ ラ メ ー タ ーが自動的に 0 に設定 さ れます。
AXI イ ン タ ー コ ネ ク ト コ ア自体が SUPPORTS_NARROW_BURST パ ラ メ ー タ ーのいずれか を使用する こ と はあ り ません。
AXI イ ン タ ー コ ネ ク ト の当バージ ョ ンのすべてのア ッ プサ イ ザーでは常に (要件どお り に変更許可ビ ッ ト が設定 さ れてい る 場
合) 複数ビー ト バース ト を圧縮 し て、 イ ン タ ー コ ネ ク ト に よ り 幅の狭いバース ト が作成 さ れない よ う に し てい ます。
ユーザー信号
イ ン ターコネク ト
接続マス タ ー
接続ス レーブ
C_AXI_SUPPORTS_USER_SIGNALS
C_busif_SUPPORTS_USER_SIGNALS
C_busif_SUPPORTS_USER_SIGNALS
C_AXI_AWUSER_WIDTH
C_busif_AWUSER_WIDTH
C_busif_AWUSER_WIDTH
C_AXI_ARUSER_WIDTH
C_busif_ARUSER_WIDTH
C_busif_ARUSER_WIDTH
C_AXI_WUSER_WIDTH
C_busif_WUSER_WIDTH
C_busif_WUSER_WIDTH
C_AXI_RUSER_WIDTH
C_busif_RUSER_WIDTH
C_busif_RUSER_WIDTH
C_AXI_BUSER_WIDTH
C_busif_BUSER_WIDTH
C_busif_BUSER_WIDTH
接続 さ れたマ ス タ ー デバ イ ス と ス レーブ デバ イ ス で こ れ ら のパ ラ メ ー タ ーを指定する と 、 こ れ ら のデバ イ ス の イ ン タ ーフ ェ
イ ス に USER 信号 ( ど のチ ャ ネルで も 可) が含まれ る か ど う か と 、 含まれ る場合は各チ ャ ネルの USER 信号の幅が示 さ れます。
接続 さ れたマ ス タ ー デバ イ ス と ス レーブ デバ イ ス のパ ラ メ ー タ ー値を調査す る こ と で、 ツールは AXI イ ン タ ー コ ネ ク ト コ
アがマ ス タ ー と ス レーブの間 ( ど のチ ャ ネルで も ) の USER 信号を伝搬す る 必要があ る か ど う か と 、 その場合に USER 信号を
各チ ャ ネルに伝搬す る ために必要な最大幅を決定 し ます。 その後、 ツールは接続 さ れたマ ス タ ーお よ びス レーブで検知 し た値
に基づいて、 AXI イ ン タ ー コ ネ ク ト コ アに USER パ ラ メ ー タ ーの値を自動的に設定 し ます。
一般に、 IP イ ン タ ーフ ェ イ ス の USER 信号の物理ビ ッ ト 幅は さ ま ざ ま な USER_WIDTH パ ラ メ ー タ ーを使用 し て決定 さ れ る た
め、 1 よ り も 小 さ な値に設定 し てはな り ません。 C_AXI_SUPPORTS_USER_SIGNALS = 1 の場合、 USER 信号の少な く と
も 1 ビ ッ ト は 5 つの AXI チ ャ ネルのそれぞれに伝搬 さ れます。
WUSER 信号 と RUSER 信号の伝搬は、 イ ン タ ーフ ェ イ ス のデー タ 幅が AXI イ ン タ ー コ ネ ク ト のネ イ テ ィ ブ デー タ 幅
(C_INTERCONNECT_DATA_WIDTH) と 一致す る SI ス ロ ッ ト と MI ス ロ ッ ト に対 し てのみサポー ト さ ます。 RUSER 信号 と
WUSER 信号は、 ア ッ プサ イ ザーお よ びダ ウ ンサ イ ザーに よ り 常にブ ロ ッ ク (すべて 0 に強制変換) さ れます。 ト ラ ンザ ク シ ョ
ンが分割 さ れ る 可能性があ る ため、 ダ ウ ンサ イ ザーま たは AXI3 プ ロ ト コ ル コ ンバー タ ーは BUSER 信号を伝搬 し ません。
AWUSER お よ び ARUSER 信号の伝搬は、 デー タ 幅にかかわ ら ず、 すべての SI お よ び MI ス ロ ッ ト でサポー ト さ れてい ます。
デ コ ー ド エ ラ ー検出
AXI イ ン タ ー コ ネ ク ト パラ メ ー タ ー
内容
AXI イ ン タ ー コ ネ ク ト コ ア が各種 ト ラ ン ザ ク シ ョ ン エ
ラ ー状態を検出する か ど う か を次の よ う に指定 し ます。
• ON (1) の場合、 SI ス ロ ッ ト で受信 さ れた ト ラ ンザ ク
C_RANGE_CHECK
シ ョ ン に対 し て さ ま ざ ま な エ ラ ー状態 を チ ェ ッ ク し ま
す。その結果、AXI イ ン タ ー コ ネ ク ト コ ア応答の BRESP
信号ま たは RRESP 信号のいずれかに よ っ て、 マ ス タ ー
に DECERR コ ー ド が返 さ れ る 場合があ り ます。
• OFF (0) の場合、 DECERR 応答を生成す る ロ ジ ッ ク が
AXI イ ン タ ー コ ネ ク ト の イ ン プ リ メ ン テーシ ョ ン か ら
省略 さ れ、 リ ソ ース が節約 さ れます。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
45
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
次の場合、 C_RANGE_CHECK はデフ ォ ル ト で ON (1) に設定 さ れます。
•
複数の MI ス ロ ッ ト があ る
•
MI ス ロ ッ ト のいずれかに複数のア ド レ ス範囲が定義 さ れてい る
•
AXI4-Lite の MI ス ロ ッ ト ( ス レーブに接続) と AXI4-Lite 以外の SI ス ロ ッ ト ( マ ス タ ー ) があ る
•
C_M_AXI_SECURE パ ラ メ ー タ ーがいずれかの MI ス ロ ッ ト に設定 さ れてい る
上記のデフ ォ ル ト 条件に よ り 、 SI で受信 さ れたプ ロ ト コ ル準拠の ト ラ ンザ ク シ ョ ンは、 プ ロ ト コ ルに準拠 し た完了コ ー ド か、
正 し い DECERR 応答のいずれか を必ず返す よ う にな り ます。 上記のど の条件 も 真でない場合、 DECERR ロ ジ ッ ク は (デフ ォ ル
ト で ) 省略 さ れ、 ロ ジ ッ ク が削減 さ れます。 こ れに よ り 、 AXI イ ン タ ー コ ネ ク ト を ワ イ ヤ と し て イ ンプ リ メ ン ト す る 「パ ス ス
ルー」 で コ ン フ ィ ギ ュ レーシ ョ ンすれば、 リ ソ ース を消費せずに済む よ う にな り ます。
接続 さ れたマ ス タ ーが通常 DECERR 応答の ト リ ガー と な る 特定の ト ラ ンザ ク シ ョ ン を発行 し ない こ と が確実な場合には、
C_RANGE_CHECK パ ラ メ ー タ ーを強制的に OFF に し て ロ ジ ッ ク リ ソ ース を節約で き ます。 こ の条件を満足す る のは、 次の
すべてが真であ る と き です。
•
•
•
存在 し ない ス レーブにア ク セ スす る マ ス タ ーがない。 こ れは、 次のいずれかが真の場合に真にな り ます。
•
ア ド レ ス範囲にかかわ ら ず、 ( ス レーブに接続 さ れ る ) MI ス ロ ッ ト が 1 つ し かない
•
すべての ト ラ ンザ ク シ ョ ンが、 ス パース ク ロ スバーの コ ネ ク テ ィ ビ テ ィ
レーブ を含む設定済みア ド レ ス マ ッ プの範囲内にあ る ア ド レ ス を持つ
•
C_AXI_ADDR_WIDTH で定義 さ れた と お り 、 ア ド レ ス マ ッ プがア ド レ ス空間全体を包含 し てい る
マ ッ プ と 読み出 し 専用/書 き 込み専用の ス
次の不正 ト ラ ンザ ク シ ョ ンのいずれかに よ っ て AXI4-Lite ス レーブにア ク セ スす る マ ス タ ーがない。
•
ト ラ ンザ ク シ ョ ンの長 さ が 1 デー タ ビー ト よ り も 大 き い
•
デー タ 転送サ イ ズが 4 バ イ ト よ り も 大 き い
マ ス タ ーの動作にかかわ ら ず、 MI ス ロ ッ ト が、 C_M_AXI_SECURE を イ ネーブルに し て コ ン フ ィ ギ ュ レーシ ョ ン さ れ
ていない。 MI ス ロ ッ ト のいずれかが SECURE と し て コ ン フ ィ ギ ュ レ ーシ ョ ン さ れてい る 場合に C_RANGE_CHECK を
OFF に し てはな り ません。 試みた場合は コ ンパ イ ル時エ ラ ーが発生 し ます。
MI ス ロ ッ ト が 1 つ し かな く 、 そのア ド レ ス範囲が 1 つだけの場合、 C_RANGE_CHECK パ ラ メ ー タ ーを強制的に ON に し て
無効な ト ラ ンザ ク シ ョ ン ア ド レ ス を ト ラ ッ プで き ます。
次の条件は AXI イ ン タ ー コ ネ ク ト コ アでは検出 さ れないため、 C_RANGE_CHECK の影響を受け ません。
•
認識で き ない応答 ID エ ラ ー
•
AXI4 プ ロ ト コ ル違反 (AXI3 のア ト ミ ッ ク な LOCK ト ラ ンザ ク シ ョ ン を含む)
•
書 き 込みデー タ の イ ン タ ー リ ーブ
•
幅の狭いバース ト 違反 (C_S_AXI_SUPPORTS_NARROW_BURST を参照)
•
非同期 ク ロ ッ ク コ ン フ ィ ギ ュ レーシ ョ ン以外で ク ロ ッ ク 倍率が整数でない
•
パ ラ メ ー タ ー値範囲違反
•
ア ド レ ス ま たは ID の範囲が重複 し てい る 、 非バ イ ナ リ サ イ ズであ る 、 ま たはベース値のア ラ イ メ ン ト が正 し く ない
パラ メ ー タ ー規則のま と め
パ ラ メ ー タ ー表で説明 し た値の範囲のほかに、 次の仕様規則が適用 さ れます。
•
C_S_AXI_PROTOCOL が AXI4-Lite を示す場合、 各ス ロ ッ ト の C_S_AXI_DATA_WIDTH は 32 でなければな り ません。
C_M_AXI_PROTOCOL が AXI4-Lite を示す場合、 それぞれの C_M_AXI_DATA_WIDTH は 32 でなければな り ません。
•
使用 さ れてい る 各 MI ス ロ ッ ト には、少な く と も 1 つのア ド レ ス範囲が定義 さ れてい る 必要があ り ます (null でない こ と )。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
46
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
•
各ア ド レ ス範囲では、 範囲サ イ ズが 2 のべ き 乗で、 4096 以上でなければな り ません。 BASE_ADDR は範囲サ イ ズの倍数
でなければな り ません (範囲内の ロ ケーシ ョ ン を選択す る すべての下位ビ ッ ト は 0 でなければな り ません )。 HIGH_ADDR
の (範囲内の) 下位ビ ッ ト はすべて 1 でなければな り ません。
•
全 MI ス ロ ッ ト のア ド レ ス範囲のいずれかに重複があ っ てはな り ません。
•
使用 さ れてい る 各 SI ス ロ ッ ト には、 パ ラ メ ー タ ー C_S_AXI_BASE_ID お よ び C_S_AXI_THREAD_ID_WIDTH を定義
す る 必要があ り ます。
•
AXI4-Lite と し て コ ン フ ィ ギ ュ レーシ ョ ン さ れてい る SI ス ロ ッ ト では、 C_S_AXI_IS_INTERCONNECT が 0 ( エン ド ポ
イ ン ト マ ス タ ー デバ イ ス )、 かつ C_S_AXI_THREAD_ID_WIDTH が 0 でなければな り ません (AXI4-Lite イ ン タ ーフ ェ
イ ス では ID 信号が使用 さ れません )。
•
各 ID 範囲で、 BASE_ID パ ラ メ ー タ ーのすべての下位ビ ッ ト (THREAD_ID_WIDTH に よ っ て定義 さ れた と お り ) は、存在
す る 場合、 0 でなければな り ません。 言い換え る と 、 BASE_ID は必ず範囲サ イ ズの倍数です (2**THREAD_ID_WIDTH)。
•
全 SI ス ロ ッ ト の ID 範囲のいずれかに重複があ っ てはな り ません。
•
各 ID 範囲の上限 (BASE_ID + 2**THREAD_ID_WIDTH - 1) は AXI イ ン タ ー コ ネ ク ト の ID の最大値
(2**C_AXI_ID_WIDTH - 1) を超え てはな り ません。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
47
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
レ イテンシ
図 12 に、 ク ロ スバー モジ ュ ールの レ イ テ ン シ モデルのベース ラ イ ン (バ イ パ ス モー ド でない状態) を示 し ます。
X-Ref Target - Figure 12
S_AWVALID
or S_ARVALID
Arbiter
Latency =
T_AW or
T_AR
M_AWVALID or
M_ARVALID
Write
Cmd
Queue
Latency=T_WC
M_WVALID
S_WVALID
S_RVALID
Arbiter
Latency=T_W
M_RVALID
Latency=T_R
X12091
図 12 : ク ロ スバー モデルのベース ラ イ ン レ イ テ ン シ
図 12 において、 ベース ラ イ ン レ イ テ ン シは次の よ う に表 さ れます。
•
AW/ARVALID の順方向の伝搬では、 T_AW = T_AR = 2 INTERCONNECT_ACLK サ イ ク ルにな り ます。 ただ し 、 アービ ト
レーシ ョ ンに よ り 使用権を得 る 妨げ と な る 保留状態 ( よ り 優先順位の高い要求があ る な ど ) がない場合に限 り ます。 ま た、
アービ ト レーシ ョ ンのたびに 2 バブル サ イ ク ルが生 じ る ため、同 じ マ ス タ ーに よ る 連続 し た アービ ト レーシ ョ ンの間隔は
3 サ イ ク ル (最小値) にな り ます。
•
T_WC = 1 INTERCONNECT_ACLK サ イ ク ルです。
•
各 MI ス ロ ッ ト で C_M_AXI_PROTOCOL != AXI4Lite、 かつ C_M_AXI_DATA_WIDTH ! =
C_INTERCONNECT_DATA_WIDTH の場合、 T_W = 1 INTERCONNECT_ACLK サ イ ク ル と な り 、 バブル サ イ ク ルは発生 し ま
せん (back-to-back の連続デー タ 転送をサポー ト )。 それ以外の場合、 T_W = 0 サ イ ク ル と な り ます (経由す る ク ロ スバー
と 組み合わせた値)。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
48
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
•
T_R = 1 ま たは 2 INTERCONNECT_ACLK サ イ ク ル。バブル サ イ ク ルな し (連続する back-to-back データ転送をサポー ト )。
2 回目の レ イ テ ン シ サ イ ク ルはア イ ド ル サ イ ク ル後に再アービ ト レーシ ョ ンが行われ る (要求 MI ス ロ ッ ト が最後に使用
権が与え ら れた MI ス ロ ッ ト と 異な る ) 場合に発生 し ます。 同 じ MI ス ロ ッ ト が back-to-back でデー タ を伝搬する間、 ま た
は複数の MI ス ロ ッ ト が連続 し てデー タ を イ ン タ ー リ ーブ し てい る 間は、 R チ ャ ネル アービ タ ーの レ イ テ ン シは 1 サ イ ク
ルです。
•
T_B (B チ ャ ネル レ イ テ ン シ、 図には記載な し ) = 1 ま たは 2 INTERCONNECT_ACLK サ イ ク ル (T_R と 同様) です。
次に挙げ る よ う な ク ロ ス バーの外側の さ ま ざ ま なオプシ ョ ン モジ ュ ールに よ り 、 レ イ テ ン シ サ イ ク ルが増加 し ます。
•
「FULLY_REGISTERED」 レ ジ ス タ ス ラ イ ス (適用可能な各チ ャ ネル) : S_AXI_ACLK ま たは M_AXI_ACLK の 1 レ イ テ
ン シ サ イ ク ル、 バブル サ イ ク ルな し (チ ャ ネル帯域幅 100% の最良条件)
•
「LIGHT_WEIGHT」 レ ジ ス タ ス ラ イ ス (適用可能な各チ ャ ネル) : S_AXI_ACLK ま たは M_AXI_ACLK の 1 レ イ テ ン シ
サ イ ク ル、 1 バブル サ イ ク ル (チ ャ ネル帯域幅 50% の最良条件)、 AW、 AR、 お よ び B チ ャ ネル転送 と AXI4-Lite エン ド
ポ イ ン ト を使用す る すべての転送に適す る
•
デー タ FIFO
•
W チ ャ ネル と R チ ャ ネル : INTERCONNECT_ACLK の 3 レ イ テ ン シ サ イ ク ル、 バブル サ イ ク ルな し
•
AW、 AR、 お よ び B チ ャ ネル : レ イ テ ン シな し
•
ク ロ ッ ク 変換 : レ イ テ ン シは変動
•
ア ッ プサ イ ザー
•
AW チ ャ ネル と AR チ ャ ネル : 1 レ イ テ ン シ サ イ ク ル
•
W チ ャ ネル : 1 レ イ テ ン シ サ イ ク ル (圧縮が完了する サ イ ク ルご と )、 SI 側の (狭い) イ ン タ ーフ ェ イ ス にはバブル サ
イ ク ルな し
•
•
R チ ャ ネル : 1 レ イ テ ン シ サ イ ク ル
•
B チ ャ ネル : レ イ テ ン シな し
•
クロック
-
SI 域のア ッ プサ イ ザーは INTERCONNECT_ACLK に よ っ て ク ロ ッ ク 供給 さ れ る
-
MI 域のア ッ プサ イ ザーは M_AXI_ACLK に よ っ て ク ロ ッ ク 供給 さ れ る
ダ ウ ンサ イ ザー
•
AW チ ャ ネル と AR チ ャ ネル : 1 レ イ テ ン シ サ イ ク ル
•
R チ ャ ネル : レ イ テ ン シな し (圧縮が完了す る サ イ ク ルご と )、 MI 側の (狭い) イ ン タ ーフ ェ イ ス にはバブル サ イ ク ル
なし
•
W チ ャ ネル : レ イ テ ン シな し
•
B チ ャ ネル : レ イ テ ン シな し
•
クロック
-
SI 域のダ ウ ンサ イ ザーは S_AXI_ACLK に よ っ て ク ロ ッ ク 供給 さ れ る
-
MI 域のダ ウ ンサ イ ザーは INTERCONNECT_ACLK に よ っ て ク ロ ッ ク 供給 さ れ る
•
AXI4-Lite 変換 : いずれのチ ャ ネルに も レ イ テ ン シな し
•
AXI3 変換
•
AW チ ャ ネル と AR チ ャ ネル : M_AXI_ACLK の 1 レ イ テ ン シ サ イ ク ル
•
W、 R、 お よ び B チ ャ ネル : レ イ テ ン シな し
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
49
LogiCORE IP AXI イ ン タ ー コ ネ ク ト (v1.02.a)
サポー ト
ザ イ リ ン ク ス は、 製品資料の説明に従っ て使用 さ れてい る LogiCORE 製品に対す る テ ク ニ カル サポー ト を提供 し てい ま す。
製品資料で定義 さ れていないデバ イ ス に イ ンプ リ メ ン ト し た り 、許容 さ れてい る 範囲を超え て カ ス タ マ イ ズ し た り 、「DO NOT
MODIFY」 と さ れてい る デザ イ ン セ ク シ ョ ンに変更を加え た り し た場合、 タ イ ミ ン グ、機能、製品サポー ト は保証 さ れません。
注文情報
こ のザ イ リ ン ク ス LogiCORE IP モジ ュ ールは、ザ イ リ ン ク ス ISE Design Suite Embedded Edition ソ フ ト ウ ェ アに無償で含ま
れ、 ザ イ リ ン ク ス のエン ド ユーザー ラ イ セ ン ス の条件の下で使用で き ます。 コ アは、 ザ イ リ ン ク ス ISE Embedded Edition ソ
フ ト ウ ェ ア (EDK) に よ っ て生成 さ れ ます。 こ のモジ ュ ールに関す る 詳細は、 AXI イ ン タ ー コ ネ ク ト のページに記載 さ れてい
ます。
その他のザ イ リ ン ク ス LogiCORE IP モジ ュ ールに関す る 情報はザ イ リ ン ク ス IP のページ を ご覧 く だ さ い。その他のザ イ リ ン
ク ス LogiCORE モジ ュ ールお よ び ソ フ ト ウ ェ アの価格お よ び提供状況については、 お近 く のザ イ リ ン ク ス 販売代理店にお問
い合わせ く だ さ い。
参考資料
•
『ARM AMBA AXI プ ロ ト コ ル v2.0 』 (文書番号 ARM IHI 0022C)
http://infocenter.arm.com/help/index.jsp?topic=/com.arm.doc.ihi0022c/index.html
•
『Platform Specification Format Reference Manual』 (UG642)
•
『ザ イ リ ン ク ス AXI リ フ ァ レ ン ス ガ イ ド 』 (UG761)
•
『LogiCORE IP AXI-to-AXI Connector』 (DS803)
改訂履歴
次の表に、 こ の文書の改訂履歴を示 し ます
日付
バージ ョ ン
改訂の説明
2010 年 9 月 21 日
1.0
ザ イ リ ン ク ス に よ る 初回 IDS リ リ ース 12.3。
2010 年 12 月 14 日
2.0
ザ イ リ ン ク ス に よ る IDS リ リ ース 12.4。
2011 年 3 月 1 日
3.0
ザ イ リ ン ク ス に よ る IDS リ リ ース 13.1。
Notice of Disclaimer
Xilinx is providing this product documentation, hereinafter “Information,” to you “AS IS” with no warranty of any kind, express
or implied. Xilinx makes no representation that the Information, or any particular implementation thereof, is free from any claims
of infringement. You are responsible for obtaining any rights you may require for any implementation based on the Information.
All specifications are subject to change without notice. XILINX EXPRESSLY DISCLAIMS ANY WARRANTY
WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE INFORMATION OR ANY IMPLEMENTATION BASED
THEREON, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR REPRESENTATIONS THAT THIS
IMPLEMENTATION IS FREE FROM CLAIMS OF INFRINGEMENT AND ANY IMPLIED WARRANTIES OF
MERCHANTABILITY OR FITNESS FOR A PARTICULAR PURPOSE. Except as stated herein, none of the Information may
be copied, reproduced, distributed, republished, downloaded, displayed, posted, or transmitted in any form or by any means
including, but not limited to, electronic, mechanical, photocopying, recording, or otherwise, without the prior written consent of
Xilinx.
本資料は英語版 (v3.0) を翻訳 し た も ので、 内容に相違が生 じ る 場合には原文を優先 し ます。
資料に よ っ ては英語版の更新に対応 し ていない も のがあ り ます。
日本語版は参考用 と し て ご使用の上、 最新情報につ き ま し ては、 必ず最新英語版を ご参照 く だ さ い。
DS768 2011 年 3 月 1 日
Product 製品仕様
japan.xilinx.com
50
Fly UP