...

ザイリンクス デザイン ツール : インストールおよびライセンス ガイド

by user

on
Category: Documents
1

views

Report

Comments

Transcript

ザイリンクス デザイン ツール : インストールおよびライセンス ガイド
ザイ リ ン ク ス デザイ ン
ツール : イ ン ス ト ール
および ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
Notice of Disclaimer
The information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products.To the maximum
extent permitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES
AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY,
NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including
negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection with,
the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage
(including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such
damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no obligation to correct
any errors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify,
distribute, or publicly display the Materials without prior written consent.Certain products are subject to the terms and conditions of the
Limited Warranties which can be viewed at http://www.xilinx.com/warranty.htm; IP cores may be subject to warranty and support terms
contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any application
requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in Critical
Applications:http://www.xilinx.com/warranty.htm#critapps.
© Copyright 2012 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Zynq, and other designated brands included herein are
trademarks of Xilinx in the United States and other countries.All other trademarks are the property of their respective owners.
本資料は英語版 (v14.1) を翻訳 し た も ので、 内容に相違が生 じ る 場合には原文を優先 し ます。
資料に よ っ ては英語版の更新に対応 し ていない も のがあ り ます。
日本語版は参考用 と し て ご使用の上、 最新情報につ き ま し ては、 必ず最新英語版を ご参照 く だ さ い。
こ の資料に関す る フ ィ ー ド バ ッ ク お よ び リ ン ク な ど の問題につ き ま し ては、[email protected] ま でお知 ら せ く だ さ
い。 いただ き ま し た ご意見を参考に早急に対応 さ せていただ き ます。 なお、 こ の メ ール ア ド レ スへのお問い合わせは受け付け
てお り ません。 あ ら か じ めご了承 く だ さ い。
改訂履歴
次の表に、 こ の文書の改定履歴を示 し ます。
日付
バージ ョ ン
2012 年 5 月 8 日
14.1
改訂内容
初版
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
2
目次
第 1 章 : ダウン ロー ド および イ ン ス ト ール
ISE Design Suite のダ ウ ン ロ ー ド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
ISE Design Suite の イ ン ス ト ール (全 OS 共通) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
OS 別 イ ン ス ト ール手順 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
イ ン ス ト ール フ ロ ー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
USB FLEXid ド ン グル ド ラ イ バーの イ ン ス ト ール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
ネ ッ ト ワー ク イ ン ス ト ール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
ア ッ プデー ト の取得 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
ISE Design Suite のア ン イ ン ス ト ール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
第 2 章 : ラ イ セ ン スの取得および管理 製品 ラ イ セ ン ス サ イ ト へのア ク セ ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
製品 ラ イ セ ン ス のア カ ウ ン ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ユーザー タ イ プ と ア ク シ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ラ イ セ ン ス フ ァ イ ルの修正 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ラ イ セ ン ス フ ァ イ ルの管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ユーザー ア ク セ ス の管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ラ イ セ ン ス フ ァ イ ルの イ ン ス ト ール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
14
15
15
16
21
23
25
3
第 1章
ダウン ロー ド および イ ン ス ト ール
こ のマニ ュ アルでは、 ザ イ リ ン ク ス の ISE (Integrated Software Environment) デザ イ ン ツール、 ChipScope Pro™ ロ ジ ッ
ク アナ ラ イ ザー、 エ ンベデ ッ ド ツール (エ ンベデ ッ ド 開発キ ッ ト (EDK) お よ びス タ ン ド ア ロ ン の ソ フ ト ウ ェ ア開発
キ ッ ト (SDK))、 System Generator for DSP、 PlanAhead™ デザ イ ン ツールな ど を含む ISE® Design Suite の イ ン ス ト ール
お よ び ラ イ セ ン ス取得方法について説明 し ます。
ISE Design Suite の新機能、 既知の問題、 テ ク ニ カル サポー ト については、 『ザ イ リ ン ク ス デザ イ ン ツール : リ リ ース
ノ ー ト ガ イ ド 』 (UG631) を参照 し て く だ さ い。
こ の章では、 ISE Design Suite のダ ウ ン ロ ー ド お よ び イ ン ス ト ール方法について説明 し ます。
ISE Design Suite のダウ ン ロー ド
こ のセ ク シ ョ ンでは、 ISE Design Suite のダ ウ ン ロ ー ド 方法を説明 し ます。
まず、 イ ン タ ーネ ッ ト ブ ラ ウ ザを起動 し 、 http://japan.xilinx.com/support/download/index.htm にア ク セ ス し ます。
ザ イ リ ン ク ス ダ ウ ン ロ ー ド セ ン タ ーの フ ァ イ ルはすべて Akamai ダ ウ ン ロ ー ド マネージ ャ を使用 し てダ ウ ン ロ ー ド
さ れます。 ダ ウ ン ロ ー ド 前に、 次を設定 し てお く こ と をお勧め し ます。
•
entitlenow.com か ら のポ ッ プア ッ プ を許可する
•
保護 さ れてい る 項目 も さ れていない項目 も 同 じ ページに表示 さ れ る よ う にセキ ュ リ テ ィ 設定をする
•
Java を イ ン ス ト ール し て Akamai マネージ ャ を実行で き る よ う にす る
ISE ソ フ ト ウ ェ ア を ダ ウ ン ロ ー ド す る には
1.
ウ ェ ブサ イ ト で [デザ イ ン ツール] タ ブを ク リ ッ ク し ます。
2.
ダ ウ ン ロ ー ド す る ツールのバージ ョ ン を ク リ ッ ク し ます。
3.
ダ ウ ン ロ ー ド す る イ ン ス ト ー ラ ーの リ ン ク を ク リ ッ ク し ます。
注記 : 14.x では、 ISE Design Suite の イ ン ス ト ー ラ で も 、 ソ フ ト ウ ェ ア開発キ ッ ト や ラ ボ ツールのみを選択で き る
よ う にな っ てい ます。 ISE Design Suite の イ ン ス ト ー ラ を ダ ウ ン ロ ー ド す る 場合は、 こ れ ら の個別の イ ン ス ト ール
プ ロ グ ラ ム を ダ ウ ン ロ ー ド す る 必要はあ り ません。
4.
ユーザー ID と パ ス ワー ド を入力 し 、 ザ イ リ ン ク ス ア カ ウ ン ト に ロ グ イ ン し ます。
注記 : ザ イ リ ン ク ス ア カ ウ ン ト をお持ちでない場合は、 ア カ ウ ン ト を作成 し て く だ さ い。
住所を確認す る 画面が表示 さ れます。
5.
住所を確認 し 、 [次へ] を ク リ ッ ク し ます。
6.
Akamai ダ ウ ン ロ ー ド マネージ ャ ーがブ ラ ウ ザ内で起動 さ れ、 ダ ウ ン ロ ー ド プ ロ セ ス が実行 さ れます。Akamai ダ
ウ ン ロ ー ド マネージ ャ ーの使用に問題があ る 場合は、 ダ ウ ン ロ ー ド ページの 「Important Information」 の 「Having
trouble downloading?」 セ ク シ ョ ン を参照 し て く だ さ い。 ダ ウ ン ロ ー ド マネージ ャ ーを使用 し ないで ツールを取得
す る 方法については、 そ こ に表示 さ れ る ア ンサーへの リ ン ク を参照 し て く だ さ い。
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
4
ISE Design Suite のイ ン ス ト ール (全 OS 共通)
ザ イ リ ン ク ス ダ ウ ン ロ ー ド セ ン タ ーの フ ァ イ ルのほ と ん どは TAR を使用 し て圧縮 さ れてお り 、 解凍にはサー ド
パーテ ィ プ ロ バ イ ダーの ソ フ ト ウ ェ アが必要にな り ます。 ど の ソ フ ト ウ ェ ア を使用す る かは、 各企業の IT 部門
にお尋ね く だ さ い。TAR フ ァ イ ルに よ く 使用 さ れ る ソ フ ト ウ ェ アには、7-ZIP、GNU ビル ト イ ン ツール、WinZIP、
WinRar な ど があ り 、 それぞれのプ ロ バ イ ダーで開発 さ れてお り 、 ザ イ リ ン ク ス では関与 し てお り ません。 ザ イ
リ ン ク ス では、 こ れ ら の提案 さ れ る ソ フ ト ウ ェ ア ツールに関 し て、 市販性、 特定目的 と の適合性、 不侵害な ど の
点を含め、 明示黙示を問わず一切保証 も 表明 も いた し ません。
ISE Design Suite のイ ン ス ト ール (全 OS 共通)
こ のセ ク シ ョ ンでは、 すべての OS に共通す る ISE Design Suite の イ ン ス ト ール方法を示 し ます。 各 OS で イ ン ス ト ー
ルを始め る 前に、 次を実行 し て く だ さ い。
•
デザ イ ン ツールを イ ン ス ト ールす る シ ス テ ムに適切な権限があ る こ と を確認 し ます。 プ ロ グ ラ ム ケーブル デバ
イ ス ド ラ イ バな ど の コ ン ポーネ ン ト には、 管理者権限の必要な も の も あ り ます。
•
イ ン ス ト ールを開始す る 前に、 すべてのプ ロ グ ラ ム を終了 し ます。
•
シ ス テ ムが必要条件を満た し てい る か ど う かは、 『ザ イ リ ン ク ス デザ イ ン ツール : リ リ ース ノ ー ト ガ イ ド 』
(UG631) の 「アーキ テ ク チ ャ サポー ト お よ びシ ス テ ム要件」 の章でご確認 く だ さ い。
•
シ ス テ ム ま たは製品オプシ ョ ンに伴 う イ ン ス ト ールの問題については、 『ザ イ リ ン ク ス デザ イ ン ツール : リ リ ー
ス ノ ー ト ガ イ ド 』 (UG631) の 「テ ク ニ カル サポー ト お よ び資料」 を参照 し て く だ さ い。
•
デ ィ レ ク ト リ パ ス に スペース が含まれ る と 、 EDK が イ ン ス ト ール さ れない可能性があ る ので、 ご注意 く だ さ い。
注記 : ISE Design Suite 13 の イ ン ス ト ー ラ は、 Windows で XILINX の よ う な環境変数を設定 し ません。 こ れに よ る
ザ イ リ ン ク ス デザ イ ン ツールへの影響ついては、 「OS 別 イ ン ス ト ール手順」 を参照 し て く だ さ い。
OS 別イ ン ス ト ール手順
こ のセ ク シ ョ ンでは、 ISE Design Suite を イ ン ス ト ール方法について、 OS 別に説明 し ます。
Microsoft Windows
こ のセ ク シ ョ ンでは、 Microsoft Windows での イ ン ス ト ール方法を示 し ます。
イ ン ス ト ールの開始方法
イ ン ス ト ールの開始方法は、 イ ン ス ト ール プ ロ グ ラ ムの取得方法に よ っ て異な り ます。 詳細は、 「ISE Design Suite の
ダ ウ ン ロ ー ド 」 を参照 し て く だ さ い。
•
イ ン ス ト ール フ ァ イ ルを ダ ウ ン ロ ー ド し た場合は、 そのフ ァ イ ルを解凍 し 、 含まれ る xsetup.exe を実行 し ま
す。
•
ISE Design Suite の DVD を請求 し た場合は、 その DVD を ド ラ イ ブに入れます。 イ ン ス ト ールは自動的に始ま る
はずですが、 始ま ら ない場合は、 Windows Explorer か ら DVD デ ィ レ ク ト リ の xsetup.exe を実行 し ます。
環境変数の設定
イ ン ス ト ールが終了す る と 、 環境変数バ ッ チ フ ァ イ ルが作成 さ れ ます。 デス ク ト ッ プやプ ロ グ ラ ム グループな ど の
シ ョ ー ト カ ッ ト を ク リ ッ ク す る と 、 アプ リ ケーシ ョ ンが起動 さ れ る 前に こ のフ ァ イ ルが呼び出 さ れます。 こ の環境を
設定す る コ マ ン ド ラ イ ン プ ロ ン プ ト へのシ ョ ー ト カ ッ ト は自動的に作成 さ れ ます。 こ のシ ョ ー ト カ ッ ト は、 [Xilinx
ISE Design Suite 14.1] → [Accessories] → [ISE Design Suite Command Prompt] にあ り ます。
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
5
イ ン ス ト ール フ ロー
make ま たは script フ ァ イ ルで環境変数を設定す る には、 次の手順に従っ て く だ さ い。
<XILINX installation directory>\settings32.bat ま たは settings64.bat を追加 し ます。 イ ン ス ト ー
ル さ れた OS の ビ ッ ト 数に応 じ て、 32 か 64 を選んで く だ さ い。
Linux
こ のセ ク シ ョ ンでは、 Linux での イ ン ス ト ールの起動お よ び環境変数の設定方法について説明 し ます。
イ ン ス ト ールの開始方法 イ ン ス ト ールの開始方法は、 イ ン ス ト ール プ ロ グ ラ ムの取得方法に よ っ て異な り ます。 詳細は、 「ISE Design Suite の
ダ ウ ン ロ ー ド 」 を参照 し て く だ さ い。
•
イ ン ス ト ール フ ァ イ ルを ダ ウ ン ロ ー ド し た場合は、 その フ ァ イ ルを解凍 し 、 含まれ る xsetup プ ロ グ ラ ム を実
行 し ます。
•
ISE Design Suite の DVD を請求 し た場合は、その DVD を ド ラ イ ブに入れます。フ ァ イ ル マネージ ャ でセ ッ ト ア ッ
プ フ ァ イ ルを ク リ ッ ク する か、 DVD ド ラ イ ブ を参照 し 、 ./xsetup と 入力 し ます。
環境変数の設定
イ ン ス ト ールが終了す る と 、 環境変数フ ァ イ ルが作成 さ れます。
1.
XILINX イ ン ス ト ール デ ィ レ ク ト リ に移動 し ます。
2.
ザ イ リ ン ク ス イ ン ス ト ール デ ィ レ ク ト リ で、 シ ェ ルに よ っ て source settings32.(c)sh ま たは source
settings64.(c)sh と 入力 し ます。
注記 : 32 ビ ッ ト ISE ツールでは 64 ビ ッ ト EDK は使用で き ず、 64 ビ ッ ト EDK では 32 ビ ッ ト ISE ツールは使用
で き ません。
環境変数を手動で設定す る か、 ユーザーのセ ッ ト ア ッ プ ス ク リ プ ト か ら 設定する 場合は、 上記の環境変数フ ァ イ ルの
設定を コ ピーす る こ と をお勧め し ます。 ザ イ リ ン ク ス環境変数設定は、 OS に よ っ て異な り ます。
イ ン ス ト ール フ ロー
こ のセ ク シ ョ ンでは、 イ ン ス ト ール プ ロ セ ス中に表示 さ れ る 主な画面について説明 し ます。
注記 : イ ン ス ト ール画面でチ ェ ッ ク ボ ッ ク ス のあ る テ キ ス ト 部分を ク リ ッ ク する と 、画面下の フ ィ ール ド にその詳細
が表示 さ れます。
ソ フ ト ウ ェ ア ラ イ セ ン スの承諾
最初の画面の後は、 次の よ う な 2 つの ソ フ ト ウ ェ ア ラ イ セ ン ス の承諾を求め る 画面が表示 さ れます。 ど ち ら の画面で
も 次を実行 し ます。
1.
[I accept the terms of this software agreement] をオン
2.
[Next] を ク リ ッ ク
イ ン ス ト ールするザイ リ ン ク ス製品の選択
イ ン ス ト ールす る 製品を選択 し ます。
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
6
イ ン ス ト ール フ ロー
X-Ref Target - Figure 1-1
図 1‐1 : ISE Design Suite イ ン ス ト ール ‐ ザイ リ ン ク ス製品の選択
WebPACK デザ イ ン ツール、 さ ま ざ ま な ISE Design Suite エデ ィ シ ョ ン、2 つの ス タ ン ド ア ロ ン ツールな ど か ら 製品を
選択 し ます。
注記 : ISE WebPACK の イ ン ス ト ー ラ を実行す る と 、 ChipScope Pro と エンベデ ッ ド 開発キ ッ ト (EDK) の両方が イ ン ス
ト ール さ れますが、 こ れ ら の ツールは別に ラ イ セ ン ス を取得 し ない と 使用で き ません。
イ ン ス ト ール オプ シ ョ ンの選択
イ ン ス ト ール プ ロ セ ス中には、 複数のオプシ ョ ンの イ ン ス ト ール手順が実行可能です。 こ れ ら のオプシ ョ ンは、 主な
イ ン ス ト ールが終了 し た後、 プ ロ セ ス の最後に イ ン ス ト ール さ れます。
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
7
イ ン ス ト ール フ ロー
次の図は、 イ ン ス ト ール オプシ ョ ン を選択する 画面です。
X-Ref Target - Figure 1-2
図 1‐2 : ISE Design Suite イ ン ス ト ール ‐ ザイ リ ン ク ス モ ジ ュ ールおよびデバイ スの選択
注記 : WebPACK の場合、 WebTalk は常にオンにな り ます。 前の画面で ISE WebPACK を選択 し た場合は、 WebTalk を
イ ネーブルにす る オプシ ョ ンは選択で き ない よ う にな り ま す。 Edition 製品を イ ン ス ト ール し た場合は WebTalk を イ
ネーブルにす る オプシ ョ ン を オ フ にす る こ と がで き ますが、 デザ イ ンのプ ロ セ ス に WebPACK ラ イ セ ン ス が使用 さ れ
る 場合、 こ のプ リ フ ァ レ ン ス は無視 さ れます。 詳細については、 イ ン ス ト ー ラ ーで WebTalk を イ ネーブルにす る オプ
シ ョ ン を ク リ ッ ク し て、 下の説明をお読み く だ さ い。
イ ン ス ト ール デ ィ レ ク ト リ の選択
[Select Destination Directory] 画面では、 ソ フ ト ウ ェ アの イ ン ス ト ール先 と プ ロ グ ラ ム フ ォ ルダーの リ ス ト に表示 さ れ
る 名前を入力 し ます。 指定 し た イ ン ス ト ール パ ス の下には、 階層が 1 レベル追加 さ れます。 こ のデ ィ レ ク ト リ 名は、
イ ン ス ト ールす る 製品に よ っ て異な り ます。Edition や WebPACK を イ ン ス ト ールす る 場合、デ ィ レ ク ト リ 名は \ISE_DS
に、 ラ ボ ツールを ス タ ン ド ア ロ ンで イ ン ス ト ールする 場合は \LabTools、 SDK を ス タ ン ド ア ロ ン で イ ン ス ト ールす る
場合は \SDK にな り ます。 こ れに よ り 、 ハー ド ド ラ イ ブに Edition ツール と ス タ ン ド ア ロ ン ツールが共存で き る よ う
にな り ます。
注記 : イ ン ス ト ール デ ィ レ ク ト リ と プ ロ グ ラ ム フ ォ ルダーに日本語は使用で き ません。
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
8
USB FLEXid ド ングル ド ラ イバーのイ ン ス ト ール
X-Ref Target - Figure 1-3
図 1‐3 : ISE Design Suite イ ン ス ト ール ‐ イ ン ス ト ール デ ィ レ ク ト リ の選択
イ ン ス ト ール オプ シ ョ ンのサマ リ
イ ン ス ト ールす る ツール、 製品、 オプシ ョ ン のサマ リ が表示 さ れ ます。 イ ン ス ト ールを開始す る 場合は、 [Install] を
ク リ ッ ク し ます。
イ ン ス ト ール プ ロ セ ス の最後に Xilinx License Configuration Manager がデフ ォ ル ト で開き ます。 画面の指示に従っ て、
ラ イ セ ン ス フ ァ イ ルを取得ま たは指定 し ます。
注記 : EDK には、 Red Hat の Cygwin ツールが必要です。 こ の ツールは、 EDK を イ ン ス ト ールす る と コ ピー さ れます。
USB FLEXid ド ングル ド ラ イバーのイ ン ス ト ール
Windows OS 用の USB FLEX ID ド ン グルを購入 し た場合は、FLEXnet ラ イ セ ン ス を生成す る 前に最適な ド ラ イ バーを
イ ン ス ト ール し てお く 必要があ り ます。
1.
まず、 ザ イ リ ン ク ス ISE Design Suite を イ ン ス ト ール し ます。 こ れには、 USB FLEXid ド ン グル ド ラ イ バーの イ
ン ス ト ー ラ ーが含ま れます。
2.
<Xilinx Installation Directory>\14.1\ISE_DS\ISE\bin\nt か ら
FLEXId_Dongle_Driver_Installer.exe を実行 し ます。
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
9
ネ ッ ト ワー ク イ ン ス ト ール
3.
[Select Options] の画面で [FLEXid 9 Drivers] のみを選択 し ます。
X-Ref Target - Figure 1-4
図 1‐4 : オプ シ ョ ンの選択
4.
[Next] を 2 回 ク リ ッ ク す る と 、 ド ラ イ バーが イ ン ス ト ール さ れます。
イ ン ス ト ールが終了す る と 、 再起動す る か ど う かを尋ねる 画面が表示 さ れます。 ド ン グルが正 し く 機能す る には、 再
起動をす る 必要があ り ます。
ネ ッ ト ワー ク イ ン ス ト ール
ネ ッ ト ワー ク 上にデザ イ ン ツールを イ ン ス ト ールす る と 、 そのネ ッ ト ワー ク ド ラ イ ブのデザ イ ン ツールに複数の ク
ラ イ ア ン ト マシ ン か ら ア ク セ ス で き ます。 ネ ッ ト ワ ー ク 上のデザ イ ン ツールを使用す る 場合、 環境変数、 レ ジ ス ト
リ 、 プ ロ グ ラ ム グループな どすべてにネ ッ ト ワー ク のデ ィ レ ク ト リ が指定 さ れてい る 必要があ り ます。 こ のセ ク シ ョ
ンでは、 ネ ッ ト ワー ク 設定の手順について説明 し ます。
UNIX ク ラ イ ア ン ト
ソ フ ト ウ ェ アが イ ン ス ト ール さ れたデ ィ レ ク ト リ の settings32.(c)sh ま たは settings64.(c)sh (使用 し てい
る シ ェ ルに よ っ て異な る ) を source コ マ ン ド で実行 し ます。 こ れ ら のシ ェ ルを使用する と 、 ザ イ リ ン ク ス の環境変数、
パ ス、 LD_LIBRARY_PATH 変数に イ ン ス ト ール デ ィ レ ク ト リ が指定 さ れます。
ネ ッ ト ワ ー ク 上の イ ン ス ト ール デ ィ レ ク ト リ か ら アプ リ ケーシ ョ ン を実行す る には、 X Windows Display Manager を
起動 し 、 DISPLAY 環境変数を設定す る 必要があ り ます。 DISPLAY はモニ タ ーの名前 と し て定義 し ます。 DISPLAY は
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
10
ネ ッ ト ワー ク イ ン ス ト ール
通常 unix:0.0 です。 た と えば、 次の構文は bigben と い う ホ ス ト 上の ソ フ ト ウ ェ ア を実行 し 、 mynode と い う ク ラ イ
ア ン ト マシ ンのモニ タ ーにグ ラ フ ィ ッ ク を表示 し ます。
setenv DISPLAY mynode:0.0
xhost = bigbenPC Clients
Microsoft Windows ク ラ イ ア ン ト
1.
デザ イ ン ツールを PC ネ ッ ト ワ ー ク サーバーに イ ン ス ト ール し ます。 こ の際、ユーザーがデザ イ ン ツールの イ ン
ス ト ール デ ィ レ ク ト リ の場所を知っ てい る こ と 、ま たユーザーにそのデ ィ レ ク ト リ へのア ク セ ス権があ る こ と を
確認 し て く だ さ い。
2.
ロ ーカル ク ラ イ ア ン ト マシ ンか ら 、 ネ ッ ト ワ ー ク 上の network_install_location\common\bin\nt デ ィ レ ク ト リ
にあ る shortcutSetup.bat フ ァ イ ルを実行 し ます。
3.
こ のプ ロ グ ラ ム フ ァ イ ルを実行す る と 、 リ モー ト ロ ケーシ ョ ンか ら ザ イ リ ン ク ス ツールを実行す る のに便利な
プ ロ グ ラ ム グループやデス ク ト ッ プ シ ョ ー ト カ ッ ト が設定 さ れます。
4.
ロ ーカル マシ ンか ら ISE ツールを起動す る には、 [ス タ ー ト ] → [プ ロ グ ラ ム] か ら 該当す る ツールを選択す る か、
デス ク ト ッ プのシ ョ ー ト カ ッ ト を ダブル ク リ ッ ク し ます。
割 り 当てたネ ッ ト ワー ク ド ラ イ ブへのイ ン ス ト ール
ザ イ リ ン ク ス デザ イ ン ツールは、 ルー ト デ ィ レ ク ト リ の下の フ ォ ルダー (C: \Xilinx) に イ ン ス ト ール さ れ る よ う に設
定 さ れてい ます。 ネ ッ ト ワ ー ク ド ラ イ ブに イ ン ス ト ールす る 場合には、 こ のデ ィ レ ク ト リ を定義 し ておかない と 、 ド
ラ イ ブのルー ト デ ィ レ ク ト リ に イ ン ス ト ール さ れ る よ う に指定 さ れて し ま い ます。
こ の問題を回避す る には、 ネ ッ ト ワ ー ク デ ィ レ ク ト リ の下に イ ン ス ト ール デ ィ レ ク ト リ \Xilinx を作成 し ま す (例 :
N:\Xilinx)。
Windows 7 のデフ ォ ル ト のセキ ュ リ テ ィ レベルの ま ま では、 管理者 と し て割 り 当て たネ ッ ト ワ ー ク ド ラ イ ブ を選択
す る こ と がで き ません。ザ イ リ ン ク ス デザ イ ン ツールを割 り 当てたネ ッ ト ワ ー ク ド ラ イ ブに イ ン ス ト ールす る には、
次の手順でア カ ウ ン ト 制御設定を変更す る 必要があ り ます。
1.
Windows の [ス タ ー ト ] メ ニ ュ ーか ら コ ン ト ロ ール パネルを開 き 、 [ユーザー ア カ ウ ン ト ] を ク リ ッ ク し ます。 コ
ン ト ロ ール パネルがカ テ ゴ リ 表示にな っ てい る 場合は、 [ユーザー ア カ ウ ン ト ] を 2 画面続けて ク リ ッ ク す る 必
要があ り ます。
2.
[ユーザー ア カ ウ ン ト 制御設定の変更] を ク リ ッ ク し 、 変更で き る よ う に し ます。
3.
ス ラ イ ダーの位置を次の図の よ う に下か ら 2 つ目に移動 し ます。 [OK] を ク リ ッ ク し ます。
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
11
ア ッ プデー ト の取得
X-Ref Target - Figure 1-5
図 1‐5 : ユーザー ア カ ウン ト 制御設定
イ ン ス ト ール後は、 同様の方法でユーザー ア カ ウ ン ト の設定を設定前の状態に戻 し てお く こ と をお勧め し ます。
注記 : ザ イ リ ン ク ス イ ン ス ト ー ラ ーを使用 し てネ ッ ト ワー ク ド ラ イ ブ を指定する こ と はで き ません。 こ の場合、割 り
当て ら れたネ ッ ト ワー ク ド ラ イ ブ を含む イ ン ス ト ール パ ス を手動で入力す る 必要があ り ます。
ア ッ プデー ト の取得
ザ イ リ ン ク ス では、四半期ベース で ISE Design Suite のア ッ プデー ト バージ ョ ン を リ リ ースする 予定です。ア ッ プデー
ト には、 デバ イ ス サポー ト のア ッ プデー ト 、 新機能、 バグ修正な ど が含まれます。 次のセ ク シ ョ ン では、 XilinxNotify
やダ ウ ン ロ ー ド セ ン タ ーか ら ソ フ ト ウ ェ ア ア ッ プデー ト を入手す る 方法について説明 し ます。
XilinxNotify
XilinxNotify ツールは、 ア ッ プデー ト を取得する のに推奨 さ れ る 手段で、 次の よ う な機能があ り ます。
•
http://www.xilinx.com/support に リ リ ース さ れてい る 最新のザ イ リ ン ク ス ソ フ ト ウ ェ ア ア ッ プデー ト と イ ン ス
ト ール さ れてい る バージ ョ ン を比較 し 、 よ り 新 し いバージ ョ ンが利用可能か ど う かを通知 し ます。
•
[Download] ボ タ ン を ク リ ッ ク す る と 、 ブ ラ ウ ザが起動 さ れ、 ザ イ リ ン ク ス ダ ウ ン ロ ー ド セ ン タ ーに ロ グ イ ンす
る 画面が表示 さ れます。 ロ グ イ ン し た ら 、 選択 し た製品のダ ウ ン ロ ー ド が開始 さ れます。
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
12
ISE Design Suite のア ン イ ン ス ト ール
•
XilinxNotify は、 次のいずれかの方法で使用で き ます。
°
Project Navigator の起動時に自動チ ェ ッ ク
°
Project Navigator の [Help] → [Check for Updates] を ク リ ッ ク
°
[ス タ ー ト ] → [すべてのプ ロ グ ラ ム] → [Xilinx ISE Design Suite 14.1] → [Accessories] → [Check for Updates] を
順に ク リ ッ ク
°
Linux シ ェ ルで xilinxnotify と 入力
注 記 : Project Navigator で [Edit] → [Preferences] → [XilinxNotify] を ク リ ッ ク し て 表 示 さ れ る ペ ー ジ で は、 Project
Navigator の起動時にア ッ プデー ト がチ ェ ッ ク さ れ る 頻度を設定で き ます。
ダウン ロー ド セ ン タ ー
デザ イ ン ツール ア ッ プデー ト は、 ダ ウ ン ロ ー ド セ ン タ ーに定期的にア ッ プ ロ ー ド さ れます。
http://japan.xilinx.com/support/download/index.htm
ISE Design Suite のア ン イ ン ス ト ール
Microsoft Windows
こ のセ ク シ ョ ンでは、 Microsoft Windows で ISE Design Suite に含まれ る 製品を ア ン イ ン ス ト ールす る 方法について説
明 し ます。
ザ イ リ ン ク ス製品を ア ン イ ン ス ト ールす る には、 [ス タ ー ト ] メ ニ ュ ーか ら その製品の [Uninstall] メ ニ ュ ーを ク リ ッ ク
し ま す。 た と えば、 ザ イ リ ン ク ス製品を ア ン イ ン ス ト ールす る には、 [ ス タ ー ト ] → [すべてのプ ロ グ ラ ム] → [Xilinx
ISE Design Suite 14.1] → [Accessories] → [Uninstall] を ク リ ッ ク し ます。
イ ン ス ト ール し たプ ロ グ ラ ム に よ っ ては、 WinPcap 4.0 の よ う な補助アプ リ ケーシ ョ ン (DSP ツールのオプシ ョ ン コ
ン ポーネ ン ト ) も ア ン イ ン ス ト ールす る 必要があ り ます。
ア ン イ ン ス ト ールす る 場合、 ザ イ リ ン ク ス イ ン ス ト ール デ ィ レ ク ト リ か ら プ ロ ジ ェ ク ト フ ァ イ ルを移動 し ておかな
い と 、 削除 さ れて し ま い ます。
Linux ザ イ リ ン ク ス の Design Suite 製品を ア ン イ ン ス ト ールす る には、シ ェ ルでザ イ リ ン ク ス イ ン ス ト ール デ ィ レ ク ト リ を
削除 し ます。
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
13
第 2章
ラ イ セ ン スの取得および管理 ザ イ リ ン ク ス の製品 ラ イ セ ン ス (Product Licensing) サ イ ト か ら は、ザ イ リ ン ク ス ソ フ ト ウ ェ アお よ び IP 製品のダ ウ ン
ロ ー ド 、ラ イ セ ン ス、評価な ど のオン ラ イ ン サービ ス を利用で き ます。こ の章では、製品 ラ イ セ ン ス サ イ ト の FLEXnet
ラ イ セ ン ス生成機能ついて説明 し てい ます。
製品ラ イ セ ン ス サイ ト へのア ク セス
製品 ラ イ セ ン ス (Product Licensing) サ イ ト には、 生成す る ラ イ セ ン ス の種類に よ っ て さ ま ざ ま な方法でア ク セ ス で き
ます。
•
製品を購入 し た場合は、 注文確認の電子 メ ールに含まれ る リ ン ク を ク リ ッ ク し ます。 こ の リ ン ク を ク リ ッ ク す る
と 、 購入 し た製品の権利情報を含むア カ ウ ン ト に直接ア ク セ ス で き ます。
•
ISE® Design Suite 製品を評価す る 目的の場合は、 http://japan.xilinx.com/ise_eval にア ク セ ス し ます。
•
IP 製品を評価す る 場合は、 http://japan.xilinx.com/ipcenter の該当する IP 製品ページの [評価] リ ン ク を ク リ ッ ク し
ます。
•
製品 ラ イ セ ン ス サ イ ト には、 http://japan.xilinx.com/getlicense か ら 直接ア ク セ ス で き ます。
X-Ref Target - Figure 2-1
図 2‐1 : サイ ン イ ン ページ
まず、 ザ イ リ ン ク ス のサ イ ト にサ イ ン イ ンす る 必要があ り ます。 既にザ イ リ ン ク ス ユーザー ア カ ウ ン ト をお持ちの
場合は、 ユーザー ID と パ ス ワ ー ド を入力 し て、 登録情報が正 し いか ど う か を確認 し ます。 ア カ ウ ン ト を ま だ作成 し
ていない場合は、 [Create Account] ボ タ ン を ク リ ッ ク し 、 ア カ ウ ン ト を作成 し て く だ さ い。
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
14
製品ラ イ セ ン スのア カ ウン ト
製品ラ イ セ ン スのア カ ウン ト
デザ イ ン ツールま たは IP 製品をザ イ リ ン ク ス か ら 購入す る と 、 ラ イ セ ン ス を購入 し た こ と にな り 、 その製品のア ッ
プデー ト を使用す る 権利が 1 年間あ り ます。 ザ イ リ ン ク ス デザ イ ン ツールお よ び IP 製品を使用す る ラ イ セ ン ス は、
その ラ イ セ ン ス の状況に よ っ て異な り ます。 ラ イ セ ン ス は、 次の要件で決ま り ます。
•
購入 し た製品
•
購入 し た ラ イ セ ン ス数
•
ラ イ セ ン ス タ イ プ (フ ロ ーテ ィ ン グ ま たは ノ ー ド ロ ッ ク )
•
製品有効期間 (製品ア ッ プデー ト は 1 年間入手可能)
こ のサ イ ト か ら は、 購入 し たデザ イ ン ツールお よ び IP の製品権利だけでな く 、 無償の製品や評価版 製品な ど の ラ イ
セ ン ス に も ア ク セ ス で き ます。 フル ラ イ セ ン スお よ び無償 ラ イ セ ン ス の場合は、 1 年間の有効期限があ り ます。 デザ
イ ン ツールの評価版は 30 日、 IP の評価版は 120 日間の有効期限があ り ます。
ラ イ セ ン ス を有効にす る と 、ウ ェ ブサ イ ト で ラ イ セ ン ス フ ァ イ ルが生成 さ れます。ラ イ セ ン ス フ ァ イ ルを イ ン ス ト ー
ルす る と 、 購入ま たは評価中の ソ フ ト ウ ェ アお よ び IP の使用が有効にな り ます。 ラ イ セ ン スや ラ イ セ ン ス フ ァ イ ル
はザ イ リ ン ク ス ウ ェ ブサ イ ト で管理 し ます。
ラ イ セ ン ス ア カ ウ ン ト は、 ザ イ リ ン ク ス ソ フ ト ウ ェ ア購入 リ ス ト に掲載 さ れてい る 各ユーザーに与え ら れ、 エ ン ド
ユーザーま たは管理者のいずれか と し て登録 さ れます。管理者が 1 人の場合は同 じ ラ イ セ ン ス ア カ ウ ン ト ですべての
購入を管理で き ま す。 企業の場合は、 異な る 管理者に よ っ て複数のア カ ウ ン ト を持つ こ と がで き る ので、 デザ イ ン
チームが複数存在 し 、 別々のプ ロ ジ ェ ク ト を し てい る 場合や予算が異な る 場合な ど に便利です。
注記 : ラ イ セ ン ス フ ァ イ ルは、 製品権利の期限が切れていて も 生成で き 、 有効期限 ま でに リ リ ー ス さ れてい る バー
ジ ョ ンに対 し ては使用で き ます。 ただ し 、 ラ イ セ ン ス の有効期限後に リ リ ース さ れた製品に対 し て、 こ の ラ イ セ ン ス
を使用す る と エ ラ ーにな り ます。
ISE Design Suite の LogiCORE IP ラ イ セ ン スの生成
IP お よ び購入済みデザ イ ン ツール ラ イ セ ン ス はすべて、 製品 ラ イ セ ン ス サ イ ト に ロ グ イ ンす る と リ ス ト さ れ ます。
評価用 ラ イ セ ン スお よ び無償 IP の ラ イ セ ン ス も 同 じ サ イ ト か ら 入手で き ます。すべてのデザ イ ン ツールお よ び IP の
ラ イ セ ン ス が 1 度で生成で き 、 1 つの ラ イ セ ン ス フ ァ イ ルが メ ールで送信 さ れ ます。 ま た、 IP コ アの FLEXnet ラ イ
セ ン ス には、 シ ン グルま たは ト リ プル リ ダ ン ダ ン ト フ ロ ーテ ィ ン グ サーバーな ど の ラ イ セ ン ス オプシ ョ ンがあ り 、
イ ーサネ ッ ト MAC ア ド レ ス、ハー ド ド ラ イ ブ シ リ アル番号、USB ド ン グル ID な ど の ノ ー ド ロ ッ ク ラ イ セ ン ス フ ァ
イ ルのホ ス ト オプシ ョ ン も 選択で き ます。
ユーザー タ イ プ と ア ク シ ョ ン
製品 ラ イ セ ン ス サ イ ト には、 カ ス タ マ ア カ ウ ン ト 管理者、 エン ド ユーザー、 評価ユーザーの 3 つのユーザー タ イ プ
のいずれかでア ク セ ス で き ます。
カ ス タ マ ア カ ウン ト 管理者
各ア カ ウ ン ト に、 必ず 1 人のカ ス タ マー ア カ ウ ン ト 管理者が必要です。 カ ス タ マ ア カ ウ ン ト 管理者は、 複数のア カ
ウ ン ト を管理で き ます。
カ ス タ マ ア カ ウ ン ト 管理者には、 次の よ う な権限があ り ます。
•
ザ イ リ ン ク ス ソ フ ト ウ ェ アお よ び IP 製品の ノ ー ド ロ ッ ク ま たはフ ロ ーテ ィ ン グ ラ イ セ ン ス の生成
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
15
ラ イ セ ン ス フ ァ イルの修正
•
ア カ ウ ン ト へのユーザーの追加 と 削除
•
ほかのユーザーへの管理者権限の割 り 当て
カ ス タ マー ア カ ウ ン ト 管理者の情報は、製品注文の過程で送付先 と し て指定 さ れ、 管理者の電子 メ ール宛に購入 し た
製品のダ ウ ン ロ ー ド お よ び ラ イ セ ン ス情報な ど が送付 さ れます。カ ス タ マー ア カ ウ ン ト 管理者が電子 メ ールの リ ン ク
を ク リ ッ ク す る こ と で、 購入 し た製品へのア ク セ ス がで き る よ う にな り ます。
エ ン ド ユーザー
エン ド ユーザーを ア カ ウ ン ト に追加す る と 、 ラ イ セ ン ス フ ァ イ ルの管理や生成を各エン ジニアやデザ イ ン チーム メ
ンバーな ど が管理で き る よ う にな り ます。 エン ド ユーザーは、 ア カ ウ ン ト 内で ノ ー ド ロ ッ ク 付 き ラ イ セ ン ス フ ァ イ
ルを生成で き る ほか、 評価版の入手、 デザ イ ン ツールや IP 製品の無料 ラ イ セ ン ス フ ァ イ ル も 生成で き ます。 カ ス タ
マー ア カ ウ ン ト 管理者は、 エン ド ユーザーがフ ロ ーテ ィ ン グ ラ イ セ ン ス を生成で き る よ う に、 エン ド ユーザーのア
カ ウ ン ト を設定で き ます。 ただ し 、 エン ド ユーザーには、 次の よ う な制限があ り ます。
•
デフ ォ ル ト の設定の ま ま ではフ ロ ーテ ィ ン グ ラ イ セ ン ス フ ァ イ ルを生成で き ません。 こ の権限は、 カ ス タ マ ア
カ ウ ン ト 管理者が設定で き ます。
•
ほかのユーザーが生成 し た ラ イ セ ン ス フ ァ イ ルは表示 さ れません。
•
ほかのユーザーをサ イ ト か ら 追加ま たは削除で き ません。
評価ユーザー
評価ユーザーは、 次を実行で き ます。
•
ISE Design Suite の 30 日間無償の評価版 ラ イ セ ン ス フ ァ イ ルを生成で き ます。
•
評価版お よ び無償の IP 製品の ラ イ セ ン ス フ ァ イ ルを生成で き ます。
•
ISE Design Suite の WebPACK ツール ラ イ セ ン ス を生成で き ます。
注記 : ISE Design Suite 製品エデ ィ シ ョ ン の フ ル バージ ョ ン の ラ イ セ ン ス を既に持っ てい る 場合は、 それ以外の ISE
Design Suite 製品エデ ィ シ ョ ン ま たは IP を試用で き ます。 こ れ ら の ラ イ セ ン スは同 じ ア カ ウ ン ト で入手で き る よ う に
な っ てい ます。
ど の タ イ プのユーザーで も 、 製品の電子ダ ウ ン ロ ー ド 、 ISE Design Suite の DVD の請求がで き ます。
ラ イ セ ン ス フ ァ イルの修正
ラ イ セ ン ス フ ァ イ ルは、 製品 ラ イ セ ン ス (Product Licensing) サ イ ト の [Create New Licenses] タ ブか ら 生成 し ます。 購
入済みま たは評価す る ソ フ ト ウ ェ ア ツールお よ び IP 製品が表に リ ス ト さ れます。
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
16
ラ イ セ ン ス フ ァ イルの修正
X-Ref Target - Figure 2-2
図 2‐2 : 新規ラ イ セ ン スの作成
製品の選択
購入済みま たは評価す る 製品の ラ イ セ ン ス を、 次の手順で生成 し ます。
1.
[Account] ド ロ ッ プダ ウ ン リ ス ト か ら ア カ ウ ン ト を選択 し ます。
注記 : 評価版ま たは無償の製品を使用す る 場合は、 こ の ド ロ ッ プダ ウ ン リ ス ト は表示 さ れません。
2.
キ ッ ト と 一緒に購入 し た ソ フ ト ウ ェ ア ま たは IP 製品 ラ イ セ ン ス のバ ウ チ ャ ー コ ー ド があ る 場合は、 それを入力
し ます (オプシ ョ ン)。
3.
表か ら 評価版ま たは無料の IP 製品を選択 し ます (オプシ ョ ン)。
4.
表か ら 製品を選択 し ます。
ラ イ セ ン ス の種類は、 Full (購入済み)、 No Charge (無償)、 Evaluation (評価) のいずれかにな り ます。 フル ラ イ セ ン ス
お よ び無償 ラ イ セ ン ス の場合は、 1 年間の有効期限があ り ます。 デザ イ ン ツールの評価版は 30 日、 IP の評価版は 120
日間の有効期限があ り ます。
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
17
ラ イ セ ン ス フ ァ イルの修正
フ ロ ーテ ィ ン グ ラ イ セ ン ス と ノ ー ド ロ ッ ク ラ イ セ ン ス を同 じ ラ イ セ ン ス フ ァ イ ルに混ぜ る こ と はで き ません。
注記 : フ ロ ーテ ィ ン グ ラ イ セ ン ス はネ ッ ト ワー ク サーバーにのみ置 く こ と がで き 、アプ リ ケーシ ョ ン を起動す る と ラ
イ セ ン ス がチ ェ ッ ク ア ウ ト さ れます。 同時に使用で き る ユーザーの数は、 購入 し た ラ イ セ ン ス の数に よ っ て異な り ま
す。 ノ ー ド ロ ッ ク ラ イ セ ン ス の場合は、 特定のマシ ンに 1 ラ イ セ ン ス の使用が認め ら れます。
デザ イ ン ツールの場合、 表の [Available Seats] に購入 し た ラ イ セ ン ス の総数が表示 さ れます。 IP の場合、 ラ イ セ ン ス
はサ イ ト の契約に従っ て管理 さ れます。
表の [Status] に 「Current」 と 表示 さ れてい る 場合は、 その製品が保証期間内にあ る こ と を示 し 、 「Expired」 と 表示 さ
れてい る 場合は、 その保証期間が終了 し た こ と を示 し てい ま す。 [Available Seats] の数が ま だあ る 場合は、 「Current」
ま たは 「Expired」 の製品の ラ イ セ ン ス を生成で き ます。
ISE Design Suite の System Edition Evaluation は ISE Design Suite ツール セ ッ ト すべての機能にア ク セ ス で き る 評価版で
す。 こ の ラ イ セ ン ス は自動的にア カ ウ ン ト に含まれます。
デザ イ ン ツールお よ び IP 製品 ラ イ セ ン ス の製品バ ウ チ ャ ーは、 ザ イ リ ン ク ス ま たはパー ト ナーの開発ボー ド ま たは
デザ イ ン キ ッ ト と 共に送信 さ れます。製品バ ウ チ ャ ー カー ド を所持 し てい る 場合は、カー ド に記述 さ れたバ ウ チ ャ ー
コ ー ド を テ キ ス ト フ ィ ール ド に入力 し 、 [Redeem Now] ボ タ ン を ク リ ッ ク し ます。 こ れで、 該当する デザ イ ン ツール
ま たは IP 製品が表に追加 さ れ、 ラ イ セ ン ス が生成で き る よ う にな り ます。
ページ内の [Add Evaluation and No Charge IP Cores] セ ク シ ョ ンで [Search Now] ボ タ ン を ク リ ッ ク す る と 、 次の よ う な
ページが表示 さ れ、 評価版お よ び無償の IP を検索 し て製品 リ ス ト に追加で き ます。
X-Ref Target - Figure 2-3
図 2‐3 : IP 製品の選択
注記 : IP 製品は、 通常サ イ ト ラ イ セ ン ス と し て販売 さ れてお り 、 管理者が フ ロ ーテ ィ ン グ ラ イ セ ン スお よ び ノ ー ド
ロ ッ ク ラ イ セ ン ス タ イ プの ラ イ セ ン ス フ ァ イ ルを生成で き る よ う にな っ てい ます。 エン ド ユーザーの場合は、 ノ ー
ド ロ ッ ク ラ イ セ ン ス製品 し か表示 さ れません。 カ ス タ マ ア カ ウ ン ト 管理者、 ま たはフ ロ ーテ ィ ン グ ラ イ セ ン ス の生
成を管理者か ら 許可 さ れたエン ド ユーザーの場合は、 ノ ー ド ロ ッ ク と フ ロ ーテ ィ ン グの両方が表示 さ れます。
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
18
ラ イ セ ン ス フ ァ イルの修正
ラ イ セ ン スの生成
生成す る ラ イ セ ン ス の種類に よ っ て、 [Generate Node-Locked License] ま たは [Generate Floating License] ボ タ ン が表示
さ れます。 [Generate Floating License] を ク リ ッ ク する と 、 次の よ う な ラ イ セ ン ス生成フ ォームが表示 さ れます。
X-Ref Target - Figure 2-4
図 2‐4 : フ ローテ ィ ング ラ イ セ ン スの生成
こ の フ ォーム を次の よ う に変更 し ます。
1.
各製品の ラ イ セ ン ス数を選択 し ます。
[Available Seats] の数は、 シ ス テ ムに よ り 自動的に管理 さ れます。 シー ト 数が ラ イ セ ン ス の数に達 し ていない場合
は、 [Requested Seats] フ ィ ール ド が表示 さ れ ま す。 有効化 さ れた ラ イ セ ン ス数がシー ト 数に達す る と 、 表か ら そ
の製品が削除 さ れます。
2.
シ ス テ ム情報を入力 し ます。
ザ イ リ ン ク ス ラ イ セ ン ス コ ン フ ィ ギ ュ レ ー シ ョ ン マ ネ ー ジ ャ ー (XLCM) 内の リ ン ク か ら 製品 ラ イ セ ン ス
(Product Licensing) サ イ ト にア ク セ ス し た場合、 オプシ ョ ン メ ニ ュ ーにシ ス テ ム情報があ ら か じ め入力 さ れてい
ます。
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
19
ラ イ セ ン ス フ ァ イルの修正
[Redundant Server] を [Yes] に し てお く と 、 ラ イ セ ン ス マネージ ャ ー ソ フ ト ウ ェ アの フ ァ イ ル オーバー機能がオ
ンにな る ので、 3 つのサーバーの う ち 2 つが実行 さ れてい る 限 り 、 ラ イ セ ン ス マネージ ャ は続行 し て実行 さ れま
す。
シ ス テ ム情報が入力 さ れていない場合は [Select a host]、 別のホ ス ト を追加す る 場合は [Add a host] を ク リ ッ ク し 、
ホ ス ト を追加 し ます。
X-Ref Target - Figure 2-5
図 2‐5 : ホス ト の追加
ホ ス ト ID と は、 ソ フ ト ウ ェ ア ま たは IP の ラ イ セ ン ス が与え ら れたマシ ン を識別す る 値で、 MAC ア ド レ ス、 ハー
ド ド ラ イ ブのシ リ アル番号、 ド ン グル ID、 Solaris ホ ス ト ID な ど を選択で き ます。
注記 : ホ ス ト ID の タ イ プすべてが全 OS でサポー ト さ れてい る わけではあ り ません。ホ ス ト ID を取得す る には、
ラ イ セ ン ス ホ ス ト と な る マシ ンで XLCM を実行す る のが一番簡単な方法です。
3.
コ メ ン ト を記述 し ます。
コ メ ン ト を追加す る と 、 管理者が ソ フ ト ウ ェ アや IP の ラ イ セ ン ス を ユーザー間で ど の よ う に分けたかな ど の記
録を残す こ と がで き ます。
4.
[Next] を ク リ ッ ク し ます。
次の よ う な ラ イ セ ン ス リ ク エ ス ト を確認する フ ォームが表示 さ れます。
X-Ref Target - Figure 2-6
図 2‐6 : ラ イ セ ン ス リ ク エス ト の確認
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
20
ラ イ セ ン ス フ ァ イルの管理
5.
内容を確認 し ます。
6.
入力後、 [Next] を ク リ ッ ク し ます。
エ ン ド ユーザー ラ イ セ ン ス契約
ISE Design Suite ソ フ ト ウ ェ ア ツール と 無償 IP のエン ド ユーザー ラ イ セ ン ス契約 (EULA) は、製品の イ ン ス ト ール プ
ロ セ ス中に許諾 さ れます。 IP 製品の ラ イ セ ン ス を取得す る には、 ラ イ セ ン ス フ ァ イ ルを生成する 前に該当する IP 製
品の EULA を許諾す る 必要があ り ます。
サー ド パーテ ィ のラ イ セ ン ス
サー ド パーテ ィ ラ イ セ ン ス の コ ピーは、 <install_directory>/common/licenses/unified_3rd_party_eulas.txt に含まれます。
ラ イ セ ン ス生成の確認
ラ イ セ ン ス生成プ ロ セ ス が終了 し た ら 、 次の よ う な確認 メ ッ セージが表示 さ れます。
X-Ref Target - Figure 2-7
図 2‐7 : ラ イ セ ン ス生成の確認
ラ イ セ ン ス を生成す る と 、 確認 メ ール も 送信 さ れます。 こ の メ ッ セージには、 生成 し た ラ イ セ ン ス フ ァ イ ルが添付 さ
れます。 ア ド レ ス ブ ッ ク に [email protected] を信頼する 送信者 と し て追加 し ておいて く だ さ い。
ラ イ セ ン ス フ ァ イルの管理
製品 ラ イ セ ン ス のサ イ ト では、 生成 し た ラ イ セ ン ス フ ァ イ ルの記録が残 り ます。 [Manage Licenses] タ ブには、 そのア
カ ウ ン ト で生成 し た ラ イ セ ン ス フ ァ イ ルすべてが表示 さ れます。
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
21
ラ イ セ ン ス フ ァ イルの管理
X-Ref Target - Figure 2-8
図 2‐8 : ラ イ セ ン スの管理
[Manage Licenses] タ ブか ら は、 必要に応 じ て次を実行で き ます。
既存ラ イ セ ン ス フ ァ イルの検索 と 抽出
ユーザーのア カ ウ ン ト か ら 生成 し た ラ イ セ ン ス フ ァ イ ルに関す る 情報は、 マ ス タ ー ビ ュ ー と 詳細ビ ュ ーに表示 さ れ
ます。 上部の表 (マ ス タ ー ビ ュ ー ) で行を ク リ ッ ク す る と 、 その ラ イ セ ン ス の詳細情報が下部の表 (詳細ビ ュ ー ) に表
示 さ れます。 詳細ビ ュ ーの表には、 次の情報が含まれます。
•
その フ ァ イ ルで有効にな っ た製品の リ ス ト
•
その フ ァ イ ルに関連す る コ メ ン ト
こ の表では、 次が実行で き ます。
•
ラ イ セ ン ス フ ァ イ ルを ダ ウ ン ロ ー ド ま たは メ ール送信
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
22
ユーザー ア ク セスの管理
•
ラ イ セ ン ス フ ァ イ ルを開いて内容を コ ピー
•
ラ イ セ ン ス フ ァ イ ルを削除
•
許諾 し たエン ド ユーザー ラ イ セ ン ス契約を表示
ラ イ セ ン ス フ ァ イルの修正
既存の ラ イ セ ン ス フ ァ イ ルを修正す る には、 マ ス タ ー ビ ュ ーでその ラ イ セ ン ス フ ァ イ ルを選択 し 、 下の詳細ビ ュ ー
で [Modify License] を ク リ ッ ク し ます。 こ こ では、 次を修正で き ます。
•
ラ イ セ ン ス サーバー ホ ス ト の変更
•
既存の ラ イ セ ン ス のシー ト 数を変更ま たは削除 (フ ロ ーテ ィ ン グ ラ イ セ ン ス のみ)
•
既存の製品 ラ イ セ ン ス シー ト 数の無効化や削除
•
別の製品の ラ イ セ ン ス を追加
•
ラ イ セ ン ス フ ァ イ ルか ら 製品 ラ イ セ ン ス の無効や削除
無効にな っ た製品ラ イ セ ン スの有効化
製品 ラ イ セ ン ス は、 次のいずれかが実行 さ れ る と 無効にな り ます。
•
ラ イ セ ン ス サーバー ホ ス ト の変更
•
既存の製品 ラ イ セ ン ス シー ト 数の無効化や削除
•
ラ イ セ ン ス フ ァ イ ルか ら 製品 ラ イ セ ン ス の無効や削除
無効に さ れた シー ト ま たは製品 ラ イ セ ン ス は [Create Licenses] タ ブで有効にする と 、再び発行で き る よ う にな り ます。
ラ イ セ ン ス を再発行す る 前に、 まず破棄証書文を許諾 し ます。 こ の法的契約は、 無効にな っ た製品 ラ イ セ ン ス が使用
さ れていない こ と を確認す る ために必要です。
再発行の数は、 ユーザーご と に記録 さ れます。 製品 ラ イ セ ン ス は リ リ ース ご と に管理者は 5 回、 エン ド ユーザーは 3
回再発行で き ます。
ユーザー ア ク セスの管理
各ユーザーのア カ ウ ン ト の権限は、 変更可能です。 ア カ ウ ン ト へのユーザーの追加や削除は、 [Manage Users] タ ブか
ら 実行 し ます。
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
23
ユーザー ア ク セスの管理
X-Ref Target - Figure 2-9
図 2‐9 : ユーザーの管理
ユーザーの追加
ア カ ウ ン ト にユーザーを追加す る には
•
新 し いユーザーの会社の電子 メ ール ア ド レ ス を入力 し ます。
•
管理者権限を与え る 場合は、 [Add as a full administrator] チ ェ ッ ク ボ ッ ク ス を オンに し ます。 フ ロ ーテ ィ ン グ ラ イ
セ ン ス を生成す る 権限を与え、 管理者権限を与えない場合は、 [Allow Floating Licenses] チ ェ ッ ク ボ ッ ク ス を オン
に し ます。
注記 : 入力す る 電子 メ ール ア ド レ ス は、そのユーザーがザ イ リ ン ク ス ア カ ウ ン ト を作成 し た と き に使用 し た ア ド レ ス
と 同 じ であ る 必要があ り ます。 別のア ド レ ス を使用する と 、 ロ グ イ ン し た と き にそのユーザーが正 し く 認識 さ れない
可能性があ り ます。
ユーザーが既に製品 ラ イ セ ン ス サ イ ト にア ク セ ス し た こ と のあ る 場合、 名前が自動的にユーザー リ ス ト に表示 さ れ
ます。 こ のサ イ ト にア ク セ ス し た こ と がない場合は、 名前の と こ ろに [Not Yet Registered] と 表示 さ れます。 ユーザー
がサ イ ン イ ン をす る と 、 名前が表示 さ れます。
管理者権限のないエン ド ユーザー ([Add as full administrator] と [Allow Floating Licenses] チ ェ ッ ク ボ ッ ク ス の両方を オ
フ に し た場合) は、 次の機能が使用で き ます。
•
ノ ー ド ロ ッ ク ラ イ セ ン ス のみ生成可能
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
24
ラ イ セ ン ス フ ァ イルのイ ン ス ト ール
•
自分自身で生成 し た ラ イ セ ン ス フ ァ イ ルのみ表示お よ び修正可能
•
ユーザー管理は不可
[Allow Floating Licenses] のみを オンに し た場合は、 フ ロ ーテ ィ ン グ ラ イ セ ン ス フ ァ イ ルの生成はで き ますが、 その他
の制限はその ま ま です。 管理者権限には フ ロ ーテ ィ ン グ ラ イ セ ン ス を 生成す る 権限が既に含 ま れ る た め、 両方の
チ ェ ッ ク ボ ッ ク ス をオンにす る こ と はで き ません。
ユーザーの削除
管理者権限ま たはフ ロ ーテ ィ ン グ ラ イ セ ン ス生成権限は、該当する ユーザーの [Adminstrator] ま たは [Floating] チ ェ ッ
ク ボ ッ ク ス をオ フ にす る と 、 無効にで き ます。
ユーザーを ア カ ウ ン ト か ら 削除す る には、 該当す る ユーザーのゴ ミ 箱ア イ コ ン を ク リ ッ ク し ます。
ラ イ セ ン ス フ ァ イルのイ ン ス ト ール
次のセ ク シ ョ ンでは、 ラ イ セ ン ス を イ ン ス ト ールす る 方法について タ イ プ別に説明 し ます。
ノ ー ド ロ ッ ク ラ イ セ ン スのイ ン ス ト ール
ラ イ セ ン ス フ ァ イ ルを生成す る と 、 [email protected] か ら メ ールが届 き ます。
1.
こ の メ ールに添付 さ れた ラ イ セ ン ス フ ァ イ ルを ロ ーカルの一時デ ィ レ ク ト リ に保存 し ます。
2.
Xilinx License Configuration Manager を実行 し ます。
°
Windows の場合 : [ス タ ー ト ] → [すべてのプ ロ グ ラ ム] → [Xilinx ISE Design Suite 14.1] → [Accessories] → [
Manage Xilinx Licenses] を ク リ ッ ク
°
Linux の場合 : Linux シ ェ ルで xilinxnotify と 入力
3.
[Manage Xilinx Licenses] タ ブ を ク リ ッ ク し ます。
4.
ページ上部の [Copy License] ボ タ ン を ク リ ッ ク し ます。
5.
保存 し た ラ イ セ ン ス フ ァ イ ル (Xilinx.lic) を参照ボ タ ンで選択 し 、 [開 く ] を ク リ ッ ク し ます。
6.
こ れで、 ラ イ セ ン ス フ ァ イ ルが C:\.Xilinx (Windows) ま たは <Home>/.Xilinx に コ ピー さ れ、 ザ イ リ ン ク
ス ツールか ら 自動的に認識 さ れ る よ う にな り ます。
7.
[Copy License] ボ タ ン を使用す る と 、 [Manage Xilinx Licenses] タ ブの表が ラ イ セ ン ス フ ァ イ ルか ら の情報に従っ
てア ッ プデー ト さ れます。
8.
Xilinx License Configuration Manager を [Close] で終了 し ます。
サーバーへのフ ローテ ィ ング ラ イ セ ン スのイ ン ス ト ール
既存の FLEXnet ラ イ セ ン ス サーバーの場合は、[email protected] か ら 送信 さ れた ラ イ セ ン ス フ ァ イ ル
の内容を FLEXnet サーバーの既存の ラ イ セ ン ス フ ァ イ ルに コ ピー し ます。
注記 : フ ロ ーテ ィ ン グ ラ イ セ ン ス サーバーを再起動 し て、 ザ イ リ ン ク ス ラ イ セ ン ス を有効に し ます。
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
25
ラ イ セ ン ス フ ァ イルのイ ン ス ト ール
新規ラ イ セ ン ス サーバーの場合
1.
次のサ イ ト か ら サーバーの OS に最適なザ イ リ ン ク ス FLEXnet ラ イ セ ン ス ユーテ ィ リ テ ィ を ダ ウ ン ロ ー ド し ま
す。
http://japan.xilinx.com/download/index.htm
2.
こ れ ら のユーテ ィ リ テ ィ をデ ィ レ ク ト リ で解凍 し ます。 こ のデ ィ レ ク ト リ は、 アプ リ ケーシ ョ ンの検索パ ス に置
く こ と をお勧め し ます。
3.
FLEXnet ユーテ ィ リ テ ィ を イ ン ス ト ール し た ら 、次の コ マ ン ド を実行 し て、フ ロ ーテ ィ ン グ ラ イ セ ン ス サーバー
を起動 し ます。
°
°
Linux
-
<Server Tool directory>/bin/lin/lmgrd -c <path_to_license>/Xilinx.lic -l <path_to_license>/log1.log
-
<Server Tool directory> bin/lin64/lmgrd -c <path_to_license>/Xilinx.lic -l <path_to_license>/log1.log
Windows
-
< Server Tool directory>\bin\nt\lmgrd -c <path_to_license>\Xilinx.lic –l <path_to_license>\log1.log
-
< Server Tool directory>\bin\nt64\lmgrd -c <path_to_license>\Xilinx.lic –l <path_to_license>\log1.log
ク ラ イ ア ン ト マ シ ンから の フ ローテ ィ ン グ ラ イ セ ン スの指定
1.
Xilinx License Configuration Manager (XLCM) を実行 し ます。
2.
[Manage Xilinx Licenses] タ ブ を ク リ ッ ク し ます。
3.
port@server の形式で ラ イ セ ン ス サーバーへのネ ッ ト ワー ク パ ス を XILINXD_LICENSE_FILE フ ィ ール ド に入力
し 、 [Set] ボ タ ン を ク リ ッ ク し ます。 デフ ォ ル ト のザ イ リ ン ク ス ポー ト 番号は 2100 です。
4.
Linux の場合、ラ イ セ ン ス環境変数は Xilinx License Configuration Manager (XLCM) を使用 し て設定で き ません。環
境変数フ ィ ール ド は、 読み出 し 専用なので、 選択で き ない よ う に淡色表示 さ れ [Set] ボ タ ン も 表示 さ れません。 環
境変数は、 該当す る シ ェ ルお よ び コ マ ン ド を使用 し て設定す る 必要があ り ます。
イ ン ス ト ールおよび ラ イ セ ン ス ガ イ ド
UG798 (v14.1) 2012 年 5 月 8 日
japan.xilinx.com
26
Fly UP