...

ダウンロード

by user

on
Category: Documents
11

views

Report

Comments

Transcript

ダウンロード
オンライン ISSN 1347-4448
印刷版 ISSN 1348-5504
赤門マネジメント・レビュー 4 巻 1 号 (2005 年 1 月)
〔研 究 会 報 告〕コンピュータ産業研究会
2004 年 12 月 1 日
1
TSMC テクノロジー・プラットホームについて
石原
宏2
TSMC Japan 株式会社 Field Technical Support Group Manager
E-mail: [email protected]
要約:半導体業界において、その製造技術は、0.13μm、90nm そして 65nm へと急速
に進んでいる。その中で製品開発のパターン、手法、市場の様々な要求等、多様な変
化が出てくる。また、アプリケーションの多様化に伴うテクノロジーに対する要求、
あるいは、それに伴うサービスも異なってくる。こうした中で、顧客の多様なニーズ
に対応するため、TSMC はプラットフォーム戦略を導入した。半導体業界では、如何
に Time-to-Volume を早く達成するかが競争力の鍵である。そのため、様々なプロセス
技術を持ったテクノロジーとサービスをうまく融合させなければならない。また、設
計、開発そして製造が一体になりつつある中で、顧客がリーダーシップを発揮できる
ように、プラットフォーム戦略を構築している。TSMC のプラットフォーム戦略には、
テクノロジーにより、Advanced Technology Platform と Mainstream Technology
Platform の二つがある。Advanced Technology Platform では 0.13μm、90nm、65nm
が中心となり、Mainstream Technology Platform はマチュアな技術である 0.15μm、
0.18μm、0.25μm、0.35μm、0.5μm が中心となっている。
1. TSMC の事業活動報告
92 年から 2003 年までの CAGR(年間平均成長率)は 33%の成長を示しており、単年度で
見ると、2003 年の売上(2002 年対比)は 26%の伸びであった。2004 年の第 1、2、3 四半期
を合計すると、既に 2003 年の通年売上額(約 6800 億円)に達していると予測される。また、
2004 年度前半期(1H04)の市場規模は、ファンドリー業界では 7.5 億ドルで、TSMC はその
約 49%のシェアを確保している(UMC は 24%、Chartered は 8%、その他が 19%)。
1
2
本稿は 2004 年 12 月 1 日開催のコンピュータ産業研究会での報告を許経明(東京大学大学院)が記
録し、本稿掲載のために報告者の加筆訂正を経て、GBRC 編集部が整理したものである。文責は
GBRC に、著作権は報告者にある。内容の引用または複製には著作権者の許可を必要とする。
協同電子技術研究所で 3 年半 IC の設計に従事、TI ジャパンで 20 年間 8 ビットから 16 ビットまで
のマイコンの開発に携わる。4 年前から現在に至るまでは、ティーエスエムシージャパン株式会社
でフィールド・テクニカル・サポート・グループ・マネージャーとして、ファンドリー・ビジネス・
プロモーション、技術的なサポートなどの活動を行っている。
45
©2005 Global Business Research Center
www.gbrc.jp
コンピュータ産業研究会
2004 年 12 月 1 日
テクノロジー別の売上げ分布においては、2004 年第 2 四半期で、マチュアな技術が 75%
(0.15µm が 13%、0.18µm が 29%、0.25µm が 15%、0.35µm が 10%、0.5µm が 8%)と、依然
として多数を占めている。その一方、0.13µm が急速に増えてきており、売上げに占める率
が約 25%に達している。0.13µm は SoC に多く使われている。アプリケーション別の売上げ
分布は、2004 年第 2 四半期で、コンピュータが 27%、コミュニケーション(携帯電話など
を含む)が 45%、コンシューマが 22%である。また、生産能力は、2003 年は 430 万枚、2004
年は 500 万枚を突破する見込みである。従業員数は、2003 年は 16066 人であったが、2004
年は 19197 人に増加した。
2003 年には、世界全体で 6400 万枚の 8 インチ・ウェハが出荷されたという。各社のキャ
パシティは、インテルが 7.5%、三星が 7.2%、Hynix が 6.9%、TSMC が 6.3%、TI が 5.5%、
Renesas が 5.3%となっている。TSMC の 2004 年のキャパシティは、2003 年の 430 万枚と比
べて約 20%増の 500 万枚になる見込みである。また、300mm ウェハの製造工場として、新
竹の FAB12 と台南の FAB14 がある。2004 年第 1 四半期を見ると、FAB12 の出荷実績は既に
7 万 4 千枚を超え、FAB14 も 3 万枚の量産を達成している。2004 年 9 月の時点で Low-K プ
ロセス製品の出荷は 8 万枚になり、
8 インチの 0.13µm ウェハ出荷も 100 万枚に達した。Nexsys
90nm/300mm に関しては、現在までに 80 製品が量産または開発段階にあり、2004 年末まで
に 40 件以上の製品テープアウトの見込みがある。これは、顧客の技術選択が、予想より早
く、0.13µm から 90nm へシフトしたことを意味しているのではないか。また、中国には半導
体の需要があるため、上海の松江に FAB10 を設立した。FAB10 では、2004 年の第 4 四半期
から量産を開始し、8 インチ・ウェハで月産 3 万 5 千枚を予定している。
2. テクノロジーとアプリケーション
ムーアの法則では IC の集積度が 18 ヶ月で 2 倍になる。これに沿って、ITRS(International
Technology Roadmap for Semiconductors)が Technology Generation を常時公表している。ここ
で注目されるのは、TSMC の量産化が、常に ITRS のロードマップより早く推移している点
である。例えば、TSMC は 2001 年に 0.13µm、2003 年に 90nm のプロセス・テクノロジーを、
それぞれ ITRS の Technology Generation に、1 年、1 年半以上先んじて量産化している。これ
を踏まえるならば、市場のテクノロジーに対する要求は、予想よりはるかに急速に高度化し
つつあると考えられる。
IC に対する需要は、技術的な側面とアプリケーションの多様化の側面からくるものであ
る。技術的な側面では、原価削減に導くチップサイズの縮小、スピードと消費電力など性能
の向上、機能およびフォームファクタを要求する高集積度、といったスケーリング(微細化)
46
コンピュータ産業研究会
2004 年 12 月 1 日
を促進する駆動力がある。また、Wireless(Handsets, WLAN, WiMax, Bluetooth, etc.)
、Broadband
(DSL, GNIC, UWB, etc.)、Digital Entertainment(DVD, DTV, Games, etc.)、Displays(TFT-LCD,
Plasma, etc.)、Sensors(CIS, CCD, RFID, etc.)など、アプリケーションもますます多様化し
ている。
顧客は単に最も安いウェハを探しているわけではない。例えば、先述したように、TSMC
の 0.13µm プロセスの需要がますます増加している。顧客は先端技術のプロセスを駆使して、
決して安くはないウェハをベースとした製品の開発に力を注ぎ込んでいるのである。これは、
必要な機能を SoC にワンチップ化し、タイムリーに量産化を実現すると共に、システム全
体のコスト削減を可能にできるからである。また、顧客のニーズが進化しているため、アプ
リケーションの多様化、効率の良い製品開発、製品差別化、合理的なロジスティックスなど
も重要になってくる。このため、TSMC は、多くのアプリケーション対応のプロセス、3 IP/
ライブラリやデザイン・サービス、4 差別化やカスタマイズへの対応、チップサイズの縮小
化と高い歩留まり、そしてサプライチェーン・マネジメント5 &コラボレーション、6 これら
をプラットフォーム・ソリューションとして提供しなければならない。
3. TSMC プラットフォーム・ソリューション
TSMC のプラットフォーム・ソリューションには三つのレベルがある。レベル 1 のコア・
テクノロジーには、基本的に汎用品のロジック・プロセス(G:General Purpose、LV:High Speed、
LP:Low Power)がある。また、TSMC-online を通じて、顧客の IC に関する設計、製造情報
を随時 WEB で公開する e-Foundry と、マスク製造がある。レベル 2 においては、出来上が
ったテクノロジーをベースとして、フラッシュメモリ、MS/RF、High Voltage などの派生テ
クノロジーをつくり、様々なアプリケーションに対応している。これは、IP および Library
を常に充実しなければならないということである。また、必要な IP がなく、開発しなけれ
ばならないときに、TSMC の CyberShuttle を通じて、比較的安いマスクで開発し、実際にウ
ェハを流して確認することができる。レベル 3 には種々の特殊なアプリケーション、顧客の
特殊な技術要求、特殊な IP がある。この三つのレベルを通じて、顧客のカスタマイズニー
ズ(ASSP(特殊標準 IC)、CSSP(顧客特殊 IC)、SoC、ASIC、Turnkey)に対応している。
簡単にまとめると、TSMC のプラットフォーム戦略とは、顧客からの特定仕様のアプリケ
3
4
5
6
それぞれのアプリケーションに適合するプロセス・テクノロジーが異なる。
Time-to-Volume に導く効率製品開発のため、顧客に検証済み IP や Cell Libraries の用意、そしてデザ
イン・サービスも提供している。
マスク、アセンブリ、パッケージングなどを一貫管理しなければならない。
IC の協同開発およびパートナーシップの強化。
47
コンピュータ産業研究会
2004 年 12 月 1 日
ーションやカスタム化の要求に対し、その要求を満たす製品を設計、製造できるように対応
したファンドリー・ソリューションなのである。その実現のために、プラットフォームはコ
ア・テクノロジーとサービス環境、技術とサービスの提供を容易にするアプリケーション/
カスタマ特有の技術やサービス(Application Specific Technologies、Application/Customer
Specific Services、Application/Customer Specific IP)、豊富な製造経験と生産能力によってサポ
ートされる厳しく強化された品質システムから構成されている。
TSMC のテクノロジー・プラットフォームは二つに分かれている。ひとつは 0.13µm、90nm、
65nm を中心とする Advanced Technology Platform であり、もうひとつは、マチュアな技術で
ある 0.15µm、0.18µm、0.25µm、0.35µm、0.5µm を中心とする Mainstream Technology Platform
である。二つに分けた理由は、顧客とのすり合わせの度合いが違うためである。Advanced
Technology Platform を使用する場合、Mainstream Technology Platform より、顧客とのすりあ
わせの程度が高い。
Advanced Technology Platform のレベル 1 の基本的なコア・テクノロジーには、0.13µm、90nm、
65nm のプロセス
(Logic、
Low Voltage、High Speed、Low Power)がある。レベル 2 では Embedded
Memory、Mixed Signal/RF、標準 Cell Libraries、Memory Compilers、I/Os、Fundamental IP を
提供し、また、設計と製造の間の技術的なギャップ7 を埋めるために Reference Flow8 を提
供する。Reference Flow を使うことで、IC のデザインの際に、Timing Closure、Signal Integrity
などの設計課題をクリアできる。レベル 3 に Half-node Technologies、9 Phase-in Processes10 が
ある。また、様々な顧客が要求した IP、I/Os、レイアウトなどの開発を行う。また、e-Reliability
を通じて、IC の製造にあたりどのくらいの信頼性があるのかを、WEB 上で予測できる。最
後に最も重要なのは、DFM(Design for Manufacturing)である。DFM の導入により、安定し
た製造が可能になる。これらの要素により GPU、HDD、SRAM などの製品のテクノロジー
を提供する。
Mainstream Technology Platform には、基本的な 0.15µm、0.18µm、0.25µm、0.35µm、0.5µm
のプロセス・テクノロジーがある。また、MS/RF、1TSRAM、High V、SiGe、Power IC など
の派生テクノロジー、そして IC の検証などにより、DVD Player、WLAN などの製品テクノ
ロジーを提供する。ここでは、すでにテクノロジーが出来上がり、また IP も揃っているた
め、部分的な開発にとどまることもある。例えば、CIS Products/Modules は、Mainstream
7
設計通りにうまく製造できない問題など。
DFM に基づく設計手法。
9
例えば、0.13µm をさらに縮小させ 0.11µm にするプロセス、90nm をさらに縮小させ 80nm にするプ
ロセスなど。
10
例えば、全く存在していないテクノロジーを開発するなど。
8
48
コンピュータ産業研究会
2004 年 12 月 1 日
Technology Platform の応用の一例である。携帯電話や、デジタルカメラに使用される CIS
(CMOS Image Sensor)は、0.18µm、0.25µm/0.35µm のロジック・テクノロジーをベースに
して特殊なプロセスを追加することで実現している。イメージセンサーの中に様々なマイク
ロプロセッサ、メモリ、アナログなどを一緒に作り込むことができる。
TSMC は、2 方向に分岐した技術を開発するという戦略を取っている。ひとつは、垂直方
向であり、ムーアの法則にそった線幅など加工技術におけるリーダーシップの保持である。
もうひとつは、水平方向であり、様々なアプリケーションのニーズに応えるべく、アプリケ
ーション特有の技術を拡張する戦略である。縦方向と横方向の開発部隊により、いろいろな
アプリケーションに対応できるようなプラットフォームを構築している。
4.まとめ
TSMC プラットフォームは、顧客ごとに特定されるアプリケーション、技術、サービスに
応えるために構築されている。TSMC プラットフォームは
① Core Technology、Services and
IP、② Enabling Technology、Services and IP、③ Application/Customer Specific Technology、
Services and IP からなる。言い換えれば、ここには設計に必要な要素がすべて揃っているた
め、設計側に最大の Total-Benefits が提供されるのである。また、統合化されたテクノロジー・
プラットフォームとパートナーシップ(共同開発など)を通じて、技術革新のさらなる深化
も可能である。
最後に、TSMC が提示する成功への方程式(テクノロジー・リーダーシップ、卓越した生
産、カスタマー・パートナーシップ)は、財政的な強みと高い生産能力をベースにしている。
テクノロジー・リーダーシップにおいては、90nm など先端技術を持つと同時に、様々なア
プリケーションに対応する技術も必要である。また、高い歩留まりと速い Cycletime など生
産能力が重要である。更に、顧客と、競争関係ではない、Win-Win の関係の構築も欠かせな
い。最終製品を扱わない専業ファンドリーとして、顧客に total solution service と design
collaboration を提供している。
49
コンピュータ産業研究会
50
2004 年 12 月 1 日
赤門マネジメント・レビュー編集委員会
編集長
編集委員
編集担当
新宅 純二郎
阿部 誠 粕谷 誠
片平 秀貴
高橋 伸夫
西田 麻希
赤門マネジメント・レビュー 4 巻 1 号 2005 年 1 月 25 日発行
編集
東京大学大学院経済学研究科 ABAS/AMR 編集委員会
発行
特定非営利活動法人グローバルビジネスリサーチセンター
理事長 片平 秀貴
東京都千代田区丸の内
http://www.gbrc.jp
藤本 隆宏
Fly UP