...

NGL2010プログラム

by user

on
Category: Documents
153

views

Report

Comments

Transcript

NGL2010プログラム
NGL2010プログラム
7月12日(月)
基調講演
10:00 - 10:05
委員長挨拶
石原 直(東大)
10:05 − 10:35
ナノエレクトロニクスの新しいオープンイノベーション拠点を目指して
金山 敏彦(産総研)
10:35 − 11:05
低消費電力集積回路技術の展望
桜井 貴康(東大)
11:05 - 11:30
高スループット光ナノインプリントを実現するCREST研究とその成果
松井 真二(兵県大)
11:30 - 11:55
Imprint Lithography for sub 25nm Applications - High Performance
at Low Cost
和田 英之(Molecular Imprints)
11:55 - 12:20
NILテンプレートの開発状況
林 直也、法元 盛久(大日本印刷)
ナノインプリント
12:20 − 13:30
昼食
オプティカルエクステンション
13:30 − 13:55
Enabling shrink with FlexRay programmable illumination
宮崎 順二(ASML)
13:55 − 14:20
最新ArF液浸露光装置を用いた22nmダブルパターニング
菊地 貴久(ニコン)
14:20 − 14:45
Optical Extensionによる微細化技術の現状と課題
井上 壮一(東芝)
14:45 − 15:10
ソースマスクオプティマイゼーション(SMO)技術:先端ロジックデバ
イス開発への適用事例
永原 誠司(ルネサス)
15:10 − 15:35
自己架橋型ArFフォトレジストを用いたLFLEプロセス技術
庵野 祐亮(JSR)
15:35 − 16:00
ネガ現像プロセスとレジスト材料
樽谷 晋司(富士フイルム)
16:00 − 16:10
16:10 − 18:10
休憩
ポスターセッション
7月13日(火)
EB・計測
Multiple electron beam maskless lithography for high-volume
manufacturing
Shy-Jay Lin(TSMC)
10:00 − 10:25
電子ビーム直接描画技術開発の現状と将来
山田 章夫、大饗 義久
(アドバンテスト)
10:25 − 10:50
X線によるディバイス微細構造の形状計測
表 和彦、伊藤 義泰(リガク)
10:50 − 11:15
ミラー電子顕微鏡によるナノインプリントモールド検査技術
島倉 智一、長谷川 正樹、
鈴木 寛、太田 洋也(日立)
自己組織化材料の大容量記録媒体への応用
木原 尚子、鎌田 芳幸、
森田 成二、木村 香里、
喜々津 哲(東芝)
11:40 − 12:05
Directed self-assembly of block copolymer thin film on chemically
patterned surfaces
吉田 博史1、多田 靖彦1、赤坂
哲2、Guoliang Liu3、
Huiman Kang3、Ricardo Ruiz4、
竹中 幹人2、長谷川 博一2、
Paul F. Nealey3(日立1、京大2、
University of WisconsinMadison3、Hitachi Global
Storage Technologies4)
12:05 − 12:30
3次元DLC構造へのブロック共重合体の自己組織化
三野 宏之、米谷 玲皇、割澤 伸一、
石原 直(東大)
9:30 − 10:00
新技術
11:15 − 11:40
12:30 − 13:30
昼食
EUV
13:30 − 13:55
EUVLの開発状況
森 一朗(Selete)
13:55 − 14:20
EUV光源の開発状況と今後の展開
笠間 邦彦(EUVA)
14:20 − 14:45
ニコンのEUVL技術開発
近藤 洋行(ニコン)
14:45 − 15:10
EUV into production: NXE platform
森崎 健史(ASML)
15:10 − 15:40
休憩
15:40 − 16:05
EUVリソグラフィ用マスクブランクの開発
生田 順亮(旭硝子)
16:05 − 16:30
EUVLマスクパターン欠陥検査技術の開発状況
茂村 弘之(Selete)
16:30 − 16:55
EUVレジスト開発状況
平山 拓(東京応化)
ポスタープログラム
ナノインプリント
P1
パターンドメディア用テンプレート製造技術
伊藤 公夫、石川 幹雄
(大日本印刷)
P2
1テラビット/インチ2磁気ディスク用ナノインプリントモールドの開発
小林 英雄、佐藤 孝、渡邉 強
(HOYA)
P3
LED対応のインプリント技術
田代 貴晴(東芝機械)
P4
蛍光レジストによる光ナノインプリントプロセスの可視化
小林 敬、中川 勝
(東北大、JST-CREST)、
廣島 洋(産総研、JST-CREST)、
松井 真二(兵県大、JST-CREST)
P5
PFPを利用した高速光ナノインプリント
尹 成圓、王 清、廣島 洋
(産総研、JST-CREST)
オプティカルエクステンション
P6
28n世代以降のホールリソグラフィー向け偏光照明とマスク形状の評価
説田 雄二(Fujitsu Microelectronics
Pacific Asia Ltd)
P7
ASET/MaskD2Iプロジェクトにおけるマスク製造効率化のための
設計情報抽出フロー開発
加藤 心
(エスアイアイ・ナノテクノロジー)
P8
Design intention application to tolerance-based manufacturing system
小林 幸子(東芝)
P9
ダブルパターニング技術を用いた微細化と応用技術
小山 賢一(東京エレクトロン)
P10 ロジックデバイスにおけるSMOによるプロセスウィンドウ改善効果の検証
吉持 一幸(ルネサス)
P11 ダブルパターニング世代対応コーターデベロッパプロセス
春本 将彦(SOKUDO)
EB・計測
P12 マスク描画装置のモニター・自己診断技術の研究開発
星 浩利(JEOL)
P13 マスク検査装置の領域感度可変機能と欠陥転写性考慮機能
土屋 英雄、高原 憲一、
井上 貴文(ニューフレア)
山部 正樹(ASET)
P14 Efficiently writing circular contacts on production reticles with JBX-3200MV
原 大介、萩原 和之((㈱)D2S)
Aki Fujimura(D2S Inc.)
Christophe Pierrat(IC Images Technol.)
木内 太一、駒形 正、中川 泰俊(JEOL)
新技術
P15 シリコンナノワイヤアレイ型面放出素子による大面積電子線一括露光
小島 明(クレステック・農工大)、
太田 敢行(農工大)、
大井 英之(クレステック)、
越田 信義(農工大)
P16 AFMリソグラフィにより作製された平面モールドを使ったナノ電極リソグラフィ
横尾 篤(NTT)、
生津 英夫(NTT-AT)
P17 ArF露光装置におけるスペックル現象と結像への影響
北 尚憲、氏家 知子、中島 利治、
谷津 修、土岐 剛史(ニコン)
EUVL
P18 量産機対応DPP光源の開発
堀田 和明(ウシオ)
P19 量産機対応LPP光源の開発
住谷 明(コマツ)
P20 DUVレチクル検査機によるEUVマスク検査
川島 聡史(大日本印刷)
P21
Study of EUV mask defect detection capability using sub 200nm inspection
tool
吉井 崇(凸版印刷)
P22 SFET露光結果からのレジストパラメーター抽出
古澤 孝弘、田川 精一(阪大)、
老泉 博昭、井谷 俊郎(Selete)
P23 22nmhp以降に向けたEUVリソグラフィー用材料の開発
西野 晃太(JSR)
P24
PAGアニオン担持ポリマーとブレンドシステムにおける酸拡散長の影響に関す
る検討
玉置 広志(富士フイルム)
P25 2窓回折格子の製作とEUV干渉露光
福島 靖之(兵県大)
P26 EUV顕微鏡によるマスク評価
多田 将樹(兵県大)
P27 EUVコンタミクリーニング
和泉 亮(九工大)
P28 SeleteにおけるEUV露光装置の性能評価状況
俵山 和雄(Selete)
P29 EUVLマスクコンタミネーションのパターン被覆形状
穴澤 俊久、西山 岩男、高木 紀明、
須賀 治(Selete)
P30 hp 22 nmに向けたフレア補正技術の開発
田中 稔彦、有澤 幸恭、宇野 太賀、
青山 肇(Selete)
P31 SEMI規格準拠EUVレチクルポッドの防塵性能と課題
太田 和哉(Selete)
P32 EUVレジストプロセス開発状況
松永 健太郎(Selete)
Fly UP