...

国立大学法人 東京大学 小宮山 宏 東京大学 12601 情報,電気,電子

by user

on
Category: Documents
106

views

Report

Comments

Transcript

国立大学法人 東京大学 小宮山 宏 東京大学 12601 情報,電気,電子
〔別添13-1〕
様式20-1(第12条第1項関係)
平成20年5月28日
文 部 科 学 大 臣
殿
大 学 の 設 置 者
〒113-8654
の
東京都文京区本郷7-3-1
所
在
地
大 学 の 設 置 者
の
名
(職
国立大学法人
称
名)
フ
リ
(
ガ
総長
ナ
大
学
)
コ
ミ
ヤ
マ
小宮山
代表者氏名
名
東京大学
ヒロシ
宏
(記名押印又は署名)
東京大学
及 び 機 関 番 号
12601
平成19年度研究拠点形成費等補助金(研究拠点形成費(機関補助))実績報告書
(
C -006
整 理 番 号
拠
点
形
成
開 始 年 度
拠点のプログラム名称
実
績
19年度
報
書
学問分野
拠点リーダー名
セキュアライフ・エレクトロニクス
告
)
情報,電気,電子
専攻等名(拠点となる大学)
大 学 院 工 学 系 研 究 科 電 子 工 学 専 攻 ,電 気 工 学
専攻,生産技術研究所(電気・電子工学),
大学院新領域創成科学研究科基盤情報学専
攻 ,大 規 模 集 積 シ ス テ ム 設 計 教 育 研 究 セ ン タ
ー
保立 和夫
連携先の大学名
事業推進担当者
フリガナ
氏
名(年齢)
計21名
所 属 部 局 ・ 職 名
現在の
役 割 分 担 (本年度の教育研究実施計画における分担事項)等
専門・学位
(申請大学)
(拠 点 リーダー)
ホタテ カズオ
保立 和夫
(56)
ア サ ダ ク ニヒ ロ
浅田 邦博
(55)
M EMS センサ( センシング研 究 CORE/ ナノ・リン
グ)
(54)
工 学 系 研 究 科 電 気 工 学 専 攻 ・教 授
環 境 セ ンシング学
工博
環 境 セ ン シ ン グ ( セ ン シ ン グ 研 究 CORE/ フ ォ ト
ニクス・リング)
(54)
工 学 系 研 究 科 電 気 工 学 専 攻 ・教 授
宇 宙 エレ クトロニク
ス 工博
高 機 能 小 型 衛 星 ( セ ン シ ン グ 研 究 CORE/ 高
集 積 技 術 リング )
(43)
工 学 系 研 究 科 バ イ オ エ ン ジ ニ ア リ ン グ バイオエレクトロニ
クス 理 博
専 攻 ・教 授
バイオセ ンシング(センシング研 究 CORE/ナノ・
リング)
(59)
新 領 域 創 成 科 学 研 究 科 基 盤 情 報 半導体電子工学
工博
学 専 攻 ・教 授
右 脳 コ ン ピ ュ ー テ ィ ン グ( 情 報 処 理 ・ ネ ッ ト ワ ー ク
研 究 CORE/ 高 集 積 技 術 リング )
(56)
新 領 域 創 成 科 学 研 究 科 基 盤 情 報 光 エレ クトロニクス
工博
学 専 攻 ・教 授
コヒーレント光 通 信 (情 報 処 理 ・ネット研 究
CORE/フォ トニクス・リング)
(54)
新 領 域 創 成 科 学 研 究 科 基 盤 情 報 大規模並列処理
工博
学 専 攻 ・教 授
大 量 データからの法 則 性 抽 出 (情 報 処 理 ・ネッ
ト研 究 CORE/ 高 集 積 技 術 リング)
タバタ ヒトシ
田畑 仁
シ バ タ タ ダシ
柴田 直
キクチ カズロウ
菊池 和朗
チカヤマ タカシ
近山 隆
サクライ タカヤス
桜井 貴康
機 能 撮 像 チ ッ プ( セ ン シ ン グ 研 究 CORE/ 高 集
積 技 術 リング)
トニクス・ リン グ)
マイクロナノメカトロ
ニクス 工 博
サイトウ ヒロブミ
齋藤 宏文
大 規 模 集 積 シ ス テ ム 設 計 教 育 研 究 集 積 システム工 学
工博
センター・教 授
工 学 系 研 究 科 電 子 工 学 専 攻 ・教 授
生 産 技 術 研 究 所 ・教 授
ヒ ダカ ク ニヒ コ
日高 邦彦
光 ファイバ神 経 網 ( セ ンシング研 究 CORE/ フォ
(55)
フジタ ヒロユキ
藤田 博之
全体総括
センシングフォ トニ
クス 工 博
(54)
国 際 産 学 協 同 研 究 センター・教 授
システム VLSI 設 計 大 面 積 回 路 ( 情 報 処 理 ・ ネ ッ ト 研 究 CORE/ 高
工学 工博
集 積 技 術 リング )
〔別添13-2〕
様式20-2(第12条第1項関係)
(48)
先 端 科 学 技 術 研 究 センター・教 授
光 量 子 デバイス
工学 工博
全 光 ネットワーク(情 報 処 理 ・ネット研 究
CORE/ フォ トニクス・ リング)
(43)
国 際 産 学 協 同 研 究 センター・教 授
ネッ トワーク工 学
工博
ユビキタスセンシング(情 報 処 理 ・ネット研 究
CORE/ 高 集 積 技 術 リング)
(59)
工 学 系 研 究 科 電 気 工 学 専 攻 ・教 授
プラズマ 環 境 工 学
工博
プラズマ応 用 環 境 浄 化 (アクチュエーション研
究 CO R E/ ナノ・ リン グ)
(58)
工 学 系 研 究 科 電 気 工 学 専 攻 ・教 授
エネ ルギシステム
学 工博
環 境 モ デ ル ( ア ク チ ュ エ ー シ ョ ン 研 究 CORE /
高 集 積 技 術 リング)
(52)
生 産 技 術 研 究 所 ・教 授
電 気 駆 動 制 御 シス 制 御 性 電 気 自 動 車 ( ア ク チ ュ エ ー シ ョ ン 研 究
テム学 工 博
CORE/ 高 集 積 技 術 リング)
(57)
工 学 系 研 究 科 電 子 工 学 専 攻 ・教 授
ナノフォ トニクス
工博
ナノフォトニック機 能 回 路 (機 能 デバイス・マテ
リアル研 究 CORE/ ナノ・リング)
(55)
先 端 科 学 技 術 研 究 センター・教 授
量 子 ナノデバイス
工学 工博
ナノフォトニックデバイス(機 能 デバイス・マテリ
アル研 究 CORE/ フォ トニクス・ リング)
(48)
工 学 系 研 究 科 電 子 工 学 専 攻 ・教 授
半導体電子工学
工博
ナノ CMOS デバイス( 機 能 デバイス・マ テリアル
研 究 CORE/ 高 集 積 技 術 リング )
量子半導体工学
工博
テラヘルツデバイス(機 能 デバイス・マテリアル
研 究 CORE/ ナノ・ リング)
ナカノ ヨシアキ
中野 義昭
モリカワ ヒロユキ
森川 博之
オダ テツジ
小田 哲治
ヤマ ジ ケ ン ジ
山地 憲治
ホリ ヨウイチ
堀 洋一
オオツ モトイチ
大津 元一
アラカワ ヤスヒコ
荒川 泰彦
タカギ シンイチ
高木 信一
ヒラカワ カズヒコ
平川 一彦
(48)
(47)
生 産 技 術 研 究 所 ・教 授
微 細 デバイス工 学
工博
極 低 電 力 回 路 (機 能 デバイス・マテリアル研 究
CORE/ 高 集 積 技 術 リング)
(46)
工 学 系 研 究 科 電 子 工 学 専 攻 ・教 授
スピントロニクス
工博
スピン機 能 デバイス(機 能 デバイス・マテリアル
研 究 CORE/ ナノ・ リング)
ヒラモト トシロウ
平本 俊郎
タナカ マサアキ
田中 雅明
生 産 技 術 研 究 所 ・教 授
拠 点 全 体 の 補 助 金 交 付 額
直接経費及び間接経費の合計
①+②
(千円)
直接経費
①
間接経費
(千円)
499,200
②
(千円)
384,000
115,200
(拠点大学:東京大学)
(○○大学)
拠点大学の直接経費に占める拠点大学で使用した直接経費の割合
(%)
100
③ /( ① + ④ ) ×100%
拠点大学の直接経費
① =② +③
(円)
384,000,000
連携機関への委託費
②
拠点大学で使用した直接経費
(円) ③
0
(円)
384,000,000
他の大学の直接経費の総額
④
(円)
0
様式20-3(第12条第1項関係)
〔別添13-3〕
教育研究拠点形成実績の概要
拠点形成の目的
エレ ク トロニ ク スや 情 報 技 術 は高 度 な発 展 を遂 げたも のの, 人 間 の欲 望 を増 大 させ不 安 と社 会 格 差 をもた ら し
た 側 面 も 否 め ない. 本 来 , 先 端 技 術 は 様 々 な社 会 問 題 を解 決 し , 豊 か な人 間 社 会 を築 く た め に開 発 ・使 用 され
るべきものであり,安 全 かつ安 心 に生 活 できる社 会 の構 築 は 21 世 紀 における不 可 欠 な課 題 である.そのためにエ
レクトロニクスが果 たすべき役 割 は極 めて大 きいことを認 識 し,本 拠 点 では,「セキュアライフ・エレクトロニクス」とい
う個 別 領 域 を越 えて価 値 を創 造 する横 断 的 分 野 を提 唱 し,異 分 野 との協 働 も積 極 的 に図 りつつ,豊 かな社 会 を
実 現 するための技 術 ・学 術 領 域 を創 成 することを目 的 としている.
20 世 紀 には電 力 ,通 信 ,コンピュータ,など,個 々の技 術 領 域 での研 究 教 育 活 動 と応 用 技 術 がそれぞれ大 き
な成 功 をおさめたが,それらには限 界 も見 えてきた.今 後 は,個 々の領 域 を越 えた協 働 なくしてイノベーションの創
出 はあり得 ない.以 上 の問 題 意 識 のもとで,物 質 ・材 料 ,デバイスから,情 報 ,システムにまで至 る厚 みのある研 究
レイヤーを対 象 とし,社 会 の安 全 を根 底 から支 える新 たな基 盤 技 術 と学 術 体 系 を構 築 する.特 に重 点 を置 くのは
「センシング技 術 」,「情 報 処 理 ・ネットワーク技 術 」,「アクチュエーション技 術 」,これらの基 盤 としての「機 能 デバイ
ス・マテリアル技 術 」であり,それらの有 機 的 連 携 である.本 拠 点 では,大 学 院 生 や若 手 研 究 者 とともに,使 える技
術 の創 成 ・実 用 化 と未 踏 科 学 の深 化 を併 進 させ,厚 みのある教 育 研 究 を展 開 することにより,イノベーション能 力
と社 会 問 題 解 決 能 力 に富 み,国 際 性 豊 かな視 野 の広 い研 究 開 発 リーダーを育 成 する.このことによって,日 本 の
エレク トロニ ク ス産 業 の活 性 化 に寄 与 する のみなら ず, 豊 か で良 質 な世 界 の実 現 に向 けて, 人 材 育 成 と学 術 ・技
術 研 究 の立 場 から貢 献 する.
教育研究拠点形成実績の概要
以 上 の目 的 のもとに,平 成 19 年 度 には,研 究 拠 点 形 成 のためのさまざまな施 策 を開 始 し,順 調 に成 果 を挙 げ
た.21 世 紀 COE で設 置 した「未 来 エレクトロニクス研 究 教 育 センター」を基 盤 に,新 しい運 営 体 制 を構 築 した.ま
ず,グローバル COE 拠 点 運 営 委 員 会 を設 け,ここで拠 点 の運 営 全 般 についての方 針 決 定 を行 い,予 算 案 の策
定 や執 行 など様 々な拠 点 としての施 策 を実 行 した.具 体 的 には,次 に示 す 4 研 究 CORE と 3 連 携 リンググループ
を形 成 し, セキュアライフ ・ エレク トロニ ク スの構 築 と発 展 に向 けた 教 育 ・研 究 基 盤 となる 組 織 を構 築 した. そして,
横 断 的 な研 究 を開 始 するとともに,研 究 プログラムと密 接 に連 携 しつつ種 々の人 材 育 成 プログラムを実 施 した.
研 究 におい ては,①センシング研 究 CORE,②情 報 処 理 ・ネットワーク研 究 CORE,③アクチュエーション研 究
CORE,④機 能 デバイス・マテリアル研 究 CORE の 4 研 究 CORE を形 成 し(縦 糸 ),それらの連 携 を強 めるため,
(A) ナノ・リング,(B) フォトニクス・リング,(C) 高 集 積 技 術 リングの 3 つの連 携 グループ(横 糸 )を組 織 した.「研
究 CORE」は発 現 する機 能 を念 頭 に設 置 するものであり,「リング連 携 」は共 通 する学 術 基 盤 によるグループ構 成
である.これらの縦 糸 と横 糸 を織 り上 げるように,横 断 的 領 域 としての「セキュアライフ・エレクトロニクス」の学 術 ・技
術 体 系 の 構 築 をめ ざし た 研 究 教 育 を 開 始 し た. ここで得 ら れた 研 究 成 果 を 発 信 する た め に「セキュア ラ イ フ・エレ
クトロニクス Web マート」をホームページ(http://www.ee.t.u-tokyo.ac.jp/gcoe/)内 に設 置 し,学 外 (他 研 究 機 関 ,
産 業 界 , 社 会 )に向 けても 共 同 研 究 や 産 学 連 携 を奨 励 ・誘 発 し つつある. 研 究 教 育 を進 め るにあたって, 21 世
紀 COE で設 置 した共 通 の研 究 教 育 基 盤 である「プラットフ ォー ムラボ」を拡 充 し,十 分 に活 用 し ている.
教 育 においては,研 究 プログラムと密 接 に連 携 しつつ,下 記 の人 材 育 成 プログラムを開 始 した.
・ 幅 と 厚 み の あ る エレ ク トロ ニ ク ス教 育 のた め に, 大 学 院 教 育 カ リキュ ラ ム を 体 系 化 し, 3 層 構 造 の 講 義 , 実 験 ・
演 習 ・輪 講 システムを整 備 した.COE独 自 の講 演 会 ,講 義 ,セミナーも企 画 ・実 施 した.
・ 独 立 した研 究 者 としての意 識 の涵 養 のために,研 究 計 画 と成 果 についての評 価 に基 づく博 士 課 程 大 学 院
生 のRA制 度 を拡 充 した.これにより,本 拠 点 の博 士 課 程 大 学 院 は,平 均 15万 円 程 度 /月 のRA費 を支 給 さ
れることになった.
・ 博 士 課 程 大 学 院 生 ,若 手 研 究 者 向 けのCOE内 リサーチファンディング制 度 を設 置 ,運 用 を開 始 した.
・ 一 般 公 募 と 厳 正 な 審 査 ・ 選 考 を 経 て , 優 秀 な 若 手 研 究 者 3 名 を 本 COEの 博 士 研 究 員 お よ び 特 任 助 教 とし
て採 用 決 定 した.
・ オリジナ リティと良 質 な研 究 へのこだわりを重 視 した 研 究 指 導 を行 った .博 士 課 程 大 学 院 生 と若 手 研 究 者 に
は さま ざま な 機 会 で 発 表 し た り 議 論 し た り す る 機 会 を 設 けた . 年 度 末 の 成 果 報 告 会 で の 発 表 を 全 員 に 義 務
づけ,その発 表 会 の運 営 も主 体 的 に行 わせた.
・ 国 際 性 の醸 成 のための海 外 武 者 修 行 制 度 (”道 場 破 り”)や海 外 短 期 共 同 研 究 制 度 の拡 充 し,運 用 を開 始
した.
教育研究拠点形成実績の成果発信と国際交流
以 上 の活 動 の成 果 を,各 研 究 コアおよび研 究 グループによる公 開 シンポジウム等 を主 催 して積 極 的 に発 信 し
た.特 に,2008年 3月 6日 ~7日 には,東 京 大 学 本 郷 キャンパスにて,本 グローバルCOE主 催 の国 際 シンポジウム
”International Symposium on Secure-Life Electronics-Advanced Electronics for Quality Life and Society
-”を開 催 し,海 外 からの著 名 研 究 者 による招 待 講 演 6件 ,本 拠 点 教 員 による講 演 13件 ,本 拠 点 研 究 室 による
70件 のポスター発 表 を行 い,280名 の参 加 者 を集 めて活 発 な討 論 と成 果 発 信 を行 った.この国 際 シンポジウム中
に外 部 有 識 者 を含 むアドバイサリーコミティー(外 部 諮 問 委 員 会 )を開 催 し,活 動 の成 果 を報 告 して評 価 と助 言
を受 けた.このような国 際 シンポジウムをはじめとする交 流 により,平 成 20年 度 以 降 に実 施 予 定 の,いくつかの国
際 交 流 計 画 が立 ち上 がった.
(注 ) 本 様 式 は 拠 点 大 学 の み が 記 入 。 交 付 申 請 書 の 「 拠 点 形 成 の 目 的 ・ 必 要 性 」 , 「 本 年 度 の 教 育 研
究拠点形成実施計画」と対応させて分かりやすく記入すること。
〔別添13-4〕
様式20-4(第12条第1項関係)
教 育 研 究 拠 点 形 成 に係 る具 体 的 な成 果
1.世界的な教育研究拠点形成に向けて整備,拡充したこと
教育研究拠点の体制構築
本 拠 点 の運 営 体 制 を図 1に示 す.21 世 紀 COE で設 置 した「未 来 エレクトロニ クス研 究 教 育 センター」を基 盤
に,新 しい運 営 体 制 を構 築 した.まず,拠 点 運 営 委 員 会 を設 け,ここで拠 点 の運 営 全 般 につき議 論 を行 い,予
算 案 の策 定 や執 行 など様 々な拠 点 としての施 策 を実 行 することとした.拠 点 運 営 委 員 会 は研 究 プログラムと教
育 プログラムの両 方 を推 進 し,本 拠 点 内 のすべてのプ ログラムの実 行 に責 任 をも つ.
研 究 においては,①センシング研 究 CORE,②情 報 処 理 ・ネットワーク研 究 CORE,③アクチュエーション研 究
CORE,④機 能 デバイス・マテリアル研 究 CORE の4研 究 CORE を形 成 し(縦 糸 ),それらの連 携 を強 めるため,
(A) ナ ノ リ ン グ , (B) フ ォ ト ニ ク ス リ ン グ , (C) 高 集 積 技 術 リ ン グ の 3 つ の 連 携 グ ル ー プ ( 横 糸 ) を 組 織 し た . 「 研 究
CORE」は発 現 する機 能 を念 頭 に設 置 するものであり,「リング連 携 」は共 通 する学 術 基 盤 によるグループ構 成 であ
る.これら の縦 糸 横 糸 を織 り上 げる ように横 断 的 領 域 としての「セキュ アライフ・エレ クトロニク ス」の学 術 ・技 術 体 系
の 構 築 を め ざ す . こ こ で 得 ら れ た 研 究 成 果 は , 本 COE 拠 点 の ホ ー ム ペ ー ジ
(http://www.ee.t.u-tokyo.ac.jp/gcoe/) 内 に設 置 した「セキュアライ フ・エレクトロ ニクス Web マー ト」によ り発 信
し,学 外 (他 研 究 機 関 ,産 業 界 ,社 会 )に向 けても共 同 研 究 や産 学 連 携 を奨 励 ・誘 発 しつつある.
・ 幅 と 厚 み の あ る エレ ク トロ ニ ク ス教 育 のた め に, 大 学 院 教 育 カ リキュ ラ ム を 体 系 化 し, 3層 構 造 の 講 義 , 実 験 ・
演 習 ・輪 講 システムを整 備 した.COE独 自 の講 演 会 ,講 義 ,セミナーも企 画 ・実 施 した.
・ 独 立 した研 究 者 としての意 識 の涵 養 のために,研 究 計 画 と成 果 についての評 価 に基 づく博 士 課 程 大 学 院
生 のRA制 度 を拡 充 した.
・ 博 士 課 程 大 学 院 生 ,若 手 研 究 者 向 けのCOE内 リサーチファンディング制 度 を設 置 ,運 用 を開 始 した.
・ 一 般 公 募 と厳 正 な審 査 ・選 考 を経 て,優 秀 な若 手 研 究 者 3名 を本 COEの博 士 研 究 員 および特 任 助 教 として
採 用 決 定 した.
・ オリジナ リティと良 質 な研 究 へのこだわりを重 視 した 研 究 指 導 を行 った .博 士 課 程 大 学 院 生 と若 手 研 究 者 に
はさまざまな機 会 で発 表 ,議 論 ,研 究 会 運 営 を行 う機 会 を設 けた.国 際 性 の醸 成 のための海 外 武 者 修 行 制
度 (”道 場 破 り”)や海 外 短 期 共 同 研 究 制 度 の拡 充 し,運 用 を開 始 した.
拠 点 リーダーと拠 点 リーダ ー補 佐 は以 上 の全 体 の計 画 と執 行 を統 括 する.リーダーのもとには拠 点 支 援 オフィ
スを設 けて, こ れ ら 種 々の活 動 の円 滑 化 を 図 る こと にし た . 以 上 の活 動 の成 果 を,論 文 ,国 際 会 議 ,国 内 学 会 発
表 はもとより,本 COE 主 催 の公 開 シンポジウムやワークショップ・研 究 会 などで積 極 的 に発 信 した.2008 年 3 月 6
日 ~7 日 には,東 京 大 学 本 郷 キャンパスにて,本 グローバル COE 主 催 の国 際 シンポジウムを開 催 し,海 外 からの
著 名 研 究 者 による招 待 講 演 6 件 ,本 拠 点 教 員 による講 演 13 件 ,本 拠 点 研 究 室 による 70 件 のポスター発 表 を行
い,280 名 の参 加 者 を集 めて活 発 な討 論 と成 果 発 信 を行 った.この国 際 シンポジウム中 に外 部 有 識 者 を含 むアド
バイサリーコミティー(外 部 諮 問 委 員 会 )を開 催 し,活 動 の成 果 を報 告 して評 価 と助 言 を受 けた.
拠 点リー ダ: 保 立
国際アドバイザリー
コミティー
リ ーダ 補佐: 田 中
未 来 エ レ ク トロ ニク ス
研 究 教 育 セ ン ター
拠点支 援オフ ィス
(21 世紀C OEに て設 置)
( 何)
拠 点運 営 委 員 会
保立 ( 長),田 中(補 佐), 何(幹 事 )
各 研究 CO RE リー ダ (委員 )
連 携オフ ィス
研究拠 点推進
研究 計画、 若手 ファ ン ディング 、
セミナ ー 、国 際ワ ー クシ ョッ プ 等
教育 拠点推 進
RA制度 、海外 武者修 行、
特 別講義 、セ ミナ ー 等
高集積技術・
・
高集積技術
リング連携
リング
連携
セキュアライフ
エレクトロニクス
WEBマート
‹研究成果発信
‹海外協働誘発
センシング研究
研究CORE
CORE
‹センシング
‹情報処理・
情報処理・ネットワーク研究
ネットワーク研究CORE
CORE
‹アクチュエーション研究
研究CORE
CORE
アクチュエーション
‹機能デバイス・マテリアル研究
機能デバイス・マテリアル研究CORE
CORE
ナノ・リング
連携
フォトニクス・
リング連携
◆幅と厚みのあるエレクトロニクス教育
大学院教育カリキュラムの体系化
◆独立した研究者としての意識の涵養
博士大学院生のRA制度の拡充
◆若手研究者の招聘と支援
COE内リサーリファンディング
◆オリジナリティと良質な研究への
こだわり
◆国際性の醸成
¾海外留学生派遣・受入
¾海外研究員受入・派遣
¾海外COEフェロー受入
産業界・社会
¾海外セミナー・
ジョイントワークショップ開
催
¾海外共同研究派遣・受入
¾海外武者修行プログラム
海外大学等研究機関,海外Liaison研究室
図1
本 COE拠 点 の 運 営 体 制 概 念 図
〔別添13-5〕
様式20-5(第12条第1項関係)
「セキュアライフエレクトロニクス」研究を展開するための研究活動組織の構築
本 プログラムでは,「セキュアライフ・エレクトロニクス」の研 究 を展 開 して,世 界 的 に卓 越 した本 拠 点 をさらに拡 充
する. エレク トロニクスのデ ィシプ リンに根 ざした 創 造 的 研 究 成 果 は, 電 気 エネルギー,通 信 ,コ ンピュ ータ 等 , 多 く
の技 術 領 域 を誕 生 させ,社 会 に貢 献 してきた.21 世 紀 は,これら技 術 領 域 による「もの」の拡 充 から,安 全 ,安 心 ,
健 康 , 環 境 保 全 ,・・といった「こと」 の実 現 へ と社 会 的 要 望 の重 心 がシフトしている .一 方 で, 上 記 の従 来 技 術 領
域 は成 熟 し て 飽 和 の兆 し も 見 受 けら れ , エレ ク トロニ ク スにはある 種 の閉 塞 感 も 漂 う. 本 拠 点 で は, このよう な諸 状
況 を 総 合 的 に 勘 案 し , 安 全 ・ 安 心 を 中 心 に 据 え て“ Quality of Life” の 拡 充 を 目 的 に, 組 織 的 な 研 究 を 展 開 す
る.この「セキ ュアライフ・エレ クトロニクス」の展 開 には, マテリアル, デバイス,システムといったエレクトロニクスの各
技 術 レイヤー を貫 通 して, また上 述 の従 来 技 術 領 域 の殻 も 破 っての協 働 が必 須 である.このような連 携 研 究 の展
開 に よ る 斬 新 な 要 素 技 術 の 提 案 ・ 実 現 と , 異 分 野 技 術 をも 含 め た そ れ ら の 融 合 に よ っ て, これ ま で に な い 価 値 を
社 会 に提 供 する新 たな技 術 領 域 の創 成 を図 りたいと考 えている.
本 拠 点 では,セキュアライフ・エレクトロニクスのコアとなる「センシング技 術 」,「情 報 処 理 ・ネットワーク技 術 」,「ア
クチュエーション技 術 」,「機 能 デバイス・マテリアル技 術 」において,21 世 紀 COE プログラムにて世 界 的 な成 果 を
蓄 積 してきた.本 プログラムでは,これら縦 糸 をさらに展 開 するとともに,従 来 から本 拠 点 グループが世 界 的 な実 績
を 有 す る 「 ナ ノ エレ ク トロ ニ ク ス」, 「フ ォ トニ ク ス 」, 「 高 集 積 技 術 」 を 横 糸 にし て, 産 業 界 と の 連 携 も 深 め , 使 え る 技
術 の創 成 を図 るとともに,その基 盤 となる未 踏 科 学 の探 求 も併 進 させる.このような新 たな切 り口 による研 究 の推 進
は,幅 と厚 みのあるエレクトロニクス教 育 と整 合 している.
上 記 の目 的 を実 現 するため に,平 成 19 年 度 から,1.センシング研 究 CORE, 2.情 報 処 理 ・ネットワーク 研 究
CORE , 3 . アク チ ュ エ ー シ ョン研 究 CORE, 4 . 機 能 デバイ ス ・ マテ リア ル 研 究 CORE( 本 郷 お よ び 駒 場 で各 1)
の5つのコアグループを形 成 し,それぞれ事 業 推 進 担 当 者 が中 心 となって,21 世 紀 COE で蓄 積 した研 究 成 果 を
さらに展 開 した.これまでに,光 ファイバを歪 や温 度 の分 布 センサに仕 立 てて航 空 機 翼 や橋 梁 等 に張 り巡 らせ,
「 痛 み の 分 か る 材 料 ・ 構 造 を実 現 する 光 フ ァ イ バ神 経 網 技 術 」, 半 導 体 集 積 回 路 に て 物 理 現 象 を 活 用 し て 画 像
連 想 を瞬 時 に実 現 する「右 脳 的 コンピューティングデバイス」,路 面 に貼 り付 いて滑 らないタイヤを実 現 した「4輪 駆
動 制 御 電 気 自 動 車 」,量 子 暗 号 伝 送 の基 盤 技 術 である「単 一 光 子 発 生 」を可 能 にしたナノ技 術 等 ,学 術 創 成 研
究 ,基 盤 研 究 S,CREST,ERATO,そして,先 端 融 合 領 域 イノベーション創 出 拠 点 プログラムにも採 択 され,多 く
の受 賞 も受 けた,世 界 的 にも独 創 性 に富 む多 くの成 果 を挙 げている.本 拠 点 では,これら 4 研 究 CORE を発 現 す
べき機 能 面 で構 成 した.さらに,本 拠 点 は,基 盤 技 術 として,ナノエレクトロニクス,フォトニクス,高 集 積 技 術 でも,
卓 越 した成 果 を有 する.そこで,A. ナ ノ・ リング連 携
B.フ ォトニクス・リング連 携
C. 高 集 積 技 術 ・リング連 携
の 3 つのリング連 携 も設 けて,両 者 を縦 糸 と横 糸 として織 り上 げるように,各 研 究 室 ならびに各 グループが協 力 ・連
携 できる態 勢 を構 築 した.21 世 紀 COE で設 置 した「プラットフォームラボ」は,共 通 設 備 ,共 通 機 器 ,共 通 デモン
ストレーション機 能 を有 する 共 用 スペースであり,研 究 室 間 ならびにグループ間 の協 働 を助 長 す るスキームとして,
本 プログラムでも継 続 ・発 展 させている.
セキュアライフ・エレクトロニクス セキュアライフ・エレクトロニクス 環境保全
/防犯
CORE技術の創成が鍵!
CORE技術の創成が鍵!
フォトニクス・リング
情報処理・ネット
ワーク研究CORE
ワーク研究CORE
データ伝送、処理、判断
センシング
研究CORE
研究CORE
自然、社会、生活を
モニタリング
フィードバック、制御、
警告、・・・
高集積技術・リング
機能デバイス・マテリアル
研究CORE
研究CORE
超高速、極低消費電力、極微小、・・
社会
医療・福祉
健康
自然
生活
ナノ・リング
異分野とも
異分野とも協働!
協働!
人間、家庭、職場、建物、街、交通、・・
建設・土木 防災
アクチュエーション
研究CORE
研究CORE
交通・航空
通信・情報/
通信・情報/
セキュリティ
山、河川、海、空、地球、宇宙、・・・・
図 2 セキュアライフ・エレクトロニクスのコンセプトと研 究 CORE・連 携 リング,社 会 との関 係
安 全 ・安 心 を核 として“Quality of Life”を拡 充 させるために,従 来 の技 術 領 域 の殻 を破 り,新 たな社 会 的 価 値 を
実 現 する教 育 研 究 を展 開 する.機 能 発 現 の視 点 から構 成 した「研 究 CORE」を縦 糸 とし,学 術 基 盤 で設 定 した「リ
ング連 携 」を横 糸 として織 り上 げるように,拠 点 内 での協 力 ・連 携 体 制 を構 築 する.
〔別添13-6〕
様式20-6(第12条第1項関係)
プラットフォームラボの整備拡充
過 去 5 年 間 推 進 してきた 21 世 紀 COE プログラムでは,本 郷 ,駒 場 ,柏 の3キャンパスにまたがる複 数 の専 攻 ・
研 究 所 ・センターが連 携 し,マテリアルからシステムに至 るエレクトロニクス領 域 での研 究 を,個 別 研 究 間 の相 乗 効
果 を発 現 させつつ同 時 展 開 してきた.この相 乗 効 果 発 現 のために,システム-デバイス-マテリアルの各 技 術 領 域 を
跨 いでシステムエレクトロニクス・プロジェクトとナノエレクトロニクス・プロジェクトを設 置 し,さらに 5 重 点 テーマを設 け
プラットホームラボを設 置 し整 備 した.本 郷 キャンパスにおける「機 能 融 合 デバイスラボ」,「システムフォトニクスラ
ボ」,「武 田 先 端 知 ク リーンルームラボスペース」, 駒 場 キャンパスにおける「ナノデバイス・ラボ」,柏 キャンパスにお
ける「PAO ルーム」である(図 3).さらに大 学 院 生 も含 めて若 手 が主 体 となり,海 外 の大 学 等 とも定 期 的 に研 究 交
流 を行 ってきた.これらの教 育 研 究 基 盤 によって,多 くの博 士 課 程 レベルの大 学 院 生 と教 員 による研 究 成 果 が蓄
積 されている.グローバル COE では,これらの研 究 基 盤 であるプラットフォームラボをさらに拡 充 ・発 展 した.
研究基盤:プラットフォーム・
研究基盤:プラットフォーム・ラボ
ナノデバイス・ラボ:駒場
武田先端知クリーンルーム
武田先端知クリーンルーム
ラボスペース:本郷
ラボスペース:本郷
機能融合デバイス・ラボ:本郷
システムフォトニクス・ラボ:本郷
PAO PAO ルーム:柏
図 3 21 世 紀 COE で設 置 し,本 グローバル COE 拠 点 で拡 充 発 展 させているプラットフォームラボ
平 成 19 年 度 に は , 新 し い プ ラ ッ ト フ ォ ー ム ラ ボ と し て , 本 郷 キ ャ ン パ ス 工 学 部 2 号 館 に 研 究 拠 点 「 Sensing
Systems and Components Laboratory (SSCL)」を設 置 し,バイオ実 験 設 備 およびマイクロ波 ・ミリ波 研 究 設 備 の
整 備 を中 心 に進 めた.
(1) バイオ実 験 拠 点 設 備
電 気 生 理 学 的 計 測 法 に必 要 な微 少 電 流 計 測 機 器 ,光 学 系 機 器 などから構 成 され,GCOE の各 グループが構
築 したセンサを容 易 にセット可 能 で,その特 性 評 価 を行 うシステム.MEMS チップ等 を1μm 以 下 の精 度 で駆 動 す
るための,マイクロマニピュレータ,液 相 系 計 測 に於 いて,溶 液 を灌 流 ,必 要 に応 じ温 度 制 御 する機 能 を具 備 する
下 記 実 験 システムを導 入 した.
特 に,電 気 化 学 計 測 ,パッチクランプ計 測 等 に対 応 し,
・インピーダンス計 測 ,周 波 数 分 散 など,各 種 電 子 材 料 の誘 電 特 性 評 価 が可 能 .
・電 気 化 学 の基 礎 的 な測 定 :電 極 電 位 ,サイクリックボルタンメトリー,
・交 流 インピーダンス法 ,クロノアンペロメトリー,
・クロノポテンショメトリー,フラットバンドポテンシャル,
等 々の測 定 機 能 を具 備 したシステム構 成 のシステムを導 入 した.
図 4 電 気 化 学 計 測 システム(左 )と遮 蔽 Box(バイオセンサセル)を含 むシステム(右 )
様式20-7(第12条第1項関係)
〔別添13-7〕
次 の用 途 に利 用 を開 始 した.
・バイオマテリアル・デバイスの評 価
・高 抵 抗 材 料 (誘 電 材 料 )・デバイス等 の電 気 的 特 性 評 価
・燃 料 電 池 や太 陽 電 池 等 の基 礎 物 性 評 価
(2) マイクロ波 ・ミリ波 の設 備
まず小 型 電 波 暗 室 の設 置 に取 りかかっている(図 5).電 波 吸 収 材 の設 置 がほぼ完 了 し,今 後 計 測 機 材 などを
整 備 してゆく予 定 である.
図 5 構 築 が進 む電 波 暗 室 の概 観 と,電 波 吸 収 体 を張 り巡 らしたその内 部
大 学 院 博 士 課 程 RA 制 度
本 グローバル COE 拠 点 では,博 士 課 程 を中 心 とした大 学 院 教 育 において,大 学 院 生 自 身 が主 たる研 究 の担
い 手 で ある と い う 意 識 の 醸 成 , 豊 か な 発 想 に 基 づく 世 界 レ ベ ル の 研 究 の 推 進 に 加 え て , 幅 広 い 視 野 と 見 識 の 獲
得 , 国 際 感 覚 の育 成 , など を図 るた め の教 育 研 究 活 動 を展 開 し , 課 程 修 了 後 直 ちに国 際 的 競 争 力 に富 ん だ独
自 テー マを 牽 引 でき る 高 度 な研 究 実 行 能 力 を 持 った 研 究 者 のリー ダーを育 成 す る ことを目 指 す. このた め に, 博
士 課 程 大 学 院 生 を,これまでの研 究 成 果 および今 後 の研 究 計 画 等 を勘 案 してリサーチアシスタント(RA)として採
用 し,研 究 の推 進 と経 済 的 な自 立 を助 けることとした.
まず,RA 採 用 のための評 価 資 料 として,本 グローバル COE に参 加 する大 学 院 博 士 課 程 学 生 の調 査 を行 っ
た.調 査 対 象 は,本 COE に参 加 する教 員 を指 導 教 員 としており,かつ工 学 系 研 究 科 ・電 気 工 学 /電 子 工 学 専
攻 , 新 領 域 創 成 科 学 研 究 科 ・ 基 盤 情 報 学 / 先 端 エネルギー工 学 専 攻 のいずれか に所 属 する 博 士 課 程 大 学 院
生 であり,10月 入 学 者 を含 めると 135 名 であった.調 査 では,これまでの研 究 内 容 ,これまでの発 表 論 文 ・学 会 発
表 , 本 年 度 の 研 究 目 標 と研 究 計 画 , 現 在 の奨 学 金 等 受 給 状 況 を 報 告 させ, 学 生 か ら 指 導 教 員 に提 出 させ て ,
指 導 教 員 が所 見 を記 入 したものをまとめた.
提 出 された調 査 書 をもとにして,RA 評 価 委 員 会 (保 立 和 夫 教 授 (リーダー)・日 高 邦 彦 教 授 ・平 本 俊 郎 教 授 ・
高 木 信 一 教 授 ・森 川 博 之 教 授 ・ 田 中 雅 明 教 授 ・廣 瀬 明 教 授 ・何 祖 源 准 教 授 ・山 下 真 司 准 教 授 )におい て厳 正
な評 価 を行 い,RA 採 用 者 を決 定 した.評 価 は"SS,S,A,B,C"の 5 段 階 で行 い,4 月 入 学 の D1 に対 してのみ A に
満 たない場 合 には期 待 を込 めて“I”とした.135 名 の内 ,“SS”は 0 名 ,“S”は 17 名 ,“A”は 82 名 ,“B”は 17 名 ,
“I”は 8 名 ,“C”は 2 名 であった.(休 学 者 と 9 月 修 了 者 で RA 希 望 しないもの計 9 名 は評 価 しないこととした.)
RA 月 額 給 与 は基 本 的 に,SS:20 万 円 ,S:18 万 円 ,A:15 万 円 ,I:15 万 円 ,B:12 万 円 ,C: 6 万 円 とした.返
還 義 務 のない奨 学 金 等 を受 けている学 生 は RA 月 額 給 与 との合 計 が 20 万 円 を越 えないように RA 月 額 給 与 を
減 額 した.20 万 円 以 上 相 当 の奨 学 金 等 (学 振 特 別 研 究 員 ・国 費 留 学 生 )を受 けている学 生 は RA 採 用 しないこ
ととした.この結 果 ,73 名 を RA として採 用 することとした.その内 訳 は,“SS”は 0 名 ,“S”は 4 名 ,“A”は 52 名 ,“
B”は 9 名 ,“I”は 8 名 ,“C”は 0 名 であった.
RA に対 しては,年 度 末 に報 告 書 を提 出 させ,年 度 末 報 告 会 を開 催 してそこで発 表 をさせることとした.報 告 書
(2ページ)は RA に採 用 されなかった学 生 を含 めて博 士 課 程 大 学 院 生 全 員 に提 出 させ,まとめて製 本 した.製 本
した報 告 書 を資 料 として,年 度 末 報 告 会 を開 催 した.年 度 末 報 告 会 は研 究 コア別 に分 かれて開 催 し,1 人 10 分
程 度 の発 表 を博 士 課 程 大 学 院 生 全 員 に義 務 づけた.ただし,本 年 度 の GCOE ワークショップなどで発 表 予 定 ま
たは済 みの学 生 ,および D3 発 表 会 (固 体 エレクトロニクス研 究 会 など)で発 表 の D3 には発 表 を免 除 した. 19 年
度 の年 度 末 報 告 会 の日 程 は以 下 の通 りである.
2 月 22 日 情 報 処 理 ネットワークコア
3 月 14 日 GCOE アクチュエーション研 究 コア
4 月 18 日 センシング研 究 コア,機 能 デバイス・マテリアル研 究 コア
日 程 の都 合 で発 表 がどうしても不 可 能 と認 められる場 合 には,6 ページの詳 細 な報 告 書 を提 出 させた.
〔別添13-8〕
様式20-8(第12条第1項関係)
海外武者修行制度
博 士 課 程 学 生 が海 外 の国 際 会 議 に出 席 して発 表 をおこなうとともに,関 係 機 関 を単 独 で訪 問 して研 究 に関 す
る討 議 を行 うこと(“道 場 破 り”)を支 援 する制 度 (海 外 武 者 修 行 プログラム)を設 けた.〆切 を年 6 回 (4,6,8,10,12,1
月 末 )設 定 し,希 望 者 には海 外 武 者 修 行 プログラム申 請 書 を提 出 させ,RA 評 価 委 員 会 で審 査 して援 助 対 象 者
を選 ん だ. 単 に国 際 会 議 に出 席 し て発 表 を 行 う だけ でなく , 独 力 でア レ ンジし て 関 係 機 関 ( 大 学 ・企 業 , 等 ) を訪
問 し,研 究 内 容 についての討 議 を行 い意 見 交 換 を図 るなど,海 外 での武 者 修 行 に努 めることを援 助 の条 件 とし
た.国 際 会 議 論 文 採 択 通 知 のタイミングなどの理 由 で年 6 回 の〆切 に間 に合 わない場 合 には臨 時 申 請 を認 めて
いる.平 成 19 年 度 はのべ 11 名 の応 募 に対 して,10 名 の学 生 を援 助 対 象 者 とした.旅 費 援 助 を受 けた学 生 に
は,帰 国 後 に詳 細 な報 告 書 を提 出 させた.
若 手 研 究 制 度 ( 博 士 課 程 大 学 院 生 , 若 手 研 究 者 向 け の COE 内 リ サ ー チ フ ァ ン デ ィ ン グ 制 度 )
本 グローバル COE 拠 点 では,博 士 課 程 を中 心 とする大 学 院 生 および助 教 ,ポストドク トラル研 究 員 等 の若 手
研 究 者 が,豊 かな発 想 に基 づく世 界 レベルの研 究 を自 らが主 たる研 究 の担 い手 であるという意 識 のもとに推 進
し, 国 際 的 競 争 力 に富 ん だ 独 自 テー マ を牽 引 でき る 高 度 な研 究 実 行 能 力 を 持 った 研 究 者 のリーダ ーに成 長 し
ていく ことを目 指 し てい る.その一 環 として,博 士 課 程 大 学 院 生 および若 手 研 究 者 が自 ら 行 う 研 究 に つい て, 研
究 成 果 および研 究 計 画 に関 する厳 正 な評 価 を経 て,研 究 費 を配 分 する「若 手 研 究 」制 度 を設 けた.
応 募 資 格 者 は,本 グローバル COE に参 加 する教 員 を指 導 教 員 とする博 士 課 程 大 学 院 生 ,および本 グローバ
ル COE に参 加 する教 員 の研 究 室 に所 属 する原 則 35 歳 以 下 の助 教 ・ 助 手 ・ポスドク研 究 員 である.但 し,日 本
学 術 振 興 会 の特 別 研 究 員 に採 用 され てい る 者 は申 請 する ことができ ない. 研 究 費 配 分 額 は, 博 士 の 学 位 を有
する者 は 150 万 円 以 内 ,博 士 の学 位 を有 しない者 (主 に大 学 院 生 )は 100 万 円 以 内 とした.
平 成 19 年 度 は 13 件 の応 募 があり,採 択 委 員 による厳 正 な評 価 の結 果 ,このうち 10 件 を採 択 した.内 訳 は,
助 教 が 4 名 ,特 任 助 教 が 3 名 ,大 学 院 生 が 3 名 であった.採 択 された者 は,研 究 計 画 に従 って研 究 を行 い,4
月 末 に報 告 書 を提 出 した .本 制 度 は, 大 学 院 生 を含 む若 手 研 究 者 が自 発 的 に独 自 に研 究 を行 うことを強 く 奨
励 するものであり,若 手 研 究 者 の育 成 に大 きく貢 献 している.平 成 20 年 度 以 降 も本 制 度 を継 続 していく予 定 で
ある.
グ ロ ー バ ル COE 特 別 講 義
本 プログラムでは,通 常 の講 義 では得 難 い情 報 を院 生 に提 供 することを目 的 に,GCOE 特 別 講 義 を企 画 ・実 行
している.表 I に平 成 19 年 度 のグローある COE 特 別 講 義 一 覧 を示 す.
表 I グローバル COE 特 別 講 義 一 覧
柏 キャンパス:基 盤 棟 2 階 大 講 義 室 (遠 隔 : 本 郷 キャンパス:工 学 部 2 号 館 3 階 241 号 講 義 室 )
期 日
10 月 24 日
講 師
稲 見 昌 彦 氏 (電 気 通 信 大 学 知 能 機 械 工 学
科)
安 田 豊 氏 (KDDI㈱ コア技 術 統 括 本 部 )
10 月 31 日
中 川 郁 夫 氏 (㈱インテック・ネットコア)
10 月 10 日
11 月 7 日
11 月 14 日
11 月 21 日
11 月 28 日
12 月 5 日
12 月 12 日
12 月 19 日
1月9日
1 月 23 日
岡 部 聡 氏 (日 本 放 送 協 会 放 送 技 術 研 究
所)
平 野 晋 氏 (中 央 大 学 総 合 政 策 研 究 科 )
福 田 健 介 氏 (国 立 情 報 学 研 究 所 アーキテク
チャ科 学 研 究 系 )
岡 本 和 也 氏 (大 阪 大 学 先 端 科 学 イノベーショ
ンセンター)
石 田 修 氏 (NTT 未 来 ねっと研 究 所 )
村 上 篤 道 氏 (三 菱 電 機 ㈱ 開 発 本 部 )
浅 井 光 太 郎 氏 (三 菱 電 機 ㈱ 情 報 技 術 総 合
研究所)
大 澤 幸 生 氏 ( 東 京 大 学 工 学 系 システム量
子工学専攻)
山 口 真 美 氏 (中 央 大 学 文 学 部 )
大 村 皓 一 氏 (宝 塚 造 形 芸 術 大 学 メディアコン
テンツ学 部 長 )
タイトル
人 間 の I/O を拡 張 するためのヒューマンインタフェース
携 帯 電 話 の最 前 線
次 世 代 のインターネットで起 こるイノベーション~サービスモデ
ルの変 化 とそのインパクト
ハイビジョン画 像 の無 線 伝 送 システム
ネットワーク IP 化 時 代 における責 任 分 担 のあり方
インターネットのトラフィック解 析
半 導 体 設 計 ・製 造 技 術 の進 化 とその意 義
超 高 速 イーサネット技 術 --- 10GbE and beyond--映 像 符 号 化 ・検 索 技 術 の国 際 標 準 と実 用 化 動 向
チャンス発 見 :計 算 テクノロジーからヒューマンスキルへ
赤 ちゃんは世 界 をどう見 ているのか
デジタル映 像 論 ~コンピュータグラフィックスとエンターテイメ
ント~
〔別添13-9〕
様式20-9(第12条第1項関係)
広報活動
本 グローバル COE の拠 点 の研 究 活 動 に関 わる広 報 を行 うため,広 報 委 員 会 を設 置 し,平 成 19 年 度 は以 下 の
3 つの作 業 を進 めた.
(1) ロゴの作 成
「セキュアライフ・エレクトロニクス」のコンセプトを視 覚 的 に表 現 するロゴの作 成 を進 めた.本 グローバル COE のタ
ーゲットは, 先 進 的 な 電 子 , 電 子 , 情 報 通 信 技 術 に 基 づい て, 「安 全 , 安 心 」 な 未 来 社 会 を 実 現 する こ と である .
そこで,緑 を基 調 とし,異 分 野 との協 調 を積 極 的 に図 りつつ,ターゲットの実 現 を目 指 すことを示 すデザインとし
た.作 成 されたロゴは,本 グローバル COE に関 わるイベント,出 版 物 等 のあらゆる場 面 で使 用 される.
(2) Web Site の構 築
「セキュアライフ・エレクトロニクス」のコンセプトのみならず,本 グローバル COE の最 新 の研 究 活 動 や研 究 成 果 を
分 かりやすくかつ詳 細 に示 すための Web Site を構 築 した.本 グローバル COE を構 成 する 4 つの研 究 コアについ
ても,各 々が何 を目 指 しており,どのような計 画 で進 めるのかに関 する情 報 を掲 載 している.さらに,もう一 つの重 要
なターゲットである教 育 活 動 に関 しても,博 士 学 生 支 援 に関 する情 報 を充 実 させ,国 内 外 の優 秀 な学 生 に向 けて
の 情 報 発 信 を 行 っ てい る . その 他 , 国 際 会 議 , 講 演 会 , 人 材 募 集 の ページ につ い ても 日 々 更 新 し , 内 容 の 充 実
を図 っている.
(詳 細 は,http://www.ee.t.u-tokyo.ac.jp/gcoe/ を参 照 のこと)
(3) Web Mart の構 築
本 グローバル COE の各 構 成 員 が進 めている研 究 の成 果 を発 展 させるためには,外 部 組 織 との共 同 研 究 や開
発 を行 うことも極 めて重 要 である.そこで上 記 の Web Site 上 に Web Mart を構 築 し,各 構 成 員 の研 究 成 果 を誰 も
が簡 単 に検 索 できるデータベースを作 成 した.現 在 ,110 以 上 の研 究 プロジェクトが掲 載 されている.
(詳 細 は,http://www.ee.t.u-tokyo.ac.jp/gcoe/webmart/index.shtml を参 照 のこと.)
国際シンポジウムの開催
東 京 大 学 グローバル COE プログラム「セキュアライフ・エレクトロニクス」主 催 による国 際 シンポジウムを,2008 年
3 月 6 日 ~7 日 の 2 日 間 ,東 京 大 学 本 郷 キャンパス工 学 部 2 号 館 1 階 講 堂 にて開 催 した.組 織 委 員 長 は,保 立
和 夫 教 授 (電 子 工 学 専 攻 ,拠 点 リーダー),プログラム委 員 長 は,田 中 雅 明 教 授 (電 子 工 学 専 攻 ,リーダー補
佐 )が務 めた.
本 シンポジウムでは,“Secure-Life Electronics -Advanced Electronics for Quality Life and Society-”をテー
マに, 海 外 の著 名 研 究 者 6 名 による招 待 講 演 ,本 事 業 推 進 担 当 者 13 名 による講 演 , および本 グローバル
COE を構 成 する約 60 研 究 室 によるポスター発 表 を通 じて, 安 全 安 心 な社 会 の構 築 と先 端 エレクトロニクスとの
関 わりや将 来 のあり方 を議 論 した.2 日 間 で多 くの若 手 研 究 者 や学 生 も含 めて約 280 名 の参 加 者 を集 め,活 発
な討 論 が行 われた.また,シンポジウム中 に国 際 諮 問 委 員 会 が行 われ,本 COE の活 動 に対 する質 問 や助 言 を
いただいた.また,シンポジウム中 の討 論 を通 じて,海 外 の研 究 機 関 と共 同 研 究 や共 同 でシンポジウムやワークシ
ョップを行 うための計 画 が話 合 われた.
以 下 ,プログラムを示 す.
東 京 大 学 グローバル COE「セキュアライフ・エレクトロニクス」主 催 国 際 シンポジウム
International Symposium on Secure-Life Electronics
- Advanced Electronics for Quality Life and Society-
Lecture Hall of Engineering Building 2
Hongo Campus, University of Tokyo
March 6-7, 2008
Program
March 6 (Thu)
Opening
9:30-9:40
Chair Masaaki Tanaka
Masaaki Tanaka, Program Chair
Department of Electronic Engineering, University of Tokyo
9:40-9:50
Yoichiro Matsumoto, Dean
Faculty of Engineering, University of Tokyo
9:50-10:20
"Secure-Life Electronics" for Quality Life and Society
Kazuo Hotate, Leader of the GCOE Program
Department of Electronic Engineering, University of Tokyo
10:20-10:40
Break
様式20-10(第12条第1項関係)
〔別添13-10〕
Sensing, Robotics, and Actuation
Chair: Kazuro Kikuchi
10:40-11:20
Overview of Recent Ad vances in Fiber Grating Sensor Technology
Eric Udd (invited)
President, Columbia Gorge Research, LLC
11:20-12:00
QoLT: Quality of Life Technology – Opportunities and Challenges
Takeo Kanade (invited)
Robotic Institute, Carnegie Mellon University
12:00-14:00
Lunch
Advisory Committee Meeting( chair: Yasuhiko Arakawa)
Sensing Devices and Systems
Chair: Akira Hirose
14:00-14:30
Advances in Fiber Optic Nerve Systems for Security and Reliability
Zuyuan He and Kazuo Hotate
Department of Electronic Engineering, University of Tokyo
14:30-15:00
15:00-15:30
Innovative Observation from Space & of Space
Hirobumi Saito
Japan Aerospace Exploration Agency (JAXA)
& Department of Electrical Engineering, University of Tokyo
Interdisciplinary Research of Bio and Oxide Electronics for Secure Life.
Hitoshi Tabata
Department of Bioengineering, University of Tokyo
15:30-16:10
Probing Receptor Function at the Single Molecule Level
John Ryan (invited)
Bionanotechnology IRC, Department of Physics, University of Oxford
16:10-16:30
Break
Information Processing and Network
Chair: Nobuaki Minematsu
16:30-17:10
Dynamic Vision w ith Events
Tobi Delbruck (invited)
Institute for Neuroinformatics, UNI-ETH Zurich
17:10-17:40
A Brain-Mimicking VLSI System for Human-Like Perception
Tadashi Shibata
Department of Frontier Informatics, University of Tokyo
17:40-18:10
Computational Intelligence for Supporting Secure Life
Takashi Chikayama
Department of Frontier Informatics, University of Tokyo
18:30-20:30
Banquet at Sanjo Kaikan
March 7 (Fri)
Functional Devices & Materials (I)
Chair: Yoshiaki Nakano
9:30-10:10
Ad vanced GaAs Based Nanostructures and Their Potential Use in Quantum
Information Technology
Gerhard Abstreiter (invited)
Walter Schottky Institute, Technische Universität München
10:10-10:40
Advances in Quantum Dots for Non-classical Light Sources
Yasuhiko Arakawa
Institute of Industrial Science & Research Center for Advanced Science & Technology,
University of Tokyo
10:40-11:10
Advanced Ultra-Thin-Body SOI and Silicon Nanowire MOSFETs
Toshiro Hiramoto
Institute of Industrial Science, University of Tokyo
11:10-11:30
Break
様式20-11(第12条第1項関係)
〔別添13-11〕
Actuation & Environment Technology
Chair: Kunihiko Hidaka
11:30-12:00
Environmentally Benign Energy Systems:
A Case Study of Plug-in Hybrid Electric Vehicle
Kenji Yamaji
Department of Electrical Engineering, University of Tokyo
12:00-12:30
Life Style Change Based on Electronically Controlled Electric Vehicles
Yoichi Hori
Institute of Industrial Science, University of Tokyo
12:30-15:00
Poster Session
Functional Devices & Materials (II)
Chair: Masakazu Sugiyama
15:00-15:40
Quantum Dots and Nanow ires for Optoelectronic Device Applications
Chennupati Jagadish (invited)
Department of Electronic Materials Engineering, Research School of Physical Sciences
and Engineering, Australian National University
15:40-16:10
Nanophotonics
Motoichi Ohtsu
Department of Electronic Engineering, University of Tokyo
16:10-16:40
Physics and Application of Single Quantum Dot Transistors
Kazuhiko Hirakawa
Institute of Industrial Science, University of Tokyo
16:40-17:10
Spintronics Materials and Devices for Advanced Electronics
Masaaki Tanaka
Department of Electronic Engineering, University of Tokyo
17:10-17:20
Closing Remarks
Kazuo Hotate
Leader of the GCOE Program,
Department of Electronic Engineering, University of Tokyo
図 6 グ ロ ー バ ル COE プ ロ グ ラ ム 「 セ キ ュ ア ラ イ フ ・ エ レ ク ト ロ ニ ク ス 」 主 催 国 際 シ ン ポ ジ ウ ム
“Secure-Life Electronics - Advanced Electronics for Quality Life and Society-” の講 演 と討 論 の
様 子 (2008 年 3 月 ,東 京 大 学 本 郷 キャンパスにて).
様式20-12(第12条第1項関係)
〔別添13-12〕
国 際 諮 問 委 員 会 の開 催
国 際 シンポジウムの開 催 と同 期 させて,平 成 20 年 3 月 6 日 に GCOE の国 際 諮 問 委 員 会 を開 催 した.今 回 参
加 の国 際 諮 問 委 員 は以 下 の通 り:
Dr. E. Udd(Columbia Gorge Research)
Prof. T. Kanade(カーネギーメロン大 学 )
Prof. J. Ryan(オックスフォード大 学 )
Prof. G. Abstreiter(ミュンヘン工 科 大 学 )
Prof. T. Delbruck(ETH チューリッヒ)
Prof. C. Jagadish(オーストラリア国 立 大 学 )
日 時 :平 成 20 年 3 月 6 日 12:30-13:50
場 所 :山 上 会 館 002 会 議 室
出 席 :国 際 諮 問 委 員 上 記 6 名 +東 大 側 :保 立 ,荒
川 ,柴 田 ,近 山 ,大 津 ,横 山 ,田 中 ,何 ,平 川
主 な討 議 内 容 :
1)学 費 ・生 活 費 支 援 ,国 際 会 議 や海 外 インターン
への派 遣 ,学 生 や若 手 研 究 員 の研 究 費 申 請
制 度 など,GCOE の活 動 が評 価 された
図 7 国 際 諮 問 委 員 会 の様 子 (2008 年 3 月 ,
2)博 士 学 生 の教 育 には,小 規 模 のサマースクール
東 京 大 学 本 郷 キャンパスにて)
が有 効 である.海 外 のサマースクールとの連 携
も検 討 する.
3)共 同 研 究 を目 指 した海 外 インターン制 度 が国 際 連 携 と人 材 育 成 に有 効 である.
国 際 ワークショップの企 画
平 成 20 年 度 の開 催 に向 けていかの国 際 ワークショップの企 画 を行 っている.
1)清 華 大 学 (中 国 )と東 京 大 学 電 気 系 との連 携 ワークショップ
日 時 :平 成 20 年 5 月 20 日
場 所 :中 国 北 京 清 華 大 学
テーマ:「セキュアライフ・フォトニクス」
2)ミュンヘン大 学 (LMU)・ミュンヘン工 科 大 学 と東 京 大 学 電 気 系 との連 携 ワークショップ
日 時 :平 成 20 年 7 月 10,11 日 開 催 予 定
場 所 :ミュンヘン地 区
テーマ:ナノエレクトロニクス,ナノバイオエレクトロニクス
3)カリフォルニア大 学 サンタバーバラ校 (UCSB)と東 京 大 学 電 気 系 との連 携 ワークショップ
日 時 :平 成 20 年 9 月 8,9 日 開 催 予 定
場 所 :UCSB を予 定
テーマ:ナノエレクトロニクス
グ ロ ー バ ル COE主 催
2007日 台 ワ ー ク シ ョ ッ プ
平 成 19年 度 の電 気 系 GCOEの国 際 連 携 事 業 の一 環 として,平 成 2007年 12月 26日 に,国 立 交 通 大 学 (台 湾 )
において,GCOE2007日 台 ワーショップを開 催 した.東 大 からは,スタッフ7名 ,博 士 課 程 学 生 6名 (江 崎 線 ,森 川
件 ,藤 田 研 )が参 加 した.本 会 議 を開 催 する契 機 となったのが,浅 田 教 授 が中 心 となって以 前 より組 織 されてきた
VDECの研 究 会 がこれに先 立 つ10月 25日 に武 田 先 端 知 で執 り行 われ,その夕 刻 の懇 親 会 でGCOE主 催 の日
台 ワークショップの開 催 が議 論 され,今 回 のワークショップ企 画 に至 った.
会 場 は,国 立 交 通 大 学 (新 竹 キャンパス)に位 置 する電 気 系 の建 物 内 にあり,ワークショップは,オーラル(20分
プレゼン,5分 質 疑 :計 25分 )およびポスター発 表 形 式 で進 められた.先 ず 相 手 方 (国 立 交 通 大 学 )の呉 重 雨
学 長 の挨 拶 /welcome remark,があり,これに返 礼 する形 で柴 田 教 授 よりGCOEの概 要 説 明 ,がなされた.また
,ポスターセッションでは相 手 方 の学 生 ,PDなど若 い研 究 者 が多 数 参 加 した非 常 に盛 況 なものであった.
その後 ,ナノテクセンター等 見 学 ,懇 親 会 等 を行 い,情 報 系 とデバイス系 の2グループに分 かれて,今 後 の研 究
連 携 の進 め方 についての議 論 を行 った.翌 12/27は,個 別 グループに分 かれてのより緊 密 なディスカッションが実
施 され,柴 田 教 授 と田 畑 教 授 は,もうメインキャンパスから来 るまで10分 の距 離 にある旧 キャンパスを訪 問 し,バイ
オエレクトロニクス,ブレインエレクトロニクス,3Dモーションシミュレーションなどの研 究 者 との情 報 交 換 を行 った.
様式20-13(第12条第1項関係)
〔別添13-13〕
図 8 日 台 ワークショップの集 合 写 真 (左 )とポスターセッション(右 )
アクチュエーション研究コアの国際交流活動
(1) International Symposium on Magnetic Reconnection in Space and Laboratory Plasmas (MR2008)の協
賛
MR2008 国 際 会 議 は日 米 科 学 技 術 協 力 事 業 ,自 然 科 学 研 究 機 構 が支 援 するキーパーソン会 合 と位 置 づけら
れ,20 年 3 月 3-6 日 に沖 縄 で主 催 することになった.4 日 にわたり,日 米 欧 からの約 60名 の参 加 により実 験 室 か
ら太 陽 ,地 球 磁 気 圏 観 測 ,宇 宙 プラズマ,理 論 ・シミュレーションまでを融 合 した分 野 間 連 携 を推 進 することができ
た.太 陽 観 測 衛 星 「ひので」のフルレピューにつづき,TS-4,VTF,MAST 実 験 による非 定 常 ,explosive 磁 気 リコ
ネク ショ ン 研 究 の 成 果 を議 論 し , 太 陽 フ レ ア 研 究 に 共 通 する 非 定 常 リコ ネク ショ ン の物 理 研 究 を 発 展 させる こ とが
できた.また,磁 気 圏 観 測 と実 験 室 天 文 はリ
コネクション点 付 近 における 局 所 的 な四 重 極
磁 場 の発 生 や異 常 抵 抗 の発 生 について共
通 の二 流 体 プラズマ物 理 を見 出 すことに成
功 し た . ブ ラ ッ ク ホ ー ル の MRI ・ ジ ェ ッ ト の 発
生 に お け る リ コ ネ ク ショ ン の 役 割 にも 興 味 ある
シミュレーション結 果 が発 表 された.プラズマ
の共 通 基 礎 である磁 気 リコネクション分 野 は
最 も分 野 融 合 の進 んだモデル分 野 となって
おり,日 米 欧 の国 際 連 携 の進 展 とともに最 新
の室 内 実 験 群 と粒 子 シミュレーション,衛 星
観 測 , 理 論 ・ シミュレ ー ショ ン分 野 が一 致 した
プラズマ物 理 ,太 陽 物 理 や地 球 磁 気 圏 物 理
のモデルを提 示 することが可 能 になってきて
いる.(担 当 : 小 野 靖 教 授 )
図 9 MR2008 の集 合 写 真
(2) G-COE colloquium: 「電 気 車 の駆 動 系 へのエネルギー供 給 の未 来 」開 催
2008 年 2 月 1 日 に電 気 駆 動 車 両 のドライブ技 術 で先 進 的 な研 究 をされているスイス,ベルン工 科 大 学 のパワ
エレ研 究 室 の Prof. Andrea Vezzini と同 教 授 と共 同 研 究 などを通 じて親 しい,Bombardier 社 の車 両 用 電 気 駆 動
技 術 研 究 開 発 の責 任 者 である Mr. Koebel 氏 をお招 きし,東 京 大 学 G-COE(セキュアライフエレクトロニクス)の特
別 講 演 会 (コロキウム)「電 気 車 の駆 動 系 へのエネルギー供 給 の未 来 」を,電 気 工 学 専 攻 古 関 隆 章 准 教 授 の担
当 する大 学 院 講 義 「交 通 エレクトロニクス」の extension も兼 ね,東 京 大 学 本 郷 キャンパス 工 学 部 2号 館 4階 の24
6号 講 義 室 にて開 催 した.
Date:The 1st February 2008 (Friday) 09:15-11:30
Place: Room No. 246, on the 4th floor, Building Eng. No. 2 at the University of Tokyo
Subject: Electric Traction and On-Board Energy Management in Future
Lecturers:
Prof. Andrea Vezzini (Berne University of Applied Science, Switzerland
もと東 京 大 学 工 学 部 交 通 システム工 学 (JR 東 海 )寄 付 講 座 (1997 年 度 )客 員 研 究 員 )
Mr. Christian Koebel (Bombardier 駆 動 技 術 研 究 部 長 )
講 演 スケジュール
09:15 開 場
09:15--09:30 講 師 紹 介 (古 関 准 教 授 )
09:30-10:15 Prof. Vezzini 講 演
10:20-11:00 Mr. Koebel 講 演 その後 ,30 分 ほど任 意 の自 由 議 論 (学 内 T-Lounge に場 を移 して)
様式20-14(第12条第1項関係)
〔別添13-14〕
第 一 講 演 者 の Andrea Vezzini 教 授 は先 代 の Jeannneret 教 授 のパートナーとして,オーストラリアで開 催 されて
いるソーラーカーの世 界 選 手 権 で何 度 か優 勝 した「Biel Team」の技 術 的 リーダの一 人 として世 界 的 に有 名 な方
研 究 者 である.また,Bombardier 社 は,ヨーロッパ,カナダを中 心 に世 界 中 にビジネス展 開 する鉄 道 システムの総
合 メーカであり,特 に近 年 バッテリーを搭 載 したトラムのシステムが,日 本 国 内 でも注 目 されていることもあり,年 度
末 の多 忙 な時 期 にも関 わらず,(財 )鉄 道 総 合 技 術 研 究 所 理 事 長 ,副 理 事 長 をはじめ,鉄 道 関 係 の研 究 所 ,事
業 者 ,メーカなどから 50 人 近 くの聴 講 者 が学 内 外 から集 まった.講 演 における質 疑 では,本 学 曽 根 悟 名 誉 教 授
や堀 洋 一 教 授 と,講 演 者 の間 で,批 判 的 コメントも含 む熱 心 な討 論 が行 われた.また,講 義 終 了 後 ,学 内 のスタ
ーバックスコーヒーに場 所 を写 し,昼 食 をとりながら任 意 参 加 した数 名 の熱 心 な聴 講 者 との間 で技 術 情 報 交 換 の
懇 談 を行 った.その結 果 ,工 学 系 研 究 科 の草 加 特 任 准 教 授 が,ベルン理 工 科 大 の Vezzini 教 授 の研 究 室 を訪
問 し,本 学 出 身 者 である上 智 大 学 の宮 武 准 教 授 が,ベルン理 工 科 大 客 員 研 究 員 として滞 在 を計 画 するなど,日
瑞 の継 続 的 協 力 関 係 もいくつか具 体 的 に協 議 された.同 日 午 後 ,講 演 者 らは生 産 技 術 研 究 所 を訪 問 し,橋 本
研 究 室 ,堀 研 究 室 を見 学 した.
なお,当 日 の講 演 資 料 の一 部 は,本 GCOE の公 式 HP
http://www.ee.t.u-tokyo.ac.jp/gcoe/lecture/2008/01/colloquium-200821.shtml
にて,講 演 者 の許 可 を得 て一 般 に公 開 し,閲 覧 可 能 としてある.(担 当 :古 関 隆 章 准 教 授 )
図 10
グ ロ ー バ ル COE「 セ ン シ ン グ 研 究 コ ア 」 主 催 ワ ー ク シ ョ ッ プ 「 宇 宙 か ら の セ ン シ ン グ に よ る セ キ ュ ア
ライフ」
エレクトロニクス関 連 技 術 の宇 宙 応 用 に関 する研 究 の情 報 交 換 をはかるため,宇 宙 航 空 研 究 開 発 機 構
(JAXA)における宇 宙 プロジェクト関 係 者 ,関 連 技 術 開 発 担 当 者 と,当 グローバル COE メンバーの中 で宇 宙 応 用
研 究 を 実 施 し てい る 研 究 者 に講 演 を依 頼 し , 「宇 宙 か ら のセン シン グ によ る セキュ ア ラ イ フ 」ワ ークショ ップ を 2007
年 12 月 20 日 に JAXA 宇 宙 科 学 研 究 本 部 (ISAS)相 模 原 キャンパスにて開 催 した.今 回 はテーマを「宇 宙 からの
センシングによるセキュアライフ」にしぼり,人 工 衛 星 を用 いた地 球 環 境 観 測 ,災 害 観 測 ,地 震 等 の前 兆 観 測 を実
施 するための衛 星 計 画 ,センサ技 術 ,デ ータ処 理 技 術 などについての議 論 を行 った.参 加 者 は当 該 分 野 の研 究
者 ,大 学 院 生 など 38 名 であり,活 発 な議 論 が行 われた.昼 休 みに小 型 衛 星 「れいめい」の運 用 見 学 ,講 演 後 の
研 究 室 見 学 および懇 親 会 の場 においても様 々な議 論 が行 われ,大 変 有 意 義 なワークショップであったといえる.
図 11 「宇 宙 からのセンシングによるセキュアライフ」ワークショップの様 子
グ ロ ー バ ル COE特 別 セ ミ ナ ー "Emerging Research Devices"
2007年 12月 6日 (木 )にインテルの研 究 幹 部 数 名 の方 が本 郷 キャンパスを訪 問 され関 連 研 究 室 とディスカッショ
ンを行 った.この機 会 を利 用 して,工 学 部 2号 館 にて,グローバルCOE特 別 セミナー“Emerging Research Devices
”を開 催 した.
様式20-15(第12条第1項関係)
〔別添13-15〕
講 演 いただいたGeorge Bourianoff博 士 らは国 際 半 導 体 技 術 ロードマップ(ITRS)をリードされており,電 子 デバ
イスの将 来 ,インテルの研 究 戦 略 について興 味 深 い講 演 が行 われた.あわせて,シリコン量 子 コンピュータの提 案
など先 駆 的 な研 究 で有 名 な慶 應 大 学 の伊 藤 公 平 先 生 にも講 演 をしていただいた.
12月 6日 (木 )11:10-12:30 工 学 部 2号 館 4階 246講 義 室
“Beyond CMOS device research activities in the US” (50min)
Dr. George Bourianoff, Intel and Dr. Mike Garner, Intel
“Silicon Quantum Computer” (30 min)
Prof. Kohei M. Itoh, Keio University
Host: Masaaki Tanaka (担 当 :田 中 雅 明 教 授 )
図 12 特 別 セミナーで議 論 された“Emerging Research Devices”の候 補
ナノフォトニクスオープンセミナー
共催 東京大学グローバルCOEプログラム「セキュアライフ・エレクトロニクス」
表 記 セミナーを2008年 3月 5日 (水 )14:00~16:30に東 京 大 学 本 郷 キャンパス 山 上 会 館 にて平 木 催 した.
この会 合 は,日 豪 ナノフォトニクス・ミニワークショップでもあり,グローバルCOE「セキュアライフ・エレクトロニクス」国
際 シンポジウムのサテライト・セミナーと位 置 づけ,国 際 シンポジウムの前 日 に行 った.
【講 演 1 14:00-15:30】
Professor Chennupati Jagadish
“Semiconductor Optoelectronics and Nanotechnology”
Federation Fellow
Department of Electronic Materials Engineering,
Research School of Physical Sciences and Engineering,
Australian National University
【講 演 2 15:30-16:30】
大 津 元 一 (東 京 大 学 ) “Nanophotonics”
川 添 忠 (東 京 大 学 ) “Nanophotonic devices”
八 井 崇 (JST) “Nanophotonic fabrication”
成 瀬 誠 (NICT・東 大 ) “Nanophotonic systems”
教育研究環境整備
相 模 原 キャンパスおよ び 駒 場 キャンパス( 先 端 科 学 技 術 研 究 センタ ー)か ら本 郷 や柏 の遠 隔 授 業 を受 けら れる
システムを構 築 した.本 郷 や柏 で使 用 している Polycom 社 製 の TV 会 議 システムを導 入 し,4 月 より遠 隔 講 義 の受
講 を始 めている.また,遠 隔 授 業 がないときは TV 会 議 などとして利 用 している.これにより,教 育 研 究 の効 率 が大
幅 に向 上 した.
様式20-16(第12条第1項関係)
〔別添13-16〕
2.研究によって得られた新たな成果
前 述 のように, 1. センシング研 究 CORE,2. 情 報 処 理 ・ネットワ ーク研 究 CORE,3.ア クチュエーショ ン研 究
CORE, 4. 機 能 デ バイス・マテリア ル研 究 CORE( 本 郷 およ び駒 場 で各 1) のコアグループを形 成 し,それぞれ
事 業 推 進 担 当 者 が中 心 となって,21世 紀 COEで蓄 積 した研 究 成 果 をさらに展 開 した.申 請 書 段 階 で計 画 した4
つの研 究 コア分 野 (センシング,情 報 処 理 ・ネットワーク,アクチュエーション,機 能 デバイス)に従 い,研 究 チームを
編 成 した.ただし,機 能 デバイスは,本 郷 キャンパスと駒 場 キャンパスにそれぞれ大 きなグループがあるので,2研 究
コアとした.研 究 予 算 の配 分 は,このコア単 位 で行 うこととした.一 方 ,申 請 書 に書 かれた技 術 リング(ナノ,フォトニ
クス,高 度 集 積 )は,主 にワークショップ等 の研 究 交 流 で表 現 する.研 究 予 算 の配 分 単 位 としないこととした.5つ
の研 究 コアにはそれぞれ代 表 者 とコーディネーターをおいた.メンバーは下 記 の通 り.
センシング研 究 コア
代 表 :保 立
コーディネーター:廣 瀬 (明 )
メンバー:保 立 ,浅 田 ,藤 田 (博 ),日 高 *,斉 藤 ,田 畑 ,廣 瀬 (明 ),何 ,山 下 ,年 吉 *,熊 田 *
情 報 処 理 ・ネットワーク研 究 コア
代 表 :柴 田
コーディネーター:森 川
メンバー:柴 田 ,菊 池 ,桜 井 *,近 山 ,中 野 ,森 川 ,相 田 ,伊 庭 ,山 下 *,藤 島 ,峯 松 ,杉 本 ,五 十 嵐 ,種 村 ,
金 田 ,若 原 ,中 山 ,加 藤 (朗 ),佐 藤 (周 ),佐 藤 (文 )
アクチュエーション研 究 コア
代 表 :小 田
コーディネーター:日 高
メンバー:小 田 ,山 地 ,日 高 ,堀 ,石 井 ,横 山 ,大 崎 ,小 野 (靖 ),橋 本 (秀 ),古 関 ,藤 井 ,熊 田 ,馬 場 ,小 野
(亮 ),橋 本 (樹 ),久 保 田
機 能 デバイス・マテリアル研 究 コア(本 郷 )
代 表 :大 津
コーディネーター:高 木
メンバー:大 津 ,高 木 ,田 中 ,浅 田 *,中 野 *,田 畑 *,藤 田 (昌 ),池 田 ,杉 山 ,三 田 ,加 藤 (雄 ),竹 中
機 能 デバイス・マテリアル研 究 コア(駒 場 )
代 表 :荒 川
コーディネーター:平 本
メンバー:荒 川 ,藤 田 (博 )*,桜 井 ,平 川 ,平 本 ,高 橋 ,年 吉 ,河 野 ,岩 本 ,高 宮 ,田 島
*:兼 担
下 線 :事 業 推 進 担 当 者
上 記 の研 究 コアに配 分 する予 算 (基 盤 的 研 究 費 )のほかに,「セキュアライフ・エレクトロニクス テーマ研 究 費 」
を設 けた.これは,共 同 研 究 等 により,セキュアライフ・エレクトロニクスの成 果 として直 接 アピールできる可 能 性 を持
った研 究 であり,研 究 コアチームをまたぐ形 で本 COEのメンバー(事 業 推 進 担 当 者 ,教 育 研 究 分 担 者 )間 の連 携
研 究 とした.
さらに,教 育 研 究 環 境 整 備 費 を計 上 し,キャンパス間 の遠 隔 会 議 ・講 義 システムなど,教 育 研 究 環 境 整 備 に努
めた.
以 下 ,平 成 19年 度 の具 体 的 な研 究 成 果 を述 べる.
〔別添13-17〕
様式20-17(第12条第1項関係)
(1) センシング研 究 コア
(a) 研 究 目 的 と背 景
センサおよびセンシング技 術 の研 究 は,セキュアライフ・エレクトロニクス研 究 の出 発 点 ・原 点 であり,また同 時 に
セキュアライフを実 現 するための具 体 的 な可 能 性 を開 拓 する応 用 ・実 用 の最 前 線 でもある.本 センシング研 究 コア
の目 的 は,セキュアライフを実 現 するため のセンシングデバイスとセンシングシステムの研 究 を推 進 し,人 間 社 会 が
要 求 す る さま ざま な情 報 取 得 要 求 に応 え る 科 学 技 術 の 研 究 開 発 を 展 開 する ことである. 現 代 社 会 では, さま ざま
な場 面 で セン サ およ び セン シング技 術 が 不 可 欠 で ある .すなわ ち, 交 通 システ ム や建 築 物 など の安 全 性 の 確 保 ,
人 間 の生 命 ・ 健 康 の維 持 管 理 , 省 エネルギー,地 域 あるいは全 地 球 規 模 の環 境 の保 全 , 災 害 対 処 や 危 機 管 理
などである.そして人 生 の質 の向 上 に対 す る意 識 が急 激 に高 まる中 で,社 会 の要 求 はますます高 度 化 ・多 様 化 し
ている.本 研 究 コアは,多 様 な専 門 性 をもつ各 メンバー独 特 の優 れたセンサ 設 計 ・作 製 技 術 ,センシングシス テム
構 築 技 術 ,信 号 処 理 ・判 断 技 術 などを駆 使 することによって,高 機 能 なあるいは新 機 能 のセンサおよびセンシング
システムの開 発 を目 指 してきた.
(b) 各 サブテーマの研 究 報 告
① バイオ・MEMS 技 術 によるセンサおよびセンシングシステム
極 性 半 導 体 素 子 を用 いたバイオセンシング
ナノバイオエレクトロニクス技 術 のもとにバイオセンサを作 製 し,ヒューマン-マシン・インターフェースの立 場 か
ら,セキュアライフ・エレクトロニクスを推 進 している.これまでに Si 系 半 導 体 素 子 としてイオン感 応 型 電 界 効 果 トラ
ンジスタ(IS-FET)を用 て個 々人 の遺 伝 情 報 (DNA 配 列 )を一 分 子 レベルで検 出 し,個 別 医 療 ・診 断 に向 けた一
塩 基 多 型 (SNPs)センシングの実 用 化 研 究 を行 ってきた.さらなるバイオデバイスの機 能 化 に向 けて,(1)生 体 親 和
性 に 優 れ , (2) 光 学 的 観 察 と 電 気 特 性 の 同 時 評 価 が 可 能 な 透 明 材 料 で あ る 酸 化 亜 鉛 (ZnO) を 用 い て 透 明 型 の
バイオトランジスタ実 現 に向 けた基 盤 研 究 を実 施 した.この素 子 は Si 半 導 体 と異 なり,ZnO 結 晶 の極 性 を活 用 す
ることにより,バイオ系 修 飾 分 子 の特 異 結 合 状 態 を制 御 する事 を目 指 した素 子 であり,薬 理 効 果 の簡 便 かつ高 速
診 断 が可 能 なプレーナ型 バイオセンサへの薬 物 排 出 トランスポーターなどの異 方 性 膜 タンパク等 の展 開 方 向 制 御
に有 効 であると期 待 される.
今 年 度 は,ZnO 単 結 晶 素 子 において,原 子 レベルで平 坦 な表 面 形 状 の作 製 と,極 性 :プラス表 面 (0001),マイ
ナス表 面 (000-1) の差 異 によ るバイオ分 子 結 合 特 性 の優 位 性 につい て主 に表 面 科 学 的 手 法 ( XPS 等 ) を用 い て
評 価 したので報 告 する.図 13(a)は,原 子 層 ステップ構 造 が観 測 される Zn+極 性 表 面 であり,(b),(c)は各 々Zn+
極 性 ,O-極 性 表 面 の処 理 前 ,APTES/EMCS,PNA 修 飾 後 の XPS データを示 している.Zn+極 性 表 面 の C=O 基
由 来 の結 合 ピーク強 度 変 化 から,Zn+極 性 表 面 が陰 性 分 子 である DNA,PNA 固 定 に有 効 である事 が明 らかにな
った.
(b)
(a)
O1s
(c)
O-H
O1s
Zn-O
O-H
O-C
Zn-O
Intensity(a.u,)
Intensity(a.u.)
O-C
535
530
Bindig Energy(eV)
525
535
530
525
Bindiing Energy(eV)
図 13 (a)ZnO(000)表 面 AFM 像 ,(b) Zn+極 性 表 面 の XPS データ,(c)O-極 性 表 面 の XPS データ
MEMS・バイオ融 合 センシング
MEMS/NEMS 技 術 を基 盤 として,バイオ技 術 を融 合 することにより,極 微 量 (極 限 は単 分 子 ),多 変 量 (化 学 ,
電 気 , 機 械 , 光 特 性 ), 高 速 時 間 応 答 のバイ オセ ン シン グデ バイ スの 構 築 を 目 指 し て 研 究 を 行 っ てい る . 本 年 度
は,下 記 の項 目 につい て次 に結 果 を得 た .(1)ナノ 振 動 子 を用 いた 極 微 量 分 子 検 出 デバイスについ て,フォーク
型 をした片 持 ち梁 形 状 の振 動 子 を作 りレーザの局 所 過 熱 で振 動 を励 起 した.水 中 で Q 値 20 を得 た.インスリンの
検 出 を目 指 し,抗 インスリン抗 体 を振 動 子 に付 加 するプロセスを検 討 した.(2)単 分 子 捕 獲 ・操 作 による多 変 量 同
時 評 価 デバイスについては,MEMS技 術 で作 ったピンセットで DNA 分 子 束 を容 易 に捕 獲 する手 順 を確 立 した.
捕 獲 した分 子 束 をマイクロアクチュエータの力 で引 き延 ばしながら導 電 性 の変 化 を測 り,応 力 対 歪 み特 性 とピエゾ
抵 抗 特 性 の 両 者 を 同 時 に 測 定 し た . (3 ) バイ オ 分 子 を 過 熱 し た 時 の 変 化 を 高 速 度 で 捉 え る た め の 微 小 な ヒ ータ
ーと熱 電 対 を集 積 化 した局 所 高 速 温 度 制 御 デバイスを作 った.熱 応 答 時 間 は 1ms 程 度 であった.蛍 光 タンパク
質 と蛍 光 染 色 した DNA を対 象 に,温 度 上 昇 による分 子 の変 性 に伴 う蛍 光 発 光 強 度 の現 象 を測 定 した.急 激 な
温 度 上 昇 後 の蛍 光 強 度 の時 間 変 化 から,変 性 速 度 は数 ミリ秒 から数 百 ミリ秒 であることが分 かった.
様式20-18(第12条第1項関係)
〔別添13-18〕
図 14 マイクロヒータと温 度 センサを集 積 化 した高 速 温 度 制 御 デバイス.Pt の薄 膜 ヒー
タ,Pt/Cr の熱 電 対 をガラス基 板 上 に作 製 した.
図 15 高 速 温 度 制 御 デバイスで緑 色 蛍 光 タンパク(GFP)の消 光 を観 測 .GFP の消 光 過 程 では,どち
らも二 つの時 定 数 がある.短 い時 定 数 は 10ms 程 度 で,長 い時 定 数 は 100ms 程 度 .
② 光 波 によるセンサおよびセンシングシステム
歪 や側 圧 等 を分 布 的 にセンシングする光 ファイバセンサ神 経 網
本 グループでは,「安 全 ・安 心 の為 のファイバセンサフォトニクス」の研 究 を推 進 している.歪 や側 圧 等 を分 布 的
にセンシングする神 経 網 として光 ファイバを機 能 させ,航 空 機 の翼 や燃 料 タンク,あるいは橋 梁 ・橋 脚 ,ビル等 にこ
の 「 光 フ ァ イ バ 神 経 網 」 を 張 り 巡 ら せ て, 「 痛 み の 分 か る 材 料 ・ 構 造 」 を 実 現 する . 本 研 究 は , 科 学 研 究 費 補 助 金
「 特 別 推 進 研 究 (2001~2003 年 度 ) 」 で展 開 し た 後 , 「学 術 創 成 研 究 ( 2004~2008 年 度 )」に てさら に進 め てき
た.光 ファイバ中 で生 じる誘 導 ブリルアン散 乱 の周 波 数 シフト量 が光 ファイバに加 わる伸 縮 歪 に比 例 して変 化 する
現 象 を セ ン シ ン グ 原 理 と し , こ れ を 高 い 空 間 分 解 能 と 速 い 測 定 速 度 で 測 定 で き る 独 自 の 技 術 ( BOCDA 法 :
Brillouin Optical Correlation Domain Analysis)を発 明 してきた.本 年 度 は,この他 ,光 ファイバブラッググレーテ
ィング(FBG)による多 点 歪 センシング,光 ファイバ加 入 者 網 監 視 用 リフレクトメトリ等 でも成 果 を得 た.
BOCDA 法 では,光 源 周 波 数 変 調 によりポンプ光 とプローブ光 の相 関 を制 御 し,光 ファイバに沿 う 1 点 (相 関 位
置 ) でのみ 誘 導 ブ リルア ン散 乱 を発 生 させる. 本 年 度 は,ブ リルア ン光 相 関 領 域 解 析 法 ( BOCDA 法 ) に関 して,
偏 波 維 持 光 ファイバの一 方 の偏 波 モードで誘 導 散 乱 を発 生 させつつ,直 交 偏 波 モードで複 屈 折 を測 定 する新 技
術 を提 案 ・実 証 し,ブリルアン散 乱 周 波 数 シフトと複 屈 折 を両 方 測 定 することから温 度 と歪 を同 時 分 離 測 定 でき る
技 術 を提 案 して,空 間 分 解 能 11cm,歪 感 度 12με,温 度 感 度 0.3 度 での実 験 に成 功 した(図 16).また,実 際 応
用 に希 望 された片 端 アクセス特 徴 を有 するブリルアン光 相 関 領 域 リフレクトメトリ(BOCDR)システムも提 案 し,自 然
ブリルアン散 乱 特 性 の分 布 計 測 を可 能 にし,空 間 分 解 能 13mm,サンプリング速 度 50Hz での実 証 実 験 に成 功 し
た(図 17).同 一 波 長 光 ファイバグレーティング多 重 化 技 術 では,長 尺 FBG 内 の歪 と温 度 分 布 測 定 を可 能 にした
独 自 システムの性 能 を,詳 細 にシミュレーションする技 術 を開 発 し,1cm の空 間 分 解 能 でブラッグ波 長 分 布 を測 定
することに成 功 した(図 18).光 ファイバ加 入 者 系 の診 断 技 術 として,光 波 コヒーレンス関 数 の合 成 法 によるリフレク
トメトリで測 定 速 度 を数 桁 向 上 させ,数 秒 で測 定 を完 結 できる システムを構 築 した(図 19). 高 機 能 な痛 み の分 る
材 料 ・構 造 の実 現 として,計 測 器 メーカと共 同 開 発 してきた可 搬 型 BOCDA システムを小 型 ジェット機 に搭 載 し,
飛 行 中 の機 体 変 形 の分 布 を時 間 応 答 も含 めて測 定 することに成 功 した.
〔別添13-19〕
様式20-19(第12条第1項関係)
Pump-Probe BGS measurement
Microwave: ν⎠
PC
isolator
50%
EDFA SSBM
PC
PM-ISO
EOM EDFA
isolator
Computer
NI-DAQ
LIA 1
45300
PBS
PM
-C
IR
DFB-LD-2
TBF ramp-sweep
43800
10910
43300
10900
42800
10890
42300
10880
41800
10870
41300
10
PD2
45100
10935
10920
PD1
LIA 2
45500
10945
44300
ν
y-pol.
VOA
10955
44800
10930
PMF
20
30
40
50
o
60
44900
10925
44700
10915
44500
10905
44300
10895
44100
10885
43900
0
70
200
400
600
800
1000
1200
1400
1600
Strain (με)
Temperature ( C)
(a)
DAG fyx (MHz)
PM
-C
PC IR x-pol.
ref.
10940
Polarizer
[pump]
DAG fyx (MHz)
PC
ν
x-pol.
EDFA
BFS ν B (MHz)
PC
50%
BFS νB (MHz)
DFB-LD-1
[probe]
(b)
(c)
図 16 ブリルアン散 乱 特 性 と複 屈 折 特 性 の測 定 による歪 みと温 度 の同 時 分 離 測 定 .(a) 実 験 系 の構 成 ;
(b)と(c) 歪 みと温 度 分 離 測 定 の結 果 .
(a)
(b)
図 17 ブリルアン光 相 関 領 域 リフレクトメトリ(BOCDR).(a) 実 験 系 の構 成 ;(b) 歪 み分 布 測 定 結 果 の例 .
Circulator
Coupler
IM
DFB‐LD
Isolator
Bias
Tee
Delay
Line
[Reference]
FG1
Sync
[Signal]
FBG
FG2
40MHz AOM
CG1
SQD
CG2
BPF
40MHz
Isolator
+
-
PC
PD
Coupler
PD
Isolator
Coherence
Peak
Bending
Loss
Sensing
Arm
(a)
(b)
図 18 長 尺 FBG を用 いた分 布 型 歪 み・温 度 センシング.(a) 実 験 系 の構 成 ;(b) 温 度 分 布 測 定 結 果 の例 .
5km
2m
2m
Conventional method: measurement time 332sec
High-speed method: sweep time 10s
(a)
(b)
図 19 光 ファイバ加 入 者 系 の診 断 のための高 速 リフレクトメトリ.(a) 実 験 系 の構 成 ; (b) 高 速 測 定
の実 験 結 果 と従 来 手 法 での結 果 との比 較 .
様式20-20(第12条第1項関係)
〔別添13-20〕
超 高 速 ・広 帯 域 波 長 可 変 光 ファイバレーザとその光 ファイバセンサへの応 用
共 振 器 中 の 分 散 を 利 用 す る ことで広 帯 域 か つ高 速 に 波 長 を 掃 引 で き る モード同 期 フ ァイ バレ ーザの研 究 を 進
めている.増 幅 媒 質 として種 々の波 長 帯 で広 い利 得 をもつ半 導 体 光 増 幅 器 (SOA)を用 い,SOA の注 入 電 流 を直
接 変 調 する ことによ りモー ド同 期 を実 現 す る. 分 散 補 償 ファイバ(DCF) など の高 分 散 媒 質 を共 振 器 中 に挿 入 する
ことで, 共 振 器 内 に適 当 な分 散 を与 え, モー ド同 期 周 波 数 を変 化 させる ことで, 共 振 器 中 の分 散 の影 響 によ り発
振 波 長 が変 化 し(分 散 チューニング),広 帯 域 の波 長 可 変 レーザが実 現 できる.更 にはモード同 期 周 波 数 を周 波
数 変 調 す る こ とで, 広 い 波 長 範 囲 を高 速 に 掃 引 でき る . 今 年 度 は , 波 長 1.5mm 帯 のリ ン グ 型 レ ーザ を 試 作 し ,
120nm という非 常 に広 い波 長 範 囲 を 20kHz で掃 引 することに成 功 した(図 20).掃 引 速 度 は共 振 器 長 を短 くする
ことで更 に高 速 化 できる.これを光 ファイバグレーティング(FBG)センサシステムに応 用 することにより,1kHz までの
動 的 な歪 みを測 定 することに成 功 した(図 21).センサシステムの更 なる高 速 化 ・高 精 度 化 を現 在 進 めており,また
FBG センサのみならず光 コヒーレンストモグラフィ(OCT)への応 用 なども進 めていきたいと考 えている.
図 20 分 散 チ ュ ー ニ ン グ に よ る 超 高 速 ・ 広 帯 域 波 長 可 変 光 フ ァ イ バ レ ー ザ (a) 実 験 系
(b)1kHz 三 角 波 で掃 引 時 のピークホールド光 スペクトラム
図 21 超 高 速 ・広 帯 域 波 長 可 変 光 ファイバレーザを用 いた FBG センサ
③ ミリ波 ・マイクロ波 によるセンサおよびセンシングシステム
今 年 度 は,セキュリティ用 ミリ波 ・マイクロ波 イメージングのうち,特 に,コヒーレントな情 報 を適 応 的 に扱 うことによ
り,より多 くの情 報 を得 るための研 究 を行 った.まず,76.5GHz 帯 で有 効 にコヒーレントなイメージングを実 現 するた
めの「変 調 複 素 振 幅 観 測 法 」を提 案 し,その基 礎 実 験 を行 った.ミリ波 による直 進 性 と人 体 や所 持 物 のイメージン
グに適 切 な変 調 波 長 を持 ったシステムを構 築 して,極 めて狭 い周 波 数 帯 域 のみを使 用 しながら奥 行 きも含 めた物
体 形 状 の高 速 に取 得 を可 能 とした.計 測 例 を図 22 に示 す.この手 法 は,キャリア位 相 情 報 と異 なる変 調 位 相 情
報 を観 測 する ことにより,人 物 や所 持 物 のスケールに適 切 な奥 行 き 感 度 を適 応 的 に得 ることができる方 法 である.
ま た , 位 相 情 報 か ら 少 ない 計 算 量 でき れ い な 3 次 元 形 状 を 取 得 す る た め の手 法 とし て「位 相 特 異 点 拡 散 法 」 と
「複 素 マルコ フランダムフ ィールド( CMRF)モデルに基 づく位 相 特 異 点 除 去 手 法 」を提 案 し,その有 効 性 を実 証 し
た.
〔別添13-21〕
様式20-21(第12条第1項関係)
(a)
図 22
(b)
変 調 複 素 振 幅 観 測 法 による 3D 画 像 (缶 ,ペットボトル)の(a)アンラップト位 相 画 像 と(b)振 幅 画 像
④ 機 能 性 イメージング・チップとシステム
3 次 元 実 時 間 撮 像 性 能 を有 する CMOS スマートセンサーと電 磁 機 械 的 走 査 機 能 を有 するシート状 ビーム照 射
装 置 との組 合 せで構 成 されたレンジマップファインダーセットを複 数 個 用 いて,複 数 の視 点 から全 方 位 的 に3次 元
情 報 を取 得 するシステムを研 究 した.複 数 の視 点 から の情 報 を統 合 して3次 元 像 を再 構 築 する には精 度 よく 個 々
のレンジマップファインダーセットを構 成 する必 要 があるが,従 来 の位 置 と形 状 が既 知 の標 準 ターゲットを用 いる代
わ りに, 球 状 のタ ー ゲットを複 数 の場 所 で 撮 像 する こと で簡 便 に構 成 する 手 法 を開 発 した . 球 状 のタ ーゲットの真
球 性 を評 価 関 数 として最 小 二 乗 誤 差 法 を用 い,CMOS スマートイメージセンサーのカメラパラメータとシート状 ビー
ム照 射 装 置 のプロジェクタパラメータを推 定 しその 3 次 元 撮 像 誤 差 を評 価 した結 果 ,図 23 および 24 に示 すように
従 来 手 法 と遜 色 のない簡 便 な球 状 ターゲットによる校 正 が実 現 できることを実 験 的 に確 認 した.
図 23 球 状 ターゲットによる校 正 用 3 次 元 撮 像 例
図 24 球 状 ターゲットによる 3 次 元 撮 像 誤 差 評 価 (相 対 誤 差 )
⑤ 全 地 球 ・宇 宙 を対 象 とするセンサおよびセンシングシステム
宇 宙 からの観 測
宇 宙 研 では地 球 観 測 衛 星 のひとつとして,オーロラ現 象 の科 学 観 測 を実 施 する 小 型 科 学 衛 星 “れいめい”(重
量 72kg)を開 発 し,2005 年 に打 ち上 げている.2007 年 度 にも衛 星 はすべての機 能 が正 常 であり相 模 原 キャンパ
スで毎 日 運 用 を実 施 している.超 小 型 の宇 宙 用 GPS 受 信 機 を開 発 して“れいめい”衛 星 に搭 載 している(図 25)
が,その軌 道 上 性 能 のデータが得 られ,短 期 ランダム誤 差 1.5m の優 れた性 能 が得 られていることが確 認 された.
宇 宙 の観 測
ミリ波 マイクロ波 の VLBI 観 測 局 のひとつを高 高 度 衛 星 にすることで,基 線 長 30,000km の超 長 基 線 干 渉 計 を
構 成 するスペース VLBI 電 波 観 測 衛 星 ASTRO-G は 2012 年 度 打 ち上 げを目 指 して,開 発 を進 めている(図 26).
当 研 究 室 では,衛 星 プロジェクト全 般 のマネージメントと,柔 軟 構 造 物 をともなった衛 星 の高 精 度 姿 勢 制 御 の研
究 ,GPS 衛 星 を用 いた高 精 度 軌 道 決 定 の研 究 を進 めている.
〔別添13-22〕
様式20-22(第12条第1項関係)
図 25 現 在 も運 用 している小 型 科 学 衛 星 “れいめい”
図 26 2012 年 打 ち上 げを目 指 して開 発 中 の
スペース VLBI 電 波 天 文 観 測 衛 星 ASTRO-G
⑥ 沿 面 放 電 測 定 用 マイクロセンサの開 発
沿 面 放 電 現 象 は気 中 放 電 と比 べ 進 展 しやすい 性 質 を持 ってい る た め, 機 器 やデバイスの電 気 絶 縁 上 の 最 弱
点 となる.セキュアライフ・エレクトロニクスに貢 献 できる,より安 全 かつ高 効 率 ・高 密 度 な機 器 設 計 を行 うためには,
沿 面 放 電 現 象 の機 構 解 明 こそが近 道 であるといえる.機 構 解 明 には,沿 面 放 電 進 展 時 の電 界 分 布 や電 荷 密 度
など各 種 パラ メータの測 定 情 報 のデータ ベースが必 要 である が,電 子 デバイスの内 部 で考 えら れるナノ~数 百 ミク
ロンオーダーの沿 面 ギャップにおける放 電 現 象 を捉 えた研 究 は非 常 に少 ない.そこで本 研 究 では,空 間 分 解 能 が
10μm に達 する高 分 解 電 荷 密 度 分 布 測 定 システムを構 築 し沿 面 放 電 の微 細 構 造 に迫 ることを目 的 とする.
今 年 度 においては具 体 的 には,半 導 体 プロセスを利 用 し,薄 膜 上 に 20nm-500μm の沿 面 放 電 ギャップを作 成 し,
このようなマイクロ沿 面 ギャップの火 花 電 圧 を測 定 し,さらに直 径 2μm のプローブを 100μm の沿 面 ギャップ間 に配
置 し た 電 荷 密 度 分 布 プ ロ ト タ イ プ センサ を 試 作 し , 沿 面 放 電 測 定 時 における 問 題 点 を 実 験 的 に 検 証 し , 改 良 設
計 を行 った.その結 果 ,下 記 の問 題 点 が解 決 すべき課 題 として浮 上 した.
A)放 電 電 極 間 の抵 抗 値 が 10~100kΩ と小 さく,放 電 電 極 間 で沿 面 放 電 を発 生 させられなかった.
B)高 圧 電 源 からのプローブ出 力 読 み出 し配 線 部 分 への静 電 誘 導 ノイズが大 きい.
図 27 プロトタイプセンサ
そこで, これ ら の点 をク リア す べ く , センサ の改 良 設 計 を 行 った . 改 良 版 におい ては , SiO 2 基 盤 にセ ンサ 構 造 ,
絶 縁 層 ,放 電 電 極 層 を積 層 させていく構 造 であり,また読 み出 し回 路 部 分 のシールドを行 いやすい配 線 形 状 とす
る予 定 である.最 終 的 には,集 積 回 路 チップ上 にセンサ構 造 を積 み上 げ,読 み出 し回 路 も含 めて1チップとするこ
とを視 野 にいれている.
(c) 研 究 拠 点 整 備 の進 捗 状 況
① MEMS・バイオ研 究 拠 点 (駒 場 )
駒 場 の生 産 技 術 研 究 所 内 にある MEMS 用 クリーンルームについては,機 能 デバイス研 究 コアと協 力 して,現 在
の設 備 を維 持 ・運 営 しながら若 干 の更 新 を進 めている.センシングコアのバイオ拠 点 については,テーマ研 究 費 で
購 入 した水 中 試 料 観 測 用 原 子 間 力 顕 微 鏡 を立 ち上 げ,微 小 管 ,DNA 分 子 などの測 定 に成 功 した.
② センシング・システムおよびコンポーネント研 究 拠 点 (SSCL)(本 郷 )
今 年 度 は, バ イ オ実 験 設 備 およ びマイ ク ロ 波 ・ミ リ波 研 究 設 備 の整 備 を 進 め た . マイ ク ロ 波 ・ミ リ波 の 設 備 とし て
は小 型 電 波 暗 室 の設 置 に取 りかかっている(図 28).電 波 吸 収 材 の設 置 がほぼ完 了 し,今 後 計 測 機 材 などを整
備 してゆく予 定 である.
様式20-23(第12条第1項関係)
図 28 構 築 が進 む電 波 暗 室 内 部
〔別添13-23〕
図 29 相 模 原 キャンパスグローバル COE 拠 点
③ 相 模 原 キャンパスグローバル COE 拠 点 の形 成 :
相 模 原 キャンパスの特 殊 実 験 棟 4 階 に JAXA 内 部 の予 算 とグローバル COE の予 算 で宇 宙 用 マイクロデバイス
の研 究 ・開 発 用 クリーンルームを構 築 し,相 模 原 キャンパスのグローバル COE 拠 点 としている(図 29).このクリーン
ルームはクラス 1000 のクリーン度 を有 し,シリコンのエッチング装 置 やドラフト,マスクアライナ,真 空 蒸 着 器 などが
備 わっている.2008 年 度 はさらにデバイス開 発 用 設 備 や評 価 装 置 を充 実 させ高 度 な宇 宙 用 マイクロデバイスが開
発 可 能 となる予 定 である.また,このクリーンルームは JAXA 内 部 だけでなく広 く外 部 の大 学 や機 関 に開 放 する予
定 である.このクリーンルームで作 製 されたデバイスなどはグローバル COE の予 算 で購 入 した高 性 能 測 定 器 や実
装 用 ツールなどを用 いて評 価 ,実 装 を行 う JAXA 内 部 では東 大 理 学 系 併 任 教 授 の満 田 ,総 研 大 併 任 准 教 授 の
戸 田 ,東 大 併 任 助 教 の三 田 が使 用 し,外 部 では首 都 大 学 の江 副 助 教 などが使 用 する予 定 であり,本 グローバル
COE の枠 にとどまらない共 同 研 究 拠 点 として稼 動 させる予 定 である.
(d) センシング・シンポジウム(2007 年 12 月 20 日 開 催 )
本 グローバル COE の第 2 回 ワークショップとして,本 研 究 コアが中 心 となり,「宇 宙 からのセンシングによるセキュ
アライフ」ワークショップ(主 催 東 京 大 学 グローバル COE「セキュアライフ・エレクトロニクス」,共 催 宇 宙 航 空 研 究
開 発 機 構 宇 宙 科 学 研 究 本 部 )を 2007 年 12 月 20 日 (木 )に宇 宙 航 空 研 究 開 発 機 構 宇 宙 科 学 研 究 本 部 相
模 原 キャンパス 新 A棟 2 階 会 議 室 Aにて開 催 した.宇 宙 に特 化 した内 容 ながら,50 名 を超 える参 加 者 があり,
活 発 な討 論 が行 われた.詳 細 は,本 報 告 書 別 項 に譲 る.A
(2) 情 報 処 理 ・ネットワーク研 究 コア
(a) 研 究 目 的 と背 景
将 来 のコンピューティング環 境 では,センサなどを含 めたさまざまなコンピューティング資 源 や膨 大 な容 量 の分 散
コンテンツに,種 々のネットワーク資 源 を介 してアクセスすることになる.ネットワークに遍 在 するコンピューティング/
コンテンツ資 源 を自 在 に利 用 できるネットワークを構 築 し,これらの資 源 を人 類 全 体 で共 有 する ことができれば,ネ
ットワ ー ク 自 体 が知 的 活 動 を 支 援 す る 情 報 基 盤 /イ ンフ ラ ストラ ク チ ャとなり得 よ う . また , 膨 大 な 資 源 を有 効 に 利
用 することで,極 めて面 白 いアプリケーションが喚 起 され得 る.
本 研 究 では, ユーザがネットワーク 上 の資 源 に自 在 にア クセスでき,か つコンピ ュータ が自 発 的 に私 たちの生 活
を豊 かにしてくれるような環 境 を実 現 することを目 的 とする.これに向 けては,革 新 的 なアプリケーションを視 野 にい
れ な がら ハ ー ドウ ェ ア 技 術 と ソフ ト ウェ ア 技 術 と を 根 本 か ら 捉 え 直 す こ と が 必 須 で あ り, ネッ ト ワ ー ク , 情 報 処 理 , デ
バイス,回 路 ,フォトニックネットワークなどといった多 角 的 な視 点 から新 世 代 情 報 基 盤 を構 築 することを目 指 す.
(b) 各 サブテーマの研 究 報 告
① 省 電 力 ユビキタスデバイスに関 する研 究
ユビキタスネットワークにおいては消 費 電 力 が重 要 な問 題 として顕 在 化 する.ユビキタス社 会 におけるアプリケー
ション/サービスを視 野 に入 れながら,信 号 処 理 技 術 ,オペレーティングシステム,無 線 通 信 技 術 ,RF 回 路 技 術 ,
分 散 型 電 源 回 路 技 術 を統 合 的 に開 発 し,省 電 力 ユビキタスデバイスを設 計 する.
ウェイクアップ型 無 線 通 信 モジュール
無 線 デバイスがあまねく使 用 されるユビキタス環 境 では,デバイスに搭 載 される電 池 の大 きさに制 約 があるため,
無 線 モジュールの受 信 待 機 電 力 が大 きな問 題 となる.本 研 究 では,無 線 LAN などのメイン無 線 モジュールとは別
にウェ イ ク ア ッ プ 専 用 の 無 線 モ ジュ ー ル を設 ける こ と で 受 信 待 機 電 力 を 削 減 する 機 構 の 開 発 を 進 め てい る . 具 体
的 には, 超 低 消 費 電 力 なウ ェイク アップ 無 線 モジュールを用 い て受 信 待 機 を行 い, 通 信 要 求 に 応 じ てメイ ン無 線
〔別添13-24〕
様式20-24(第12条第1項関係)
モジュールをスリープ 状 態 からウェイク ア ップさせる.このよう な機 構 の実 現 に向 け,今 年 度 はウェイクアップ 無 線 モ
ジュールの基 本 設 計 ,ハードウェアプロトタイプ実 装 ,メイン無 線 モジュールのウェイクアッププロトコル,高 速
ON/OFF 機 構 の基 本 検 討 を行 った.
図 30 ウェイクアップ型 無 線 通 信 モジュール
超 高 速 無 線 伝 送 を実 現 するミリ波 帯 集 積 回 路
毎 秒 1ギガビ ットを超 えるデ ータ伝 送 を可 能 にするミリ波 帯 無 線 通 信 を,CMOS 集 積 回 路 を用 い て実 現 する た
めの基 礎 研 究 を実 施 し てい る.今 年 度 は,低 雑 音 増 幅 回 路 を仕 様 に基 づき 回 路 パラメータ を最 適 化 し,レイアウ
トを自 動 生 成 するプログラム PREMICS を開 発 した.また,ハイビジョン画 像 の非 圧 縮 無 線 伝 送 を実 現 する低 消 費
電 力 のワイヤレス HDMI を目 指 し,ミリ波 帯 パルス通 信 方 式 を提 案 し,世 界 で始 めてミリ波 パルス受 信 回 路 を実 現
した.
DC
· Frequency band
· Gain
· Dissipation
· NF
· Reflection
DC
Core
Technology
90nm CMOS
Band
22-29GHz
Modulation
OOK
Supply
Voltage
0.91V
Max Data Rate
1 Gbps
Core Size
Gain, NF etc.
Layout
Technology
Frequency Band
core
Chip micrograph CMOS 90nm
(nine metals one ploy)
freq.
Corresponding performance
1.4 mW
90µm × 15µm
90nm CMOS
59-66GHz
Modulation
PPK
Supply Voltage
1.15V
Input Data Rate
1.5Gbps
Power
Consumption
11mW@
1.5Gbps
Core Size
Binary data
NLA
2Gbps
0110101 0010110 101001011 0101 0010110 101001
VM
LA
DUM
Power
Consumption
ESSCIRC2006
準ミリ波パルス生成回路
565μm
DC-offset
canceller
VIN
Buffer
Specification
PREMICS
Chip summary
Output Package
725μm
Input
VP
DC-offset
canceller
60GHz input
pulse (VIN)
Negative output of
the receiver (VM)
VDD VSS
2008 VLSI Symposium
60GHz パルス受信回路
90µm × 20µm
2007 Electronics Letters
60GHzパルス生成回路
図 31 ミリ波 集 積 回 路 自 動 生 成 ツール PREMICS(左 )とミリ波 パルスジェネレータとパルスレシーバ(右 )
低 電 力 ・高 機 能 3 次 元 LSI 用 分 散 型 電 源 回 路
LSI を小 型 化 ・高 機 能 ・低 電 力 化 する技 術 として,プロセッサ・イメージセンサー・アナログ・DRAM・フラッシュメ
モリなど複 数 のチップを 1 つのパッケージ内 に積 み重 ね,1 つの LSI として機 能 させる 3 次 元 LSI(図 32)が注 目 さ
れている.3 次 元 LSI に搭 載 される LSI はその動 作 原 理 の違 いから,1V で動 作 するプロセッサから 25V で動 作 す
るフ ラ ッシュ メ モリま で, チ ップ 間 で動 作 電 圧 が大 き く 異 なる 問 題 が あ り, 単 一 電 源 で広 い 出 力 電 圧 幅 を 生 成 する
電 源 回 路 が求 められている.本 研 究 では広 い電 圧 レンジ(1V~25V)を効 率 良 く出 力 することができる,分 散 型 電
源 回 路 の研 究 を行 っている.今 回 ,図 33 に示 すインダクタを用 いたブーストコンバータを検 討 し,インターポーザ内
に作 られた低 抵 抗 のインダクタを採 用 す ることで,効 率 よく昇 圧 を行 うことができることを明 らか にした.またブースト
コンバータの損 失 要 因 を分 析 し(図 34),MOS ダイオードの VTH の選 択 とゲート幅 の最 適 設 計 が効 率 改 善 に重 要
であることを示 した.
MOSダイオードによる損失
パッケージ
Out
オンチップ電源ユニット
インターポーザ
半導体チップ
プロセッサ、RF、アナログ、
メモリ、MEMS、センサなど
図 32
3 次 元 LSI
Vin
CL
図 33 ブーストコンバータ回 路 図
RL
DC/DC効率:57%
23%
20%
MOSスイッチによる損失
コイルによる損失 3%
(インターポーザ最適化前提)
図 34 ブーストコンバータの損 失 要 因 と比 率
方 向 性 エッジ情 報 を用 いた動 画 像 認 識 VLSI システム
今 年 度 は,エッジ情 報 を用 いて動 画 像 シーケンスから動 きの情 報 を取 り出 し,これによって動 作 の理 解 を行 う研
究 を行 った.人 間 の脳 は,まず第 一 視 覚 野 で様 々な方 向 のエッジ情 報 を抽 出 し,これを用 いて形 状 認 識 と動 き認
識 を,それぞれ別 々の pass way で実 行 しているが,本 研 究 もこれに習 ったのである.
まず,エッジ情 報 によって物 体 の形 状 を認 識 し,その形 状 を順 次 追 いかけて行 く手 法 で object tracking システ
ムを開 発 し た .また, 環 境 の中 で人 が移 動 する と環 境 の視 覚 画 像 も それ に応 じ て変 化 する が, その変 化 から 自 分
の動 きを理 解 するいわゆる ego motion perception も,方 向 性 エッジ情 報 を用 いて実 現 した.さらに,視 野 内 で動
〔別添13-25〕
様式20-25(第12条第1項関係)
作 する人 物 のジェスチャーを認 識 するシステムの基 礎 も開 発 した.この目 的 のため ,相 対 的 な尤 度 評 価 ではなく,
絶 対 評 価 によって判 断 のできる新 たな隠 れマルコフモデルを開 発 し,その有 効 性 を実 証 した.
さらに,ブロックマッチング処 理 を1クロックで実 行 できる専 用 のデジタル VLSI チップを開 発 した(図 35 左 ).試
作 チップによって,256×256 の画 像 のすべてのピクセル位 置 における動 きベクトル検 出 を,20MHz の動 作 で 5.8ms
で実 行 できることを示 した(図 35 右 ).これは,2.8GHz の CPU によるソフトウェア処 理 と比 較 して,100 分 の 1 以 下
の消 費 電 力 で 1000 倍 以 上 も高 速 であることを示 している.
Edge Histogram Generator
Histogram Element
Generator ×32
Clock Driver
Crossbar
Switch
+ Shift &
Matching
Original
Images
x-Motion
-8
+8
-8
yMotion
Edge Histogram Generator
+8
Time
図 35 ブロックマッチング法 を用 いたオプティカルフロープロセッサ(左 )と実 測 結 果 (右 )
② フォトニックインターネットに関 する研 究
次 世 代 の情 報 基 盤 を支 えるフォトニックインターネットの開 発 に向 けては,ネットワーク技 術 の視 点 と光 技 術 の視
点 の双 方 が必 須 である .ユーザ主 導 型 光 パス設 定 技 術 ,イ ンターネットルーティング技 術 , 光 パ ケット処 理 技 術 ,
光 ラベル変 調 技 術 ,光 ファイバ伝 送 技 術 を機 能 要 件 を明 らかにしながら統 合 的 に開 発 し,新 世 代 フォトニックイン
ターネットの設 計 と実 装 を行 う.
デジタルコヒーレント光 受 信 器 を用 いた 16QAM 信 号 の復 調
多 値 光 変 調 は, 光 周 波 数 帯 域 の 利 用 効 率 をシ ャノ ン限 界 ま で 高 め る た め に 有 効 な 方 法 で あ る . このた め に 本
研 究 で は, デ ジタ ルコ ヒー レ ント 受 信 器 と い う 概 念 を 提 唱 し , 研 究 を 推 進 し てい る . コ ヒーレ ント 光 受 信 器 を 用 い て
検 出 される光 複 素 振 幅 は,信 号 光 と局 発 光 による位 相 雑 音 を含 む.そのため,シンボルの決 定 には,位 相 変 動 を
追 尾 し,固 定 された位 相 基 準 を抽 出 する必 要 がある.これまで,デジタル信 号 処 理 (DSP)により M 相 PSK 信 号 複
素 振 幅 を M 乗 する搬 送 波 位 相 推 定 法 が提 案 され,これを用 いて 8PSK 信 号 の復 調 実 験 が行 われている.しか
し,この方 法 は,QAM のようにシンボルが複 素 平 面 において同 心 円 状 に配 置 されない変 調 方 式 では,有 効 に動
作 しない.今 年 度 は,適 応 等 化 の手 法 として知 られている最 小 二 乗 平 均 (LMS)アルゴリズムに基 づく搬 送 波 位 相
推 定 法 を提 案 し,本 方 式 を,PSK 信 号 だけでなく QAM 信 号 にも適 用 してその有 効 性 を実 証 した.
図 36 は,オフライン処 理 で得 られた搬 送 波 位 相 推 定 後 の 20GbpsQPSK と 40Gbps16QAM 信 号 の複 素 振 幅
分 布 である.位 相 変 動 が追 尾 され,シンボル判 定 が可 能 になっていることがわかる.デジタルコヒーレント受 信 器 に
おける適 応 等 化 アルゴリズムを用 いた搬 送 波 位 相 推 定 は,PSK 以 外 の変 調 方 式 にも容 易 に対 応 できるため,今
後 の応 用 が期 待 される.
(a) QPSK
(b) 16QAM
図 36 搬 送 波 位 相 推 定 後 の QPSK と 16QAM の複 素 振 幅 分 布 (10GSymbol/s)
超 高 速 光 ファイバ伝 送 システム
イーサネットに代 表 される LAN のギガビット・10 ギガビット化 が急 ピッチに進 められており,それを支 える基 幹 系
光 ネットワークのビットレート高 速 化 ,例 えば 160 Gbit/s への高 速 化 ,が急 務 となっている.このような超 高 速 伝 送
システムでは 160 Gbit/s 光 信 号 を安 定 に発 生 することが可 能 な光 送 信 器 が必 要 不 可 欠 であるが,従 来 の電 子 回
路 を基 本 とする方 式 は,その帯 域 制 限 の為 に,適 用 が困 難 である.
本 研 究 は,光 時 間 多 重 技 術 を活 用 する 160 Gbit/s 光 送 信 器 の開 発 を目 的 に,そこで必 要 となる高 安 定 光 パル
ス列 発 生 器 を提 案 ・実 現 した.新 規 技 術 として,Fabry-Perot 共 振 器 に光 位 相 変 調 器 を配 置 させた光 コム発 生 器
(optical comb generator: OCG)と光 フィルタ(optical bandpass filter: BPF)を用 いる簡 易 方 式 を提 案 し,その方
式 を用 いて 10 GHz 2 ps 光 パルス列 を高 安 定 に発 生 可 能 なことを示 した.その光 スペクトル波 形 ,時 間 波 形 及 び
自 己 相 関 波 形 を図 37 に示 す.従 来 の非 線 形 光 ファイバと高 出 力 光 増 幅 器 を用 いる方 式 に比 べ,単 一 の光 変 調
〔別添13-26〕
様式20-26(第12条第1項関係)
after BPF 6 nm
Wavelength
[5nm/div]
(a)
after OCG
Intensity
[10dB/div]
Spectrum
[10dB/div]
after OCG
Voltage [a.u.]
器 と光 フィルタ を用 いる のみ の簡 易 構 成 の本 方 式 は, C バンド帯 域 において安 定 に単 一 偏 波 の光 パルス列 が容
易 に得 られるのが大 きな特 徴 である.このパルス列 発 生 器 を用 いて発 生 させた 160 Gbit/s 光 信 号 の高 品 質 性 も
確 認 している(図 38).
after BPF
after BPF
1.6 ps
Time [20ps/div]
Delay Time
[5ps/div]
(b)
(c)
Voltage [a.u.]
図 37 光 コム発 生 器 及 び光 フィルタ後 の光 スペクトル波 形 (a)と時 間 波 形 (b)と出 力 パルス自 己 相 関 波 形 (c)
10 ps
Time [ps]
図 38 発 生 させた 160 Gbit/s 光 信 号 のアイパターン
スケーラブル 1×N 光 スイッチ
次 世 代 フ ォトニックイ ンタ ー ネットにおい て中 核 となる 光 ルータ の実 現 には, 光 の経 路 を数 ナ ノ 秒 以 下 で高 速 に
切 り替 える大 規 模 な光 ルーティング素 子 が必 要 となる.従 来 の 1×N,および N×N 光 スイッチは,1×2 や 2×2 の基
本 ブロックを多 段 接 続 した構 成 を持 ち,ポーと数 N の増 加 とともに光 損 失 が増 大 するため,8×8 以 上 の大 規 模 化
が困 難 であった. 本 研 究 では, ポー ト数 の増 大 に対 し てスケーラ ブ ルな「フェ ーズアレイ 型 半 導 体 光 スイッチ」を新
た に 考 案 し , 設 計 手 法 の 確 立 と デ バイ ス 実 証 に 成 功 し た . 本 スイ ッ チ は , ア レ イ 状 に 並 べら れ た 光 位 相 制 御 器 を
用 いて光 の干 渉 位 置 を制 御 することによりスイッチングを行 う.変 調 器 の段 数 が N に依 存 しないため,従 来 手 法 に
比 べて優 位 なスケーラビリティを持 つことを理 論 的 に示 した.同 時 に,InP 半 導 体 基 板 上 に 1×5 光 スイッチを試 作
し,10ns 以 下 の高 速 光 スイッチング動 作 ,50nm 以 上 の広 帯 域 波 長 動 作 など,基 本 特 性 の検 証 に初 めて成 功 し
た(図 39).
InÎ
500μm
Î Out
Slab1
Arrayed phase shifters
(a)
Slab2
1
2
3
4
5
(b)
(c)
図 39 作 製 した InP フェーズアレイ型 1×5 光 スイッチ(a),スイッチング計 算 結 果 (b),および実 験 結 果 (c)
多 波 長 光 パケットスイッチング技 術
次 世 代 フ ォ ト ニック イ ンタ ー ネットを構 成 す る 光 ルータ を実 現 する 上 で, 光 ルータ の構 成 デ バイ ス数 は非 常 に 大
きな問 題 となる.従 来 では各 波 長 毎 に独 立 した光 パケットを送 受 信 するため,デバイス数 がポート数 ×波 長 数 に比
例 した 数 となり,スケーラビ リティに問 題 があった. 本 研 究 では, デバイス数 を大 幅 に削 減 し 実 用 化 に向 けて大 きく
前 進 させる「多 波 長 光 パケットスイッチング技 術 」を開 発 し,実 証 実 験 に成 功 した.本 技 術 では,従 来 一 波 長 に符
号 化 さ れ る 一 つ の 光 パ ケ ッ ト を 複 数 の 波 長 群 に 符 号 化 し , 一 括 で スイ ッチ ン グ す る こ と に よ り デ バ イ ス 数 を 削 減 す
る.本 実 証 実 験 では,320Gbps (40Gbps x 8 波 長 )多 波 長 光 パケット交 換 を実 験 し,その実 現 性 を確 認 した (図
40).
③ 情 報 環 境 設 計 に関 する研 究
ネットワーク 技 術 , センサ 技 術 ,イ ンタフェ ース技 術 など の進 展 により,ネットワーク 上 には多 種 多 様 なコ ンテンツ
が溢 れつつあり,ユーザ主 導 型 で情 報 の入 力 ,処 理 ,出 力 を行 うことのできる情 報 環 境 の構 築 が求 められている.
将 来 の情 報 環 境 のあり方 を描 きながら,センシング用 VLSI 技 術 ,省 電 力 回 路 技 術 ,知 能 化 インタフェース技 術 ,
サービス指 向 アーキテクチャ技 術 ,光 グリッド技 術 の設 計 と実 装 を統 合 的 に行 う.
様式20-27(第12条第1項関係)
〔別添13-27〕
図 40 実 証 実 験 の構 成 (左 ),スペクトラム(a),アイパターン(b,k),および実 験 結 果 (c-j)
ユビキタスネットワーク基 盤 プラットフォーム
ユビ キタ スネッ トワ ーク 基 盤 技 術 とし て, センサ リッチ 空 間 か ら のコ ンテキス ト 情 報 抽 出 機 構 , コ ンテキス ト 情 報 を
用 いた個 人 化 サービス実 現 機 構 ,低 消 費 電 力 での周 囲 デバイス発 見 ・連 携 機 構 の研 究 開 発 ,ならびに構 築 した
実 験 スペースを用 いて実 証 実 験 を進 めている.
具 体 的 には,無 線 センサノード向 けのハードリアルタイムオペレーティングシステム,1フロア当 たり数 十 の無 線 セ
ンサノードで実 現 される地 震 モニタリングアプリケーション,加 速 度 情 報 を利 用 しユーザの状 態 を推 定 するコンテキ
スト推 定 技 術 ,無 線 センサネットワークのための省 電 力 マルチコア CPU,REST アーキテクチャスタイルに基 づくリソ
ース連 携 フレームワーク,QoL(Quality of Life)向 上 のためのウェアラブルモニタリング基 盤 ,コンテキスト情 報 から
の 人 間 関 係 ネ ッ トワ ー ク の 抽 出 など の 研 究 を 推 進 し た . あ わ せ て, 従 来 か ら の 秋 葉 原 実 証 実 験 スペ ース に 加 え ,
研 究 室 内 に新 たに実 証 実 験 スペースを構 築 し,実 践 的 な評 価 を行 う環 境 整 備 を進 めた.
図 41 ユビキタスネットワーク基 盤 プラットフォーム
対 話 型 進 化 計 算 を用 いるデザインシステム
今 年 度 は, 対 話 型 進 化 計 算 を用 いて人 間 とコ ンピュ ータの相 互 協 力 が可 能 なデザインシステムを構 築 し た. こ
れは人 間 の評 価 系 をモデル化 して組 み込 むという従 来 の分 析 的 なアプローチに対 して,人 間 そのものを設 計 過 程
に取 り込 み,本 人 の評 価 に基 づいてコ ンピュータに最 適 な設 計 をさせるアプローチである.逆 にいえば,適 合 度 が
陽 には定 義 されない分 野 に対 して,ユーザの協 力 により淘 汰 圧 をあたえることで望 みの進 化 を達 成 することを目 指
す.
具 体 的 には遺 伝 的 プログラミングをインターラクティブに利 用 して,複 雑 な設 計 問 題 への有 用 な支 援 ツールを実 現
した.本 研 究 においては,(1) 音 楽 ,(2) WWW 上 の擬 人 化 エージェントキャラクタ,(3) ロボットの動 作 デザイン,
の 3 つ の 分 野 に 絞 っ て , シ ス テ ム の 有 効 性 を 明 ら か に し た . こ れ ら は それ ぞ れ (1) 芸 術 , (2) マ ル チ モ ー ダ ル の 感
性 や(3)工 学 的 最 適 化 設 計 に対 応 し,異 なる代 表 的 なデザイン領 域 と考 えられる.
超 音 波 通 信 による高 精 度 位 置 認 識 手 法
位 置 情 報 など のコ ンテキスト を利 用 す る ことによ り,コ ン ピュ ータ やコ ン ピュ ータ を埋 め 込 ん だ人 工 物 への適 切 な
インタフェースをユーザに提 示 したり有 用 なサービスを提 供 したりすることが,ユビキタスコンピューティングの大 きな
目 標 の1つである.われわれは,超 音 波 を用 いた極 めて高 精 度 の測 距 手 法 をこれまでに独 自 に提 案 した.今 年 度
は,この手 法 を用 いる ことにより,ロボットトラッキングシステムの開 発 を進 めた(図 42).さらに,移 動 物 体 の位 置 と
速 度 を実 時 間 で同 時 計 測 す る 手 法 へ と拡 張 し , よ り精 密 な計 測 が 可 能 である こ とを示 し た . これら の要 素 技 術 に
基 づき,今 後 はユーザとのインタラクションが可 能 なシステムへと展 開 する予 定 である.
〔別添13-28〕
様式20-28(第12条第1項関係)
図 42 ロボットトラッキングシステム
機 械 学 習 用 のための素 性 の自 動 構 成
安 全 ・ 安 心 なシステムの構 築 には, 高 度 な知 能 化 が必 要 である が, システムを細 部 に至 るま で人 手 で設 計 する
ことは,多 大 な労 力 を要 し,見 落 としなどの誤 りを生 じやすい.そこで観 測 されたデータの蓄 積 から適 切 な振 る舞 い
を自 動 的 に推 定 する機 械 学 習 技 術 の利 用 が有 力 となる.
対 象 シ ステ ム の 状 況 を 表 す あら ゆる デ ー タ およ び その すべて の 組 み 合 わ せを 考 慮 に 入 れ た 機 械 学 習 は, 本 質
的 でないデータのゆらぎなどにより,学 習 結 果 が収 束 しにくいので,すでに十 分 な知 識 の蓄 積 がある場 合 は,問 題
の 本 質 を とら え る と 考 え ら れ る 着 目 す べ き 観 測 可 能 な データ の適 切 な 組 み 合 わ せ (素 性 )を 設 定 し て 機 械 学 習 を
施 すが,知 識 蓄 積 が不 十 分 な領 域 においてはこれは適 用 できない.
そこで本 研 究 ではまず素 性 の生 成 ・抽 出 自 体 を自 動 化 する方 式 を試 みた.具 体 的 にはデータの蓄 積 や評 価
が 容 易 な い く つか の ゲ ー ム を 対 象 に 研 究 を 進 め た . 一 例 と し て, オ セ ロ ゲ ー ム の 終 盤 局 面 に つ い て, 先 読 み を 一
切 行 わず に勝 敗 を予 測 する 問 題 に対 し, 着 目 すべき コ マ配 置 の組 み合 わせパターンを自 動 的 に抽 出 ,生 データ
に対 して直 接 機 械 学 習 を行 った場 合 と比 して判 定 精 度 を大 きく向 上 できることを示 した.
図 43 機 械 学 習 のための素 性 の自 動 構 成
Web Mining のフォークソノミーへの適 用
Web の量 的 /質 的 な爆 発 によって,Web mining が,知 識 抽 出 ,知 識 体 系 構 築 の有 力 な手 段 と認 識 されてき
た.特 に Semantic Web に必 要 な知 識 体 系 の構 築 が急 務 であるが,これが成 功 しているとはいいがたい.本 研 究
では,トップダウンの知 識 体 系 構 築 にかわり,フォークソノミーなど,ユーザ参 加 型 のメディアで蓄 積 されてきた「も
の」から知 識 体 系 を構 築 することを試 みた.また,時 系 列 的 な概 念 の発 生 過 程 を観 察 することを試 みた.結 果 とし
ては,我 々が通 常 接 するような体 系 とは必 ずしも一 致 しないが,有 力 な体 系 を抽 出 することに成 功 した.
惑 星 間 インターネットのためのパケット転 送 制 御
かなり先 の将 来 に向 けてではあるが,惑 星 間 インターネットの研 究 が始 まっている.この場 合 ,例 えば 10 分 オー
ダーにもなる超 特 大 伝 播 時 間 を考 慮 する必 要 がある.宇 宙 環 境 に適 したファイル転 送 用 国 際 標 準 プロトコルとし
て CFDP(Consultative Committee for Space Data Systems File Delivery Protocol)があり,本 研 究 では CFDP を
前 提 におき,ファイル転 送 に要 する総 時 間 FDT(File Delivery Time)の短 縮 ,特 に伝 送 誤 りが生 じた場 合 の再 送
時 間 の最 小 化 を目 標 として,惑 星 間 インターネットに適 したバッファ管 理 方 式 を検 討 した.その結 果 ,再 送 用 バッ
ファがあふれた場 合 の処 理 方 式 として,①既 に送 出 したパケットの優 先 廃 棄 ,②到 着 するまでに要 した伝 送 時 間
が大 きいパケットの優 先 破 棄 ,③いったん再 送 することになったパケットと同 一 のファイルに含 まれるパケットの優 先
破 棄 の3技 術 を考 案 した.そして,シミュレーションによって,これら3技 術 を適 用 することで,バッファ溢 れが生 じる
確 率 を小 さくすること,誤 りやバッファ溢 れに起 因 するパケット再 送 の回 数 を削 減 すること,及 びパケット再 送 時 の
パケット転 送 時 間 を削 減 できることによって,総 合 的 な FDT を大 幅 に短 縮 できることを実 証 し,所 期 の目 標 の達
成 に成 功 した.
高 速 鉄 道 における異 種 無 線 リンク活 用 手 法
近 年 ,ブロードバンド通 信 が一 般 家 庭 やオフィス等 に普 及 しており,今 後 は移 動 中 においても高 速 にネットワー
クに接 続 できる環 境 が求 められる.鉄 道 においては,列 車 内 インターネット接 続 サービスが一 部 の路 線 で実 施 され
ているが,現 状 では列 車 ‐地 上 間 リンクがボトルネックとなり,多 くの乗 客 が十 分 な速 度 で通 信 できるだけの通 信 帯
域 を確 保 できていない.
〔別添13-29〕
様式20-29(第12条第1項関係)
そこで,列 車 ‐地 上 間 リンクとして複 数 の異 種 無 線 リンクを利 用 することを考 え,列 車 側 及 び地 上 側 にプロキシ
サーバを設 置 し,それらが無 線 リンクの状 態 を予 測 しデータ単 位 でスケジューリングを行 うことで,列 車 ‐地 上 間 の
無 線 リンクを有 効 に活 用 する手 法 を提 案 した.シミュレーションにより,一 部 の無 線 リンクが断 続 的 にしか利 用 でき
ない環 境 においても,提 案 手 法 により一 定 の通 信 品 質 を確 保 できることが確 かめられた.
図 44 異 種 無 線 リンク活 用 手 法
4 倍 精 度 四 則 演 算 の高 速 化
数 値 計 算 法 や並 列 計 算 機 の性 能 向 上 の進 展 は,大 規 模 科 学 技 術 計 算 における大 きな鍵 となっている.特 に
数 値 計 算 分 野 における浮 動 小 数 点 数 演 算 においては,計 算 機 上 で有 限 桁 に丸 められるため,演 算 ごとに誤 差
が発 生 する可 能 性 がある.計 算 誤 差 の増 大 に対 処 するために,倍 精 度 数 よりも有 効 桁 数 が多 い 4 倍 精 度 数 を
用 いた 4 倍 精 度 演 算 の必 要 性 が高 まりつつある.
4 倍 精 度 数 の表 現 には倍 精 度 数 を 2 つ用 いて表 される 128 ビットのデータ型 があるが,4 倍 精 度 演 算 はソフト
ウェアによって 2 つの倍 精 度 数 をエミュレートして実 現 されているため,倍 精 度 演 算 に比 べ多 くの計 算 回 数 を必 要
とする.ただし,CPU コア数 を増 やして並 列 処 理 することで演 算 性 能 を上 げている現 状 の並 列 計 算 機 状 況 を考 え
ると,4 倍 精 度 演 算 はデーターアクセスより演 算 の割 合 が多 く,今 ある現 状 より一 般 的 になっていくと考 えられる.
そこで本 研 究 では,4 倍 精 度 演 算 アルゴリズムの定 量 的 な解 析 を行 った.高 速 化 手 法 としては積 和 演 算 命 令
(FMA,Fused Multiply-Add) を用 いて 4 倍 精 度 演 算 の演 算 回 数 を削 減 し,さらに並 列 計 算 機 SR11000 モデル
J2(以 下 SR11000/J2)上 の CPU である POWER 5 アーキテクチャに特 化 した最 適 化 を行 った.
Riemann zeta 函 数 の零 点 計 算 の高 速 化
本 研 究 で取 り扱 う Riemann zeta 函 数 は素 数 の性 質 を通 じて多 岐 の数 学 的 対 象 と関 わりのある重 要 な函 数 で
ある.特 に Riemann 予 想 に代 表 される零 点 の性 質 を調 べる事 は主 要 な対 象 である.
本 研 究 では Riemann zeta 函 数 の零 点 の実 際 の計 算 に用 いられるアルゴリズムを見 直 し,そこに存 在 する周 期 性
に注 目 する事 で, 二 つの主 要 な部 分 において新 しい方 法 を導 入 し高 速 化 する事 が出 来 る事 を明 らかにした.具
体 的 な計 算 において無 駄 な計 算 を省 く事 で,片 方 では少 なくとも 3.5 倍 の高 速 化 が可 能 である事 を確 かめてお
り,もう片 方 ではおよそ 3 倍 の高 速 化 が見 積 もられている.
これらの結 果 により現 在 の零 点 探 査 記 録 である 10 1 3 個 を越 える際 に大 きく貢 献 する見 込 みである.なお実 際
の計 算 は 2008 年 度 前 半 を目 処 に実 施 予 定 であり,来 年 度 の報 告 では具 体 的 成 果 を示 す事 が可 能 と考 えてい
る.
(3) アクチュエーション研 究 コア
(a) 目 的 と背 景
電 力 ,通 信 ,コンピュータ,半 導 体 と従 来 の技 術 領 域 の殻 を破 る横 断 領 域 としての「セキュアライフ・エレクトロニ
クス」の学 術 ・ 技 術 を確 立 す べく,主 として「アクチュエーション」の視 点 から研 究 を推 進 しているのが,アクチュエー
ション研 究 コアである.
本 重 点 テーマでは,セキュアライフを支 えて更 なる人 類 の豊 かさを具 現 化 できるようなパラダイムシフトをもたらす
べく , 社 会 的 にも 関 心 の高 い エネルギー , 環 境 , 交 通 , 宇 宙 の各 分 野 を対 象 とし て, 革 新 的 ハ ードウエア 技 術 研
究 者 と先 端 的 システム技 術 研 究 者 ,そし て先 見 性 豊 か な政 策 デザイ ン研 究 者 の緊 密 なコラ ボレ ーショ ンをベース
に, ア ク チ ュ エーショ ン技 術 の極 限 的 探 究 を目 的 にし てい る . 具 体 的 には, a )ア ク チ ュ エーショ ン技 術 の更 な る 応
用 を可 能 とするシステム制 御 技 術 の高 性 能 化 ,b)アクチュエーション技 術 の基 礎 となる材 料 ・デバイス物 理 の探
求 ,センサ 技 術 の高 度 化 , アクチュエータ コンポーネントの創 成 ,c)セキュアライフを実 現 するため に必 要 なシステ
ムマネージメントの新 概 念 の構 築 などである.
これらの項 目 の中 で,今 年 度 は,a)に関 連 して高 性 能 ロボティックスを目 指 す,①自 律 型 知 能 移 動 ロボットに関
する研 究 ( 駒 場 拠 点 ),② フィールドロボティクスの実 用 化 研 究 (相 模 原 拠 点 ), ③マイクロプローブ型 ロボット衛 星
の開 発 研 究 (相 模 原 拠 点 ),アクチュエーション技 術 の高 度 化 を目 指 す,④Y 系 超 電 導 線 材 を用 いた高 性 能 モー
タの研 究 (柏 拠 点 ),⑤大 推 力 永 久 磁 石 リニア同 期 モータ(トンネルアクチュエータ)の原 理 を応 用 した船 舶 の電 気
推 進 のための大 トルク永 久 磁 石 形 回 転 同 期 機 の研 究 (本 郷 拠 点 ),およびb)に関 連 して荷 電 粒 子 物 理 の解 明 を
目 指 す,⑥高 エネルギー粒 子 加 速 ・減 速 機 構 (アクチュエーション機 構 )の解 明 (柏 拠 点 ),⑦放 電 物 理 の解 明 に
適 した急 峻 方 形 波 高 電 圧 電 源 の活 用 ( 本 郷 拠 点 ), 雷 害 リスク の低 減 を目 指 す,⑧大 型 風 車 の冬 季 雷 によ る雷
害 軽 減 に関 する研 究 (駒 場 拠 点 ),更 にはc)に関 連 してリスクマネージメントの概 念 構 築 を目 指 す,⑨エネルギー
供 給 リスクの低 減 に効 果 的 な新 電 力 供 給 システムの研 究 (本 郷 拠 点 )などを中 心 に研 究 を行 った.以 下 に上 記 の
研 究 について詳 述 する.
(b) 自 律 型 知 能 移 動 ロボットに関 する研 究
様 々な具 体 的 サービスの提 供 を目 指 した移 動 ロボットの高 性 能 化 への試 みにおいて,本 年 度 は特 に屋 外 環 境
〔別添13-30〕
様式20-30(第12条第1項関係)
における自 律 移 動 ロボットの実 装 とその検 証 実 験 を行 った.屋 外 環 境 である場 合 ,ロボット自 身 による環 境 の認 識
および自 己 位 置 の推 定 が重 要 な課 題 となる.図 45 に示 す移 動 ロボットおよび複 数 センサを用 いて,環 境 地 図 の
構 築 (図 46),自 己 位 置 推 定 ,さらに目 的 地 までの経 路 生 成 と経 路 追 従 のためのロボット制 御 について研 究 を行
った. つく ば 市 内 の約 1km の実 生 活 環 境 ( 遊 歩 道 )を自 律 し て走 行 する 技 術 チ ャレ ンジとし て開 催 され た Real
World Robot Challenge(つくばチャレンジ)に参 戦 し,その成 果 を公 開 した.このチャレンジにおいて実 装 した自 律
移 動 ロボットの屋 外 空 間 における性 能 評 価 を行 うとともに,今 後 の課 題 を明 らかにした.
図 45 Mobile robot equipped with
sensors and PC.
図 46 Extracted trees and path estimate
on part of course.
(c) フィールドロボティクスの実 用 化 研 究
本 サブテーマでは,屋 外 で活 躍 するロボットの実 用 化 をめざす.オフィース内 のサービスロボットなどロボット研 究
開 発 が盛 んに行 われているが,自 律 移 動 システムが複 雑 な実 環 境 において動 作 するためには,いくつもの課 題 が
ある . 特 に, 公 共 の 場 や 自 然 地 形 など 屋 外 で 動 作 する た め には , 制 御 , セ ン シ ン グ, 認 識 , 情 報 処 理 , 通 信 など
各 分 野 の成 果 を融 合 し,あらたなブレークスルーが必 要 である.そこで,今 まで,電 気 自 動 車 ,インテリジェントスペ
ース,惑 星 探 査 ローバなどで行 ってきた成 果 をもとに,フィールドロボティクスという観 点 で,自 律 移 動 システムの屋
外 での実 用 化 へのステップをはかる.
本 年 度 は, 屋 外 自 然 環 境 下 で, 岩 など の障 害 物 を特 徴 とし て 抽 出 し , 移 動 可 能 な経 路 を自 動 生 成 する とと も
に,抽 出 された特 徴 点 をもとに自 己 の位 置 を高 精 度 に推 定 する手 法 を構 築 した.特 に任 意 の自 然 環 境 に適 応 で
きる ことを念 頭 に, 照 明 条 件 に影 響 を受 け ないロ バストなアルゴリズムを 考 案 した . 実 際 に, シミュ レ ーショ ンおよび
実 験 によりその有 効 性 を検 証 した.
図 47 自 然 環 境 下 における特 徴 抽 出 結 果 とナビゲーション結 果
(d) マイクロプローブ型 ロボット衛 星 の開 発 研 究
本 サ ブ テー マでは, 安 全 か つ 安 心 な社 会 基 盤 の構 築 を目 的 に, 小 型 なマイク ロプロ ーブ 型 衛 星 を用 い て軌 道
上 か ら の地 球 モニタ お よ び 衛 星 の メンテ ナ ンスの実 現 をめ ざす. 超 小 型 でイ ンテ リジェ ント な衛 星 を用 い る こ とによ
り,手 軽 に低 コストで多 数 の衛 星 ネットワークを実 現 することができ,南 極 などの極 地 や災 害 地 ・火 山 地 域 などの情
報 をすばやく入 手 することが可 能 になり,また海 ,山 ,森 などの環 境 や生 態 調 査 も可 能 になる.またそのような衛 星
を構 築 するための技 術 的 な革 新 の原 動 力 となる.
本 年 度 は, マイ ク ロ プ ロ ー ブ 型 ロ ボット 衛 星 の概 念 設 計 を 中 心 に 検 討 を 行 った . ま た 取 得 し た 画 像 データ など を
処 理 するシステムについて検 討 を行 った.実 際 に小 型 低 消 費 電 力 な処 理 回 路 を試 作 した.
様式20-31(第12条第1項関係)
〔別添13-31〕
図 48 マイクロプローブ型 ロボット衛 星 用 データ処 理 回 路 の試 作 ボード
(e) Y系 超 電 導 線 材 を用 いた高 性 能 モータの研 究
高 温 超 電 導 線 材 の中 でも磁 界 中 の臨 界 電 流 特 性 などに優 れ,本 質 的 に高 性 能 なイットリウム系 (Y系 )の線 材
が実 用 レベルに近 づいており,その電 気 機 器 等 への応 用 研 究 進 んできている.その中 でも高 トルク・出 力 密 度 ,
高 効 率 およびコンパク ト性 などの利 点 が期 待 できる超 電 導 回 転 機 は,船 舶 等 の推 進 用 や 産 業 用 モータ,風 力 発
電 機 とし て の 応 用 など が 広 く 期 待 され て い る . 本 研 究 で はま ず, 研 究 を 進 め る 上 で 必 要 と なる 特 性 測 定 のた め の
基 盤 的 試 験 設 備 を 整 備 し , 関 係 研 究 室 の超 電 導 応 用 機 器 研 究 に利 用 でき る よ う にする とと も に, それ を超 電 導
モータの研 究 の推 進 に活 用 する.
本 研 究 で は, (RE)BaCuO バルク 超 電 導 体 と高 温 超 電 導 コ イ ルを併 用 する ことで 強 い 界 磁 磁 束 を実 現 する 同
期 モータの検 討 を行 っている.図 49(a)は,回 転 子 部 のバルク超 電 導 体 と固 定 子 側 の超 電 導 コイルから構 成 され
る界 磁 系 の解 析 モデルを示 していて,モータの各 パラメータと特 性 との関 係 を調 べている(図 49(b)).
今 後 は さら に 計 測 系 の 整 備 を 進 め る と 同 時 に, 超 電 導 コ イ ル や バ ルク 超 電 導 体 の 形 状 や 界 磁 磁 束 分 布 の 詳
細 検 討 ,電 機 子 構 造 やモータ特 性 などの解 析 ,検 討 を行 っていく予 定 である.
(a)
(b)
図 49 (a) 超 電 導 モータ超 電 導 部 モデル, (b) B r – z 特 性 のギャップg依 存 性 (3 次 元 有 限 要
素 法 解 析 の結 果 )
(f) 大 推 力 永 久 磁 石 リ ニ ア 同 期 モ ー タ の 原 理 を 応 用 し た 船 舶 の 電 気 推 進 の た め の 大 ト ル ク 永 久 磁 石 形 回 転 同
期 機 の研 究 : 基 礎 検 討 トンネルアクチュエータの設 計 のための三 次 元 有 限 要 素 法 解 析
船 舶 の電 気 推 進 は, 環 境 負 荷 の少 ない 内 航 船 の 推 進 とい う 国 家 的 な方 針 とし ても 必 要 性 が 高 い とされ ている
上 ,IEEE などでも,この目 的 での超 電 導 技 術 を用 いた大 トルクモータの研 究 が競 って発 表 されている.この用 途 で
は,最 高 速 度 まで大 きなトルクを出 すこと,メインテナンスをせずに長 寿 命 を期 待 することから,付 加 的 な機 械 変 換
部 分 を持 たないダイレクトドライブが望 ましいとされている点 で,減 速 機 を用 い,弱 め界 磁 運 転 モードを持 つ車 両 用
モータとは大 きく異 なる,むしろリニアモータに近 い特 性 が求 められる.この点 に着 目 し,本 研 究 では,超 電 導 技 術
によらず,大 推 力 リニア同 期 モータとし て昨 今 注 目 を集 めている トンネルアクチュエータの磁 気 回 路 の原 理 を応 用
した回 転 機 の構 造 を考 案 し,船 舶 の電 気 推 進 を目 指 した具 体 的 な電 気 機 械 の設 計 と試 作 ・基 礎 実 験 による性
能 の検 証 を目 指 している.本 年 度 は,この分 野 の市 場 および現 状 技 術 の文 献 調 査 を行 うとともに,大 崎 教 授 の保
有 する三 次 元 磁 界 解 析 ソフト JMAG を利 用 し,提 案 する大 トルク電 動 機 の基 本 形 としてのリニア形 のトンネルアク
チュエータの設 計 問 題 を検 討 した.
様式20-32(第12条第1項関係)
〔別添13-32〕
(a)
(b)
図 50 三 次 元 有 限 要 素 法 を用 いた,トンネルアクチュエータがもつ極 の磁 気 回 路 の解 析 モデル(a)とコア厚
さ,スキュー角 と推 力 の関 係 の計 算 結 果 の例 (b)(簡 易 計 算 と三 次 元 解 析 の比 較 )
(g) 高 エネルギー粒 子 加 速 ・減 速 機 構 の解 明
プラズマ中 の磁 気 リコネクションによる高 エネルギー粒 子 加 速 とショッ
クによる減 速 ・熱 化 の解 明 に進 展 があった.TS-4 装 置 の球 状 トカマク
合 体 実 験 を用 いて,磁 気 リコネクションによる粒 子 加 速 を直 接 計 測 し,
リコネクションによるアルベーン速 度 に達 する粒 子 加 速 ,およびショック
による急 減 速 が明 らかになった.合 体 加 熱 機 構 は新 設 したドップラー分
光 による 2 次 元 イオン温 度 計 測 によって計 測 され,磁 気 中 性 点 から放
出 される高 速 アウトフローが再 結 合 後 の磁 力 線 に衝 突 して,ファースト
ショックを形 成 し,イオン粘 性 の効 果 と合 わせて選 択 的 に減 速 ,熱 化 さ
れることが明 らかになった.磁 気 中 性 点 から上 下 にアウトフローが放 出 さ
れ,減 速 されるため,高 温 に加 熱 される領 域 が図 51 のように 2 カ所 現 れ
る.アウトフローの運 動 エネルギーがショックやイオン粘 性 を介 して大 き
なイオン加 熱 に結 びつくことが判 明 した.一 方 ,電 流 シートでは図 のよう
に電 流 によるオーム加 熱 のため,磁 気 中 性 点 付 近 にピークした電 子 加
熱 が発 生 することが明 らかになった.中 心 の安 全 係 数 q 値 が 1.5 程 度
の ST の場 合 ,2 個 の合 体 により得 られる加 熱 エネルギーは磁 気 エネル
ギーの 10-20%程 度 であり,リコネクションの粒 子 加 速 効 果 ,プラズマ加
熱 効 果 が明 らかになった.
図 51 リ コ ネ ク シ ョ ン 直 後 の イ オ ン 温
度 、電 子 温 度 の 2 次 元 分 布 および
対 応 する磁 気 面
(h) 急 峻 方 形 波 電 源 を用 いた放 電 進 展 基 礎 特 性 の解 明
放 電 の抑 制 技 術 および制 御 技 術 においては放 電 現 象 の理 論
的 解 明 が欠 か せない が,放 電 は高 速 に進 展 する 確 率 的 現 象 であ
るため,印 加 電 圧 の大 きさや波 形 ,媒 体 の種 類 や圧 力 ,電 極 の形
状 や間 隔 など複 数 の要 因 が複 雑 に絡 みあって影 響 し,各 要 因 を
分 離 して検 討 することが難 しく,その機 構 の理 論 的 解 明 はいまだ
に な され て い な い . こ の よ う な 放 電 進 展 現 象 の 観 察 に 理 想 的 な 電
圧 波 形 は,瞬 時 に波 高 値 に達 しそれが維 持 される方 形 波 である.
日 高 ,熊 田 らは,立 ち上 がり時 間 20 ns,最 大 波 高 値 200 kV の
急 峻 方 形 波 高 電 圧 電 源 (SPURT) を 用 い , 放 電 遅 れ 時 間 1
s
以 下 の短 時 間 領 域 に注 目 して各 種 ガスの V-t 特 性 を測 定 し,準
平 等 電 界 及 び不 平 等 電 界 の絶 縁 破 壊 特 性 を把 握 してきた.
本 年 度 においては,SPURT のトリガ用 レーザを GCOE の予 算
図 52 SPURT トリガ用 Nd:YAG レーザ
で購 入 した図 52 に示 す出 力 20mJ の Nd:YAG レーザの二 倍 波
(532 nm)に変 え,立 ち上 がりを 20 ns 以 下 にするとともに,SPURT
とストリークカメラなどの観 測 系 ともジッタ 3 ns 以 内 で同 期 がとれるように改 良 した.SPURT を用 いて引 き続 き CF 3 I
を始 めとする SF 6 代 替 ガスや,空 気 ,窒 素 など各 種 ガス中 における気 中 放 電 短 時 間 領 域 特 性 の測 定 及 び沿 面 放
電 進 展 特 性 の測 定 を行 った.
CF 3 I 中 においては,沿 面 火 花 放 電 を絶 縁 物 (アクリル棒 および PTFE 棒 )上 で繰 り返 し発 生 させると,CF 3 I の分
解 生 成 物 である I 2 が絶 縁 物 表 面 に付 着 し,沿 面 火 花 電 圧 が 40%近 く低 下 することがわかった.
なお急 峻 方 形 波 電 源 装 置 には,GC-MS が接 続 され,放 電 により生 じる副 生 成 物 の分 析 が可 能 であり,火 花 放
電 により C 2 F 6 ,C 3 F 8 ,C 2 F 5 I などが生 成 されている様 子 が定 量 的 に把 握 することができた.
〔別添13-33〕
様式20-33(第12条第1項関係)
Frequency rate per season
(i) 大 型 風 車 の冬 季 雷 による雷 害 軽 減 に関 する研 究
大 型 風 車 の冬 季 雷 による被 害 は,冬 季 に高 構 造 物 か ら頻 発
する上 向 き雷 放 電 によることが確 実 である.その雷 被 害 率 を予
25.0
測 する上 で,通 常 の下 向 き雷 放 電 を対 象 に開 発 された多 くの雷
しゃへいモデルは無 力 である.このため,冬 季 日 本 海 側 の高 構
20.0
y = 0.11 x
造 物 において観 測 された雷 撃 頻 度 にもとづいて,構 造 物 が冬 季
15.0
にどれほど落 雷 に遭 い易 いかという指 標 を数 値 化 することを試
10.0
みた.
1 シーズン毎 の雷 撃 頻 度 ( strokes/season)を, 同 じく1シーズ
5.0
ン毎 の,雷 放 電 位 置 標 定 システム(LLS)で観 測 された雷 撃 密 度
0.0
(strokes/k ㎡ /season) で除 した, 雷 撃 率 (frequency rate)という
0
50
100
150
200
250
指 標 を定 義 する.この指 標 は,冬 季 の上 向 き雷 放 電 の発 生 し
Structure Height [m]
易 さを示 し,主 に構 造 物 の高 さに依 存 す ると考 えられる .標 高 も
図 53 構 造 物 の高 さと雷 撃 率 の関 係
影 響 することは明 らかだが,それを定 量 的 に評 価 するにはまだ
データが十 分 ではない.雷 撃 率 は冬 季 の3ヶ月 間 で全 ての上 向
き落 雷 が観 測 されるとして試 算 した.冬 季 日 本 海 側 の3箇 所 の
構 造 物 への雷 撃 数 と LLS のデータから得 た雷 撃 率 の,構 造 物 高 さ依 存 性 を図 53 に示 す.原 点 を通 ると仮 定 した
近 似 直 線 ((1)式 )もあわせて示 す.
雷 撃 率 =0.11 * 構 造 物 高 さ(m)
(1)
雷 撃 率 は冬 季 に 1km 2 に 1 回 LLS で雷 放 電 が観 測 される時 に,1シーズンに何 回 落 雷 するかという数 値 であ
る.また,その地 域 の雷 密 度 と構 造 物 の高 さより,1 シーズンの雷 撃 数 は以 下 の式 (2)で表 せる.
構 造 物 への雷 撃 数 =雷 撃 率 * N
(2)
(N: LLS で観 測 された全 雷 放 電 密 度 [strokes/k㎡/season])
式 (1)の雷 撃 率 は何 km 2 の領 域 の雷 放 電 を高 構 造 物 が集 めるかという遮 へい範 囲 と見 ることもできる.例 えば
200m構 造 物 であれば,雷 撃 率 22 であるので,22k㎡の領 域 ,つまり高 構 造 物 を中 心 とした半 径 2.6km の円 内 の
雷 放 電 を遮 へいする計 算 となる.この値 は,冬 季 に高 構 造 物 から上 向 きリーダで開 始 した雷 放 電 の電 荷 の中 心 と
高 構 造 物 との最 大 距 離 に近 い値 であり,大 きな矛 盾 はない.
(j) エネルギー供 給 リスクの低 減 に効 果 的 な新 電 力 供 給 システムの研 究
太 陽 光 発 電 や燃 料 電 池 , 小 型 ガ スエ ン ジン など 新 し い 電 力 供 給 シ ステム の 普 及 が進 ん でおり , 従 来 想 定 し て
い なか っ た 配 電 系 統 へ の 多 数 電 源 連 系 が 現 実 味 を 帯 び て い る . 多 数 台 電 源 連 系 配 電 系 統 で は 電 圧 変 動 , 短
絡 電 流 増 大 , 保 護 協 調 など の問 題 が発 生 することが予 想 されており, エネルギー供 給 リスク を低 減 する解 決 方 法
の構 築 が求 め られている.逆 にこれらの機 器 を協 調 して運 用 することにより,従 来 のシステム以 上 の信 頼 性 やその
他 の機 能 を付 加 したエネルギー 供 給 システムの構 築 が可 能 となる. これらの問 題 の解 決 や新 システム構 築 のた め
にはハー ドウェア・ソフ トウェ アの両 面 か ら 検 討 が必 要 となり, 共 通 の検 証 プ ラットフ ォームの設 置 が求 め られる . 現
在 ,8 台 の実 験 用 電 力 変 換 器 を購 入 し,これら問 題 の検 証 に資 する研 究 プラットフォームの構 築 を行 っている.
(4) 機 能 デバイス・マテリアル研 究 コア(本 郷 )
(a) 研 究 目 的 と背 景
情 報 機 器 の基 盤 を支 えるハードウェア技 術 の研 究 教 育 の高 度 化 は将 来 の産 業 発 展 のために不 可 欠 のものであ
る が, そ の 中 核 で あ る 半 導 体 技 術 は , 一 定 の 成 熟 期 を 迎 え て い る . そ の た め 従 来 型 の 微 細 化 を 中 心 と す る 加 工
製 造 技 術 や そ れ に 立 脚 す る メ モ リ ー ( DRAM ) 製 品 等 の 付 加 価 値 は , 技 術 的 に も 産 業 的 に も 急 速 に 低 下 し て い
る. 今 後 , 情 報 機 器 ハー ドウェア 技 術 と 産 業 の両 面 で世 界 のリーダ とし てその役 割 を果 たし ていくた め には, 微 細
化 に偏 った材 料 ・物 性 ・加 工 技 術 ではなく,新 しい高 付 加 価 値 機 能 を実 現 するための新 材 料 技 術 ,プロセス技
術 , 高 密 度 実 装 技 術 等 を 研 究 開 発 す る 必 要 が あ る . こ の 研 究 を 実 施 する に は 領 域 を 横 断 する 各 種 の 技 術 を 融
合 することが不 可 欠 の要 素 となる.本 テーマでは,多 様 な専 門 性 をもつ各 メンバー独 特 の優 れた材 料 作 製 技 術 ,
プロセス技 術 ,設 計 技 術 ,解 析 技 術 などを駆 使 することによって,電 子 (伝 導 ),光 ,磁 気 などの機 能 を融 合 したデ
バイスを作 製 ,集 積 化 し,エレクトロニクスシステムに応 用 することを目 的 とする.
(b) 各 サブテーマの研 究 報 告
① 極 限 ナノ構 造 の光 学 物 性 とその応 用 技 術 の追 究 :
本 サブテーマでは,極 限 的 に微 細 化 したナノ構 造 において顕 在 化 する新 しい光 学 物 性 の探 求 と評 価 を行 うと共
に,そのデバイス応 用 を目 指 して研 究 を行 った.本 年 度 の主 な成 果 のうちの数 例 についてさらに詳 しく述 べる.
半 導 体 量 子 ドット間 での近 接 場 光 エネルギー移 動 の制 御
ZnO 量 子 ドット(QD)は励 起 子 結 合 エネルギーが大 きいため,室 温 で動 作 するナノフォトニックデバイスの材 料 と
して有 望 である.本 年 度 は,ZnO QD 間 での近 接 場 光 エネルギー移 動 について定 量 的 評 価 を行 い,ZnO QD 比
〔別添13-34〕
様式20-34(第12条第1項関係)
率 を制 御 することで,エネルギー移 動 の割 合 を制 御 することに成 功 した.
まず,ゾルゲル法 により直 径 が 2.7nm(QDS)と 4.5nm(QDL)と粒 径 が揃 った ZnO QD コロイド溶 液 を作 製 した(図
54(a)).この際 ,QDS の励 起 子 の基 底 準 位 と QDL の第 一 励 起 準 位 が共 鳴 する(図 54(b)).QDS と QDL の個 数
比 がそれぞれ 1:1,1:2,2:1 である試 料 を基 板 上 に分 散 し(QD 間 の平 均 距 離 :3nm),各 々の試 料 に対 して QDS
の基 底 準 位 からの発 光 ( 3.58eV)の時 間 分 解 発 光 測 定 を行 った (図 54 (c)). 測 定 された スペクトルは速 い成 分
(τ t r )と遅 い成 分 (τ S )の 2 つの指 数 関 数 でフィッティングされることが分 かった.この際 ,QDL の個 数 比 が増 えるに
つれ,τ S 成 分 に対 してτ t r 成 分 の強 度 比 が増 加 する結 果 が得 られたことから,このτ t r 成 分 は QDS から QDL への近
接 場 光 エネルギー移 動 による緩 和 の成 分 であると考 えられる.
⎛ t ⎞
⎛ t ⎞
Atr exp ⎜ − ⎟ + As exp ⎜ − ⎟
⎝ τ tr ⎠
⎝ τs ⎠
(a)
τ tr
PL intensity (a.u.)
20nm
平均ドット間隔
~3nm
QDL:QDS
1:2
τs
1:1
3.58eV
QDS
3.44eV 0
QDL
(b)
0.5
1
2:1
1.5
T im e (n s )
(c)
図 54 (a) ZnO QD の透 過 型 電 子 顕 微 鏡 像 .(b)エネルギー準 位 図 .(c)3.58eV からの時 間 分 解 発 光 測 定 結 果 .
カーボンナノチューブの電 界 発 光
単 層 カーボンナノチューブ(CNT : carbon nanotube)は直 径 がナノスケールでありながら,数 m 以 上 の長 さに成
長 できるため,現 在 の半 導 体 プロセスやリソグラフィにより容 易 に電 極 を直 接 取 り付 けることが可 能 な数 少 ない ナノ
材 料 であり,ナノオプトエレクトロニクス材 料 として有 力 な候 補 である.その電 界 発 光 機 構 を解 明 することを目 指 して
いる.
図 55(a)に単 一 の擬 金 属 ナノチューブからなる架 橋 電 界 効 果 トランジスターに 1V 程 度 のバイアスを加 えた時 の
スペクトルを示 す.擬 金 属 CNT は通 常 発 光 しないと考 えられているため,発 光 を説 明 するための熱 励 起 による発
光 モデルを構 築 した.これらのデバイスはドレイン電 圧 1-3V 程 度 で負 性 微 分 抵 抗 を示 し(図 55(b)挿 入 図 ),これ
は電 子 温 度 上 昇 とそれに伴 う光 学 フォノン数 増 加 によるものだと考 えられる.電 子 温 度 は 1200K 以 上 になり(図
21(b)青 ),このモデル計 算 から得 られた電 子 温 度 をボルツマン的 な活 性 エネルギーの式 exp[-E/(kT)]に代 入 する
と,観 測 された発 光 強 度 のドレイン電 圧 依 存 性 を再 現 した(図 55(b)赤 ).
(b)
(a)
) 3
u
.a
(
x? 2
・
ュ
・
・ 1
ュ
・
0.6
波長(nm)
1750 1400
1.0
0.8
エネルギー (eV)
1050
1.2
1500
10 5
)
A5
(s
Id
0
)
K
(1200
e
1
xT
Vds(V)
・ 900
キ
q・
d・ 600
・
300
0.0 0.4 0.8
10 4 ).u
.a
10 3 (?x
・
10 2 ュ
・
10 1 ュ
・
・
0
10
1.2
ドレイン電圧 Vds(V)
図 55 (a) 赤 外 領 域 での電 界 発 光 スペクトル.3 つのデバイスからのデータがそれぞれ異 なる色 に対 応 し
ている.(b)ドレイン電 圧 の関 数 としての電 子 温 度 T e ( 青 ,実 線 がモデル計 算 )と発 光 強 度
(赤 ,丸 が実
測 値 ,実 線 が計 算 値 ).挿 入 図 は電 流 電 圧 特 性 .
② スピントロニクスデバイスおよび材 料 技 術 の追 究 :
本 サブテーマでは,スピンの自 由 度 を生 かした新 しいスピントロニクスデバイスとそれを実 現 するために必 要 なスピ
ントロニクス材 料 の研 究 を進 めている.本 年 度 の主 な成 果 のうちの数 例 について,以 下 に詳 しく述 べる.
Ⅲ-V族 強 磁 性 半 導 体 へテロ構 造 におけるトンネル磁 気 抵 抗 効 果 と共 鳴 トンネル効 果
量 子 サイ ズ効 果 とスピ ン自 由 度 を組 み 合 わせる ことによ り, 新 た な機 能 を有 するスピントロニク スデバイ スが実 現
されると期 待 されている.例 えば,共 鳴 トンネル効 果 とトンネル磁 気 抵 抗 効 果 (TMR)を組 み合 わせることにより,所
望 のバイアス電 圧 で非 常 に大 きな TMR が得 られるような素 子 を設 計 できると期 待 される.本 研 究 では,GaMnAs
〔別添13-35〕
様式20-35(第12条第1項関係)
量 子 井 戸 二 重 障 壁 トンネル接 合 を作 製 し,共 鳴 トンネル効 果 とそれに起 因 した TMR の増 加 現 象 を,強 磁 性 半 導
体 量 子 へテロ構 造 において初 めて明 瞭 に観 測 した.
我 々 は 分 子 線 エ ピ タ キ シ ー 法 を 用 い て , p-GaAs(001) 基
板 上 に Ga 0 . 9 5 Mn 0 . 0 5 As(20 nm)/ GaAs(1 nm)/ Al 0 . 5 Ga 0 .
5 As(4 nm)/ GaAs(1 nm)/ Ga 0 . 9 5 Mn 0 . 0 5 As (d nm)/ GaAs(1
nm)/ AlAs(4 nm)/ GaAs:Be (100 nm)からなる GaMnAs 量
子 井 戸 二 重 障 壁 へテロ構 造 を作 製 した.図 56(a)に,これら
の素 子 において得 られた d 2 I/dV 2 -V 特 性 を示 す.すべての
素 子 において,負 のバイアス側 ,すなわち,正 孔 が p-GaAs
基 板 から 上 部 GaMnAs 電 極 に向 か って流 れる バイ アス側
で,振 動 現 象 が観 測 された.量 子 井 戸 膜 厚 d の増 加 に伴
い, これ ら のピ ーク はゼロ バイアス側 へ シ フ トし, 振 動 の 周 期
は短 くなっている.このような振 動 現 象 は,GaMnAs 単 一 障
壁 磁 気 トンネル接 合 では見 られなかった.従 って,これらの
振 動 は, GaMnAs 量 子 井 戸 にお け る 量 子 サ イ ズ 効 果 に 起
因 す る と 考 え ら れ る . 図 56(b) に こ れ ら の 素 子 で 得 ら れ た
TMR のバイアス依 存 性 を示 す.負 バイアス側 で,TMR の振
動 現 象 が観 測 された.TMR 振 動 のピークは,d の増 加 に伴
い,ゼロバイアス側 へシフトした.従 って,これらの TMR の増
加 現 象 も共 鳴 トンネル効 果 に起 因 していると言 える.また,
Luttinger-Kohn k ⋅ p ハミルトニアン,p-d 交 換 相 互 作 用 行
列 ,およびトラ ンスファー行 列 法 により, GaMnAs 量 子 井 戸
における量 子 準 位 を定 量 的 に説 明 できることが分 かった.こ
れらの結 果 は,GaMnAs 量 子 井 戸 を介 したトンネル現 象 に
おいて,正 孔 が高 いコヒーレンシーを有 することを意 味 して
いる.
図 56
(a)本 研 究 で得 られた d
2
I/dV
2
-V 特 性
Si(001) 基 板 上 に エ ピ タ キ シ ャ ル 成 長 し た 強 磁 性 半 導 体
(平 行 磁 化 状 態 )。(b)TMR のバイアス依 存 性 。
Ge 1 - x Fe x 薄 膜 の結 晶 性 ・磁 性 の評 価
縦 軸 は()内 に示 した各 曲 線 の TMR 比 の最 高 値
我 々 は シ リ コ ン テ ク ノ ロ ジ ー に 整 合 す る Ⅳ 族 半 導 体 (Si ,
で 規 格 化 さ れ た 値 。 磁 場 は 面 内 [100] 方 向 に 印
SiGe , Ge) ベ ー ス の 強 磁 性 半 導 体 の 実 現 を 試 み て い る . こ
加 。(a)(b)とも測 定 温 度 は 2.6 K。
れまでに Ge(001)基 板 ,Si(001)基 板 上 にエピタキシャル成
長 した Fe ドープ Ge(Ge 1 - x Fe x )薄 膜 が,MCD によるバンド構
造 の評 価 などから,intrinsic な強 磁 性 半 導 体 として振 舞 うことを報 告 してきた.今 回 は,強 磁 性 半 導 体 Ge 1 - x Fe x
薄 膜 の Si デバイスへの応 用 という観 点 から重 要 となる Si(001)基 板 上 にエピタキシャル成 長 した Ge 1 - x Fe x 薄 膜 に
おける,より詳 細 な結 晶 性 の評 価 と,高 Fe 濃 度 領 域 での磁 性 評 価 について報 告 する.
低 温 分 子 線 エ ピ タ キ シ ー (LT-MBE) に よ り , Si(001) 基 板 上 に 成 長 温 度 T S =250℃ で Si バ ッ フ ァ 層 , 続 い て
T S =200℃,Fe 濃 度 x = 0 – 17.5 %として Ge 1 - x Fe x 層 を 100nm 成 長 した.図 57(a)に Si 基 板 上 Ge 1 - x Fe x 薄 膜
(x=13.0%)の TEM 観 察 結 果 を示 す.Ge 1 - x Fe x 層 中 には Fe 濃 度 の揺 らぎを示 す明 暗 のコントラストが観 測 され,
加 えて Si 基 板 との格 子 定 数 ミスマッチ(Si と Ge でおよそ 4%)による貫 通 転 移 も見 られた.TEM 像 中 の1~4各
点
(a
における TED 像 を図 57(b)に示 す.積 層 欠 陥 および結 晶 対 称 性 の崩 れは見 られるものの,他 の Fe-Ge 化 合 物 等
の結 晶 性 析 出 物 は見 られずダイヤモンド構 造 を保 っていることが確 認 された.また高 濃 度 に Fe を含 む試 料 におい
て XRD による格 子 定 数 の評 価 を行 い,およそ x=13.0%までは線 形 に減 少 し,それ以 上 では飽 和 する傾 向 が見 ら
れた.この振 る舞 いは MCD による強 磁 性 転 移 温 度 (T C )の振 る舞 いとも一 致 した.これは Ge 基 板 上 に成 長 した
Ge 1 - x Fe x 薄 膜 と同 様 に,およそ x=13.0%までは Fe 原 子 がホストの Ge 結 晶 格 子 の置 換 位 置 に優 先 的 に配 置 さ
れ,その導 入 量 に比 例 して T C が高 くなり,また x>13%では余 剰 な Fe 原 子 が格 子 間 位 置 に導 入 されたと解 釈 され
る.以 上 の結 果 は Si 基 板 上 に成 長 した Ge 1 - x Fe x 薄 膜 が強 磁 性 半 導 体 となることを支 持 する結 果 である.
図 57 (a)Si(001)基 板 上 に成 長 した Ge 1 - x Fe x 薄 膜 の TEM 像 .(b) TEM 像 中 の1~4各 点 における TED 像 .
〔別添13-36〕
様式20-36(第12条第1項関係)
新 構 造 ・新 材 料 極 限 CMOS 基 盤 技 術 の構 築 :
本 サブテーマでは,Si 基 板 上 に Ge や III-V 族 半 導 体 チャネルを集 積 化 した極 限 CMOS 構 造 を実 現 するため
の研 究 を行 った.本 年 度 の主 要 な成 果 のうちの数 例 についてさらに詳 しく述 べる.
③
13Ev
Midgap
10
Ec
■ 450℃
■ 500℃
–1
–2
Interface trap density (eV cm )
GeO 2 /Ge MOS 界 面 の作 製 および MOSFET の研 究
Si よりも正 孔 移 動 度 が大 きい Ge をチャネルとした pMOSFET の研 究 を進 めている.Ge MOSFET を実 現 する上
での課 題 としては,SiO 2 /Si 並 みの良 好 な MOS 界 面 を実 現 することである.我 々は,最 も基 本 的 な Ge 酸 化 物 であ
る GeO 2 を用 いた MOS 界 面 で低 界 面 準 位 を実 現 できることを示 すことに成 功 した.GeO 2 /MOS 界 面 準 位 の酸 化
温 度 依 存 性 を低 温 コンダクタンス法 で詳 細 に調 べた結 果 が図 58(a)である.従 来 考 えられていたよりも高 温 で熱 酸
化 することで,10 1 0 cm - 2 eV - 1 台 の非 常 に良 好 な MOS 界 面 が実 現 できることを実 証 することに成 功 した.さらに,
GeO 2 /Ge MOS 界 面 を用 いたフロントゲート型 MOSFET の作 製 にも成 功 した.作 製 した MOSFET の Id-Vg 特 性 を
図 58(b)に示 す.水 溶 性 の GeO2 を SiO でキャップすることで,プロセス耐 性 が得 られることが分 かり,正 孔 移 動 度
が Si の 2 倍 以 上 の良 好 な MOSFET 動 作 を得 ることに成 功 した.
VD=-1.0V
12
10
ID
VD=-10mV
10
■ 550℃
■ 575℃
10
10
(a)
図 58 (a) GeO 2 /Ge MOS
界面準位の酸化温度依存
性 . (b) GeO 2 /Ge MOSFET
の Id-Vg 特 性 .
IS
11
–0.2
0
0.2
Energy (eV)
(b)
Si 基 板 上 III-V-OI MOSFET 実 現 に向 けた研 究
(i) III-V 化 合 物 半 導 体 結 晶 層 の Si 基 板 上 成 長
III-V 化 合 物 半 導 体 は,直 接 遷 移 であるため発 光 ・受 光 素 子 への応 用 が容 易 であること,大 きな電 子 移 動 度 を
持 ち高 速 電 子 デ バイスの電 子 チ ャネル層 に適 し てい ることなど, シリコ ンにはない 物 性 を活 用 し た高 性 能 デバイス
を実 現 す る た め に不 可 欠 な 結 晶 材 料 であ る . し か し, 構 造 材 料 とし て のシリコ ン基 板 の 優 位 性 , 確 立 され た シ リコ
ンの微 細 加 工 技 術 は化 合 物 半 導 体 を遥 かに凌 ぐものである.したがって,シリコン基 板 上 に展 開 されるシリコン
LSI をベースに,必 要 に応 じて化 合 物 結 晶 層 の機 能 を導 入 するための,シリコン基 板 上 の化 合 物 結 晶 層 成 長 が
もっとも有 望 な技 術 といえる.しかし,III-V 化 合 物 半 導 体 とシリコンの格 子 不 整 合 は数 %か ら 10%にも達 するた
め,シリコン/化 合 物 界 面 のミスフィット転 位 から生 じる貫 通 転 位 が避 けられない.このような転 位 は化 合 物 結 晶 層
の物 性 を著 しく劣 化 させ,デバイス作 製 上 どうしても克 服 しなければいけない課 題 となっている.
そこ で, シ リ コ ン 基 板 上 に 酸 化 膜 等 で パタ ーン を 形 成 し , シリ コ ンが 露 出 し た 領 域 か ら 化 合 物 半 導 体 結 晶 層 を
選 択 成 長 す る ことが 有 望 視 され てい る . このよ う な選 択 成 長 によ って 形 成 され た 結 晶 層 は, 3 次 元 構 造 を 持 つ た
め横 方 向 の応 力 を緩 和 しやすいこと,横 方 向 成 長 の際 に縦 方 向 の貫 通 転 位 は伝 播 しないことから,図 59(a)に示
すようにマスク上 では低 転 位 密 度 を実 現 できると期 待 される.
実 際 に,電 子 チャネル層 として有 望 な InGaAs の選 択 横 方 向 成 長 を行 った例 を図 59(b)に示 す.2μmほどの横
方 向 成 長 と平 坦 な表 面 が得 られており,結 晶 層 の電 気 特 性 評 価 が可 能 な形 状 を実 現 できた.一 方 ,断 面 の透
過 電 子 顕 微 鏡 写 真 (図 59(c))からは,当 初 予 測 されたミスフィット転 移 は積 層 方 向 には伝 播 せず,むしろ表 面 が
(111) 面 で ある こと に 由 来 す る 回 転 双 晶 転 移 が 表 面 と 並 行 に 多 数 導 入 され て い る こ と がわ か っ た . 成 長 条 件 を 変
化 させた検 討 から,上 記 のような横 方 向 成 長 は結 晶 への Ga の取 り込 みに関 連 している可 能 性 が示 唆 された.本
成 果 は, 特 に , 機 能 デ バイ ス・ マテリアル研 究 コ アチ ーム内 のコ ラ ボレーショ ンとし て, 杉 山 研 究 室 と高 木 ・竹 中 研
究 室 の密 接 な連 携 により得 られた結 果 である.
デバイス形成領域
(低転位密度)
鳥瞰SEM像
2μm
InGaAs
断面TEM像
100nm
Si基板
InGaAs
(a)
(b)
SiO2
SiO2
Si
(c)
図 59 (a) 選 択 横 方 向 成 長 による Si 上 III-V 化 合 物 層 の転 移 低 減 . (b) 選 択 横 方 向 成 長 による
Si(111)上 の InGaAs 層 成 長 .(c) InGaAs 結 晶 の断 面 TEM 像
〔別添13-37〕
様式20-37(第12条第1項関係)
(ii) MISFET 応 用 に向 けた III-V 化 合 物 半 導 体 MIS 構 造 の形 成
Si プラットフォーム上 に III-V 化 合 物 半 導 体 を実 現 する上 では,Si 基 板 上 の III-V チャネル形 成 に加 えて,良
好 な MIS 界 面 を有 する III-V 化 合 物 半 導 体 MIS ゲートスタック構 造 の実 現 が焦 眉 の課 題 である.この目 的 のた
めに,InAlAs 酸 化 物 を用 いて良 好 な MOS 界 面 を実 現 する研 究 を進 めている.結 晶 成 長 した InAlAs 層 を選 択
的 にウェット酸 化 して,InAlAs 酸 化 物 /InP MOS 構 造 を作 製 することで,図 60 に示 すような比 較 的 良 好 な C-V 特
性 を得 ることに成 功 した.コンダクタンス法 により評 価 した結 果 ,10 1 2 cm - 2 eV - 1 台 の界 面 準 位 密 度 が得 られている
ことが分 かった.
200
1M
500k
100k
50k
5k
Cap(pF)
150
100
50
0
-3
-1
1
図 60 Al/InAlAs 酸 化 物 /InP MOS キ ャ パ シ
タ の C-V 特 性 と そ の 周 波 数 分 散
Gate Voltage (V)
シリコン深 掘 り三 次 元 構 造 の非 破 壊 測 定 技 術
MEMS(Micro Electro Mechanical Systems:微 小 電 気 機 械 )は,従 来 の大 規 模 集 積 回 路 に新 たな機 能 をもた
らす技 術 としてますますその重 要 度 を増 している,特 に近 年 シリコン深 掘 りエッチング(Deep RIE)を用 いた縦 横 サ
イズ 2 ミクロン以 上 ,深 さ数 十 ミクロンといった縦 方 向 に深 い構 造 の微 小 機 械 構 造 が得 られるようになっている.作
製 した3次 元 構 造 の断 面 形 状 を評 価 するには,これまで破 壊 的 観 測 が主 に用 いられてきたが,本 当 に測 定 したい
対 象 は作 製 したデバイスの特 性 と断 面 形 状 との関 連 であるので,図 61 に模 式 図 で示 すような,垂 直 壁 面 の非 破
壊 観 測 が,狭 い開 口 にたいしても行 えるようになることが深 掘 り MEMS 技 術 の発 展 にとって必 須 である.この目 的
のため,微 細 な三 次 元 構 造 の垂 直 壁 面 を非 破 壊 で測 定 するシステムのための,マイクロ探 針 に関 する研 究 を行 な
った.
測 定 可 能 な最 狭 開 口 幅 を,研 究 者 らが実 用 化 しているサイズ:40μm の半 分 以 下 にすることを目 標 に研 究 を行
った.この目 的 のため,図 62 に示 すような構 成 で,細 らせた光 ファイバを内 蔵 し反 射 光 の強 度 変 化 で針 が接 触 し
た 位 置 か ら の 移 動 ( オ ー バ ー ド ラ イ ブ ) 距 離 を測 定 する , 光 検 出 原 理 を新 た に 提 案 し た . プ ロ ーブの先 端 を細 ら せ
先 端 のみ が撓 む構 造 と, 階 段 状 の鏡 構 造 により, -7.5[dB/μm]という 高 い 光 変 調 効 果 が得 られ ,その結 果 検 出 に
所 要 な プ ロ ー ブ の オ ー バ ー ド ラ イ ブ 距 離 を 従 来 の 10μm 程 度 か ら 3.5μm へ 短 縮 す る こ と が で き た . フ ラ ン ス
CNRS-LAAS(計 測 自 動 制 御 研 究 所 )の Jean-Bernard 博 士 との共 同 研 究 として開 始 され,成 果 は MEMS に関 す
る最 も権 威 の高 い国 際 学 会 (採 択 率 3割 )の MEMS2008 に採 択 され発 表 を行 った.この結 果 に基 づき,柴 田 ・三
田 研 TeamMEMS(情 報 処 理 ・ネットワーク研 究 コア+機 能 デバイス・マテリアル研 究 コア)が中 心 となり,測 定 系 の
構 築 を藤 田 博 之 教 授 グループ(機 能 デバイス・マテリアル研 究 コア)の Laurent Jalabert 博 士 とのコラボレーション
で,測 定 可 能 幅 をさらに1桁 狭 めるための新 型 プローブを杉 山 准 教 授 ならびに肥 後 助 教 とのコラボレーションで遂
行 中 である.
光源
オーバードラ イブ距 離
(短 ければ短 い方 が良 い )
強度計
サーキュレータ
ファイバ
構造部
L1
L2
接触点
対象
先 端 で検 出 :
鏡
オーバードライブ短
微細構
従 来 型 は検 出 が
バ
(a) 壁 面 測 定 法
狭窄部
(b) SDAPPLIN法 による
検 出 手 法 を採 用
図 61 測 定 対 象 と測 定 手 法
図 62 測 定 新 原 理 と作 製 したプローブ
〔別添13-38〕
様式20-38(第12条第1項関係)
④ ナノデバイスを用 いた大 規 模 集 積 回 路 システムとその設 計 技 術
ナノスケールレベルのトランジスタを多 数 用 いた超 大 規 模 集 積 回 路 においては,システムとして高 い性 能 を実 現
するための回 路 技 術 や回 路 設 計 技 術 ,更 に CAD 等 を用 いたシステム・回 路 の自 動 設 計 技 術 が不 可 欠 である.
本 サブ テー マでは, 回 路 技 術 や 回 路 設 計 技 術 の立 場 か ら の研 究 を進 め た. 下 記 に成 果 の一 例 につい て報 告 す
る.
電 源 線 di/dt 検 出 回 路 を用 いた基 板 雑 音 の能 動 的 キャンセル手 法
集 積 回 路 の集 積 度 の上 昇 , 動 作 速 度 の高 速 化 にともない, 集 積 回 路 中 で発 生 する 雑 音 が大 き な問 題 となっ
て い る . ま た , 特 に 近 年 , ア ナ ロ グ , デ ジタ ル 混 載 チ ップ に お い て は, 電 源 線 を そ れ ぞれ で 独 立 さ せ ても , 共 通 の
基 板 を 介 し て , デ ジタ ル 部 分 で 発 生 し た 雑 音 が ア ナ ロ グ 部 分 に 伝 搬 し , ア ナ ロ グ 部 分 の 動 作 に 影 響 を 与 え る 基
板 雑 音 が 大 き な 課 題 とな っ てい る . 従 来 は, こ の 基 板 雑 音 を 低 減 す る た め に, ア ナ ロ グ 部 分 を デジタ ル 部 分 か ら
十 分 遠 ざける ことで, 基 板 雑 音 の伝 搬 量 を減 ら すと共 に, ガードリングなど の設 置 によ りア ナ ロ グ部 分 が伝 搬 し て
きた 雑 音 によ り影 響 を受 けにくく するよう 設 計 が行 われ てき てい る. 一 方 , 雑 音 レベルの増 大 ,ア ナログ, デジタ ル
の電 源 電 圧 の低 下 に伴 う,雑 音 の相 対 的 な増 大 に加 え,雑 音 成 分 における高 周 波 成 分 の増 大 に伴 い,従 来 の
ガードリングなどによる受 動 的 なキャンセル手 法 だけでは十 分 ではなくなりつつある.
本 研 究 では,これらの課 題 に対 応 するた めに,基 板 雑 音 の逆 位 相 となる信 号 を基 板 に注 入 する ことで基 板 雑
音 の低 減 を目 指 すもので,基 板 雑 音 が電 源 線 の雑 音 と相 関 があるという過 去 の研 究 成 果 に基 づいたものであ
る.また,電 源 線 雑 音 を,誘 導 性 結 合 によ り検 出 することにより,より高 い周 波 数 の雑 音 成 分 の検 出 ,雑 音 のキャ
ンセルを目 指 した手 法 で,図 63(a)に示 すとおりである.本 年 度 は,このキャンセル手 法 の注 入 ゲインとキャンセル
量 に関 する検 討 を行 いうために,図 63(b)に示 すチップを CMOS 0.35um により設 計 試 作 を行 い,基 板 雑 音 とキャ
ンセル量 を測 定 した結 果 ,図 64(a),図 64(b)に示 す結 果 が得 られた.
(a)
(b)
図 63 (a) di/dt 検 出 回 路 を用 いた能 動 的 基 板 雑 音 キャンセル手 法 の概 念 図 (b) 試 作 チップ
(a)
図 64 (a) 基 板 雑 音 波 形 のキャンセル回 路 の有 無 による変 化
(b)
(b) 基 板 雑 音 低 減 効 果
プロトコル変 換 器 の自 動 合 成 手 法
IP 再 利 用 に基 づく設 計 生 産 性 の向 上 を達 成 するためには,異 なるプロトコルを用 いる機 能 ブロック同 士 を簡 単
に接 続 できるようにする必 要 がある.システム LSI で利 用 されるプロトコルにはノンブロッキング転 送 やバースト転 送
などの高 度 な機 能 が採 用 されてお り,プロ トコ ル変 換 器 の設 計 および検 証 が難 しく なってき てい る .我 々の手 法 で
は,変 換 対 象 となるプロトコルの仕 様 を Sequence と呼 ばれる小 単 位 に分 割 して与 え,各 Sequence 間 を変 換 する
部 分 変 換 器 を合 成 した 後 ,統 合 を行 う. 合 成 された プ ロトコル変 換 器 は, 制 御 フ ローを変 換 するいく つか の FSM
と,FSM 間 で同 期 をとるためのバッファから構 成 されており,プロトコルに適 した変 換 器 構 造 を選 択 することで複 雑
なプロトコルを扱 えるようになる.提 案 手 法 によって,OCP や AXI といった最 新 のプロトコル間 を変 換 するプロトコル
変 換 器 の自 動 合 成 が可 能 であることが確 認 できた.
〔別添13-39〕
様式20-39(第12条第1項関係)
図 65
提 案 するプロトコル変 換 器 の構 造
(5) 機 能 デバイス・マテリアル研 究 コア(駒 場 )
(a) 研 究 目 的 と背 景
本 研 究 チームは,半 導 体 エレクトロニクス技 術 の限 界 が近 づきつつある状 況 を打 破 し,従 来 技 術 とは異 なる新 機
能 をエレク トロ ニクスに付 加 するため,ナノ テクノロジーを駆 使 した新 物 性 ・ 物 理 の探 究 と集 積 デ バイス・システムへ
の応 用 を行 うことを目 的 とする.これにより,未 来 社 会 を根 底 で支 える超 高 性 能 ・超 低 消 費 電 力 基 盤 デバイスを実
現 し,セキュアライフ・エレクトロニクスに寄 与 することを目 指 している.
従 来 技 術 の延 長 ではない新 技 術 をナノテクノロジーを用 いて実 現 するために,3つのサブテーマを設 けた.「ナノ
量 子 機 能 デバイスの創 出 」,「ナノメカニカル機 能 デバイスの構 築 」,「ナノ機 能 デバイス・回 路 」である.それぞれの
サブテーマ内 で,複 数 の教 官 が共 同 研 究 を行 い,さまざまな相 乗 効 果 が得 られた.また,これら 3 つのサブテーマ
は相 互 に連 携 し,これらの成 果 を統 合 することによりナノテクノロジーを用 いた新 しいエレクトロニクスの研 究 を展 開
した.
(b) 各 サブテーマの研 究 報 告
① ナノ量 子 機 能 デバイスの創 出
本 サブテー マでは,極 限 的 に微 細 化 した半 導 体 ナノ 構 造 や,極 限 レベルのナノ構 造 である 分 子 などを融 合 させ
ることにより,極 限 ナノ構 造 における新 しいナノ電 子 物 性 およびナノ光 学 物 性 の発 現 を目 指 して研 究 を行 った.本
年 度 の主 な成 果 のうちの数 例 について以 下 に記 載 する.
通 信 波 長 帯 量 子 ドットのラビ振 動 の観 測
光 通 信 帯 (1.3μm 帯 )の光 子 を用 いた光 電 流 スペクトロスコピーの手 法 により,InAs 単 一 量 子 ドット励 起 子 のコヒ
ーレント制 御 とその観 測 にはじめて成 功 した.このような光 ファイバの利 用 が可 能 な通 信 波 長 帯 光 子 における電 子
系 −光 子 間 相 互 作 用 の位 相 を含 めたコヒーレント制 御 は,量 子 コンピュータの実 現 に向 けた大 規 模 分 散 系 の構
築 や長 距 離 量 子 情 報 通 信 技 術 の実 現 につながるものである.
フォトニック結 晶 ナノ共 振 器 と量 子 ドット励 起 子 の強 結 合 状 態 の観 測 と擬 似 単 一 ドットレーザの実 現
高 品 質 な InAs 量 子 ドットとフォトニック結 晶 ナノ共 振 器 を融 合 し,単 一 ドット励 起 子 と共 振 器 モード光 子 の強 結
合 状 態 (真 空 ラビ分 裂 )の観 測 に成 功 した.ラビ分 裂 幅 は 180μeV であり,これまでの報 告 された中 で最 大 の値 で
ある.また,図 66(a)に示 すように,低 温 ではあるが,極 めて低 閾 値 でのレーザ発 振 に成 功 している.照 射 閾 値 パワ
ーは 8nW であり,これは量 子 ドット数 個 分 の励 起 に相 当 する.
ナノギャップ電 極 を利 用 した金 属 /ナノ量 子 系 接 合 が発 現 する新 規 物 理 現 象 の解 明 と高 機 能 デバイスへの展
開
単 一 分 子 接 合 の 作 製 に 不 可 欠 な 原 子 レ ベ ルで 制 御 され た ギ ャ ップ を 有 する 電 極 作 製 技 術 とし て 有 効 な 通 電
断 線 法 の精 密 化 を行 うとともに,エレクトロマイグレーション現 象 の素 過 程 の解 明 への糸 口 を見 いだした.また, 単
一 量 子 ドットに強 磁 性 電 極 ,超 伝 導 電 極 を形 成 したときの新 規 な伝 導 現 象 やスピン相 関 伝 導 などに関 して,新 規
な物 理 とデバイス応 用 に関 する知 見 が得 られた.例 えば,単 一 自 己 組 織 化 InAs 量 子 ドットトランジスタにおいて,
近 藤 効 果 によるコンダクタンスの上 昇 が観 測 されている(図 66(b)).同 試 料 で得 られた 82 K という近 藤 温 度 は,こ
れまに人 工 ナノ構 造 で観 測 されたものの中 で最 高 の値 である.
様式20-40(第12条第1項関係)
〔別添13-40〕
(a)
(b)
図 66 (a) 極 低 閾 値 フォトニック結 晶 ナノ共 振 器 レーザの入 出 力 特 性 .挿 入 図 は素 子 の断 面 SEM 写 真 .
(b) 単 一 自 己 組 織 化 InAs 量 子 ドットトランジスタの伝 導 特 性 .
フォトルミネッセンス(PL)分 光 /トポグラフ解 析 による太 陽 電 池 の高 速 ・高 空 間 分 解 能 評 価
フォトルミネッセンス(PL)分 光 /トポグラフ解 析 により,太 陽 電 池 での発 電 量 の6割 を占 める多 結 晶 Si 材 料 系 に
おいて,亜 粒 界 と呼 ばれる 構 造 欠 陥 が重 金 属 および酸 素 不 純 物 の優 先 析 出 角 となることにより変 換 効 率 を低 下
させていることを明 らかにした.また,弗 酸 水 溶 液 浸 PL イメージング法 の開 発 により,一 般 に用 いられている少 数 キ
ャリヤ拡 散 長 測 定 法 等 と比 較 して,基 板 1 枚 あたりの評 価 時 間 を 1/1000 以 下 の 1 秒 以 下 に短 縮 し,空 間 分 解 能
も 10 倍 以 上 向 上 させることに成 功 した(図 67(a)(b)).さらに,電 力 デバイス用 4H-SiC 結 晶 においては,結 晶 育
成 時 ならびにデバイス通 電 時 に積 層 欠 陥 が発 生 することを突 き止 め,顕 微 PL マッピングによりデバイス構 造 を作
製 せずに欠 陥 を発 生 させながら解 析 し,透 過 電 子 顕 微 鏡 による同 点 観 察 と併 せその原 子 構 造 を解 明 した.
ナノプローブによる半 導 体 中 電 子 ・光 物 性 の局 所 的 評 価
静 電 引 力 を利 用 して試 料 表 面 の電 位 計 測 が可 能 なケルビン・プローブ・フォース顕 微 鏡 (KFM)において,その
操 作 性 や信 頼 性 を改 善 させるため に間 欠 バイアス印 加 法 を提 案 ・導 入 し,その効 果 を実 験 的 に検 証 した .また ,
KFM を光 照 射 下 にて動 作 させ,多 結 晶 Si 太 陽 電 池 表 面 での少 数 キャリアのダイナミクスの評 価 を行 い,結 晶 粒
界 付 近 で少 数 キ ャリア の 再 結 合 寿 命 と 拡 散 長 が 低 下 し てお り結 晶 粒 界 が 太 陽 電 池 特 性 の劣 化 と結 び つい てい
ること(図 67(c)),結 晶 粒 の品 質 も各 粒 ごとにばらついていること,などを微 視 的 に明 らかにした.さらに,光 照 射 下
での走 査 トンネル顕 微 鏡 (STM)計 測 によって,InAs 細 線 構 造 からの光 誘 起 電 流 信 号 を観 測 し,InAs 細 線 にお
ける光 吸 収 特 性 について検 討 した.
(c)
図 67 (a)従 来 法 と(b)HF 水 溶 液 浸 PL イメージング法 で評 価 した太 陽 電 池 用 多 結 晶 Si 基 板 の欠 陥 分 布 .
(c) 多 結 晶 Si 太 陽 電 池 において,KFM で測 定 された時 間 平 均 光 起 電 力 と照 射 光 変 調 周 波 数 との関 係 .
② ナノメカニカル機 能 デバイスの構 築
生 体 分 子 の 微 小 管 とキネ シンは,A TP (ア デノ シン三 リン酸 )をエネルギ源 とし た 細 胞 内 物 質 輸 送 の機 構 分 子
として知 られている.本 グループでは従 来 ,ガラス基 板 をマイクロ加 工 した流 体 チャネル中 に微 小 管 生 体 分 子 モー
タ を 固 定 化 し て, キ ネ シ ン 分 子 を 修 飾 し た マイ ク ロ 構 造 を 搬 送 す る 原 理 検 証 実 験 に 成 功 し て い る . 最 近 で は, 搬
送 され る マイ ク ロ 構 造 の表 面 にタ ー ゲット分 子 に選 択 的 に 結 合 する 物 質 を修 飾 することにより,1分 子 搬 送 , 検 出
する化 学 システムを構 築 する研 究 を行 っている.
本 年 度 はマイクロマシニング技 術 で製 作 したガラス基 板 上 の微 小 流 体 チャネル中 に,微 小 管 の電 気 的 配 向 を
利 用 した 微 小 管 分 子 の一 方 向 配 列 ・ 固 定 を行 い,その上 にキネシン分 子 修 飾 した微 小 物 体 (バイオ実 験 用 のマ
〔別添13-41〕
様式20-41(第12条第1項関係)
イクロビーズ)を搬 送 するマイクロ流 体 システムを構 築 した.さらに,このマイクロ流 体 システムを用 いて2種 類 の分 子
(抗 体 分 子 Antibody,および,ビタミン分 子 Biotin)の混 合 液 から分 子 を認 識 し,分 岐 チャネルに分 離 することに
成 功 した.図 68 は,微 小 管 を配 置 したマイクロ流 体 チャネルの概 念 図 である.入 り口 側 から,キネシン分 子 修 飾 し
たマイクロビーズを導 入 し,Y分 岐 を経 て,中 央 のサブチャンネルに搬 送 する.ここで,一 方 のビーズを
Streptavidin 修 飾 し,他 方 を Protein A で修 飾 する.この状 態 で,Antibody と Biotin の混 合 液 を導 入 すると,ビー
ズ表 面 で Antibody-Protein A,および,Streptavidin-Biotin の選 択 的 化 学 結 合 が形 成 される.この状 態 でビーズ
を回 収 することで,図 69 に示 すように,Antibody と Biotin を分 子 レベルで分 離 することができた.今 回 は Antibody
と Biotin を例 にとりあげて分 子 の1分 子 認 識 ,搬 送 実 験 を実 証 した.選 択 的 化 学 結 合 の例 は他 にも多 数 あるた
め,本 研 究 の手 法 は汎 用 技 術 として応 用 可 能 である.
図 68 化 学 分 子 の選 択 的 結 合 と,マイクロ流 体 中 の分 子 搬 送 を利 用 した分 子 認 識 ・分 離 システムの原 理 図 .
antibody
biotin
図 69 流 体 チャネル中 での Biotin 分 子 と Antibody 分 子 の認 識 ・分 離 過 程 実 験 を示 す蛍 光 顕 微 鏡 画 像 .
③ ナノ電 子 デバイス・回 路 :
半 導 体 ナノデ バイスを用 い て超 低 電 圧 ・ 超 低 消 費 電 力 集 積 回 路 を構 成 するた めには,デバイス設 計 と回 路 設
計 の協 調 技 術 が不 可 欠 である.本 サブテーマでは,半 導 体 ナノデバイスの研 究 室 および回 路 設 計 の研 究 室 の共
同 研 究 として研 究 を進 めた.下 記 に成 果 の一 例 について報 告 する.
デバイス設 計 と回 路 設 計 の協 調 の必 要 性
LSI の低 消 費 電 力 化 を実 現 するためには,電 源 電 圧 を極 限 まで下 げる必 要 がある.低 電 圧 における性 能 劣 化
とばらつき増 大 を回 避 するために,超 低 電 力 サブスレッショルド回 路 と超 低 電 力 デバイスの協 調 技 術 が重 要 とな
る.しかし, サ ブスレ ッショルド領 域 では, プロ セスばら つき が回 路 動 作 に及 ぼす影 響 が顕 在 化 するた め, これ が電
源 電 圧 の下 限 を決 める要 因 の 1 つになると考 えられる.90nm CMOS プロセスでリングオシレータ(RO)を製 造 し,
RO の発 振 停 止 電 源 電 圧 (Vddmin)を測 定 することにより,CMOS 論 理 ゲートの動 作 下 限 電 圧 の評 価 を行 った.
図 70 に試 作 した LSI のレイアウトとチップ写 真 を示 す.図 71 に,11 段 ,101 段 ,1001 段 の RO の Vddmin の
同 一 ウエハ内 チップ間 ばらつきの測 定 結 果 を示 す.Vddmin の最 低 値 は 50mV であった.11 段 ,101 段 ,1001
段 の平 均 Vddmin はそれぞれ 91mV,158mV,224mV であった.段 数 が増 えると,Vddmin も上 昇 する.これは,
ゲー ト 段 数 が 増 え る と, チ ッ プ 内 ばら つき によ っ て動 作 し なく なる イ ン バータ が出 て く る 確 率 が 上 がる か ら で ある . ま
た,チップ間 で Vddmin が大 きくばらつくことが判 明 した.これは,基 板 バイアスによる製 造 後 のしきい電 圧 調 整 の
重 要 さを示 唆 している.
〔別添13-42〕
様式20-42(第12条第1項関係)
Number of dies
図 70 超 低 電 圧 リングオシレータのレイアウトとチップ写 真 .
8
6
4
2
0
0
8
6
4
2
0
0
8
6
4
2
0
0
11-stage
average:90mV
50
100
150
200
250
300
350
101-stage
average:158mV
50
100
150
200
250
300
350
1001-stage
average:224mV
50
100
150
200
250
300
350
VDDmin (mV)
図 71 11 段 ,101 段 ,1001 段 のリングオシレータの Vdd m i n のウエハ内 チップ間 ばらつきの測 定 結 果 .
しきい値 電 圧 調 整 可 能 な FinFET デバイスの提 案
製 造 後 のしきい電 圧 調 整 を,微 細 化 に適 したデバイスとして有 望 な FinFET で可 能 とするために,しきい値 電 圧
調 整 可 能 な FinFET デバイスの提 案 を行 った.従 来 の SOI 基 板 上 に作 成 した FinFET に比 べ,提 案 する bulk 基
板 上 に作 成 した FinFET は,しきい電 圧 調 整 量 を大 幅 に増 大 させることができる.従 って,本 デバイスは(i)で重 要
と判 明 したチップばらつきの補 正 に適 したデバイスである.
(6) テーマ研 究
単 分 子 ・極 微 量 分 子 のセンシングをめざす分 子 評 価 MEMSデバイス
代 表 者 :藤 田 博 之 (センシング研 究 コア)
共 同 研 究 者 : 平 川 一 彦 ,高 橋 琢 二 ,杉 山 正 和 ,三 田 吉 郎 (機 能 デバイス・マテリアル研 究 コア)
(a) 研 究 目 的
今 後 のセキュ アライフの維 持 ・向 上 に不 可 欠 な環 境 汚 染 の監 視 , バイオ・化 学 テロ防 止 ,高 度 医 療 診 断 などの
基 礎 となるのは,単 分 子 ・ 極 微 量 分 子 の超 高 感 度 センシング技 術 である.他 方 ,微 細 化 の限 界 を打 ち破 り,新 世
代 のエレ ク トロ ニクスを支 える 極 限 デ バイ スとし て単 分 子 デバイ スが期 待 され ている が, ここでも 様 々な材 料 の単 分
子 レベルでの広 範 囲 な特 性 評 価 が必 須 である.本 テーマでは,上 記 のメンバーが持 つ優 れた MEMS 技 術 ,極 限
計 測 技 術 , 解 析 技 術 など を 融 合 す る こと によ って, 電 子 (伝 導 ), 光 , 磁 気 など の 単 分 子 ・ 極 微 量 分 子 レ ベル ・ セ
ンシング技 術 を構 築 ,実 証 することを目 的 とする.
(b) 研 究 成 果
MEMS 素 子 による単 分 子 の捕 獲 ,評 価 デバイス
MEMS 技 術 で,ナノ ギャップとそれを開 閉 するアクチ ュエータ 一 体 化 した デバイスを作 り,ギャップ 間 に金 ・チオ
ー ル反 応 で導 電 性 分 子 を 捕 獲 し た . 分 子 の 両 端 に 電 圧 を加 え , 導 電 電 流 を 測 る こ とができ た . ま た ア ク チュ エー
タで徐 々にギャップ間 隔 を開 きながら 導 電 率 を測 定 したところ,量 子 化 コンダクタンスに対 応 した階 段 状 の導 電 率
減 少 が見 られた.これを共 著 の論 文 [1]として発 表 した.また,新 たに導 入 した原 子 間 力 顕 微 鏡 を用 いて,マイクロ
電 極 間 に捕 獲 し た 分 子 の可 視 化 を試 み た. 現 在 ま で,微 小 管 の可 視 化 に成 功 し ,さら にD NA 分 子 等 の可 視 化
も検 討 中 である.
マイクロ流 体 素 子 を用 いた溶 液 中 での分 子 捕 獲 技 術 の研 究
従 来 の分 子 接 合 の研 究 は,超 高 真 空 かつ極 低 温 という環 境 で分 子 をナノギャップ中 に捕 獲 するものがほとんど
で ある が, 生 化 学 物 質 を 含 め た 様 々 な 分 子 を 評 価 す る た め に, 水 溶 液 中 で ギ ャ ップ 中 に 分 子 を 捕 獲 する 技 術 を
〔別添13-43〕
様式20-43(第12条第1項関係)
確 立 した.マイクロ流 路 を用 いて分 子 を振 り分 け,ギャップ間 に電 圧 を加 えることで制 御 性 よく単 分 子 を捕 獲 するこ
とができた[2].
アンテナ収 束 とマイクロ流 路 を組 み合 わせた水 中 での THz 分 子 測 定 法
上 記 のマイクロ流 体 素 子 と光 伝 導 アンテナを組 み合 わせ,THz 電 磁 波 を回 折 限 界 程 度 に集 光 することにより,極
微 量 の試 料 の THz 分 光 を可 能 にした.水 溶 液 のテラヘルツ分 光 は,生 体 関 連 物 質 のダイナミクスを探 るために必
要 不 可 欠 な技 術 であるが,水 の強 い 吸 収 のため,その分 光 は困 難 であった.我 々は,PDMS を用 いたマイ クロ流
路 を用 いることにより,水 の吸 収 を適 度 なレベルに調 整 し,水 の THz 分 光 を行 うことに成 功 した.THz 分 光 により,
PDMS が THz 領 域 にスペクトルの特 徴 や強 い吸 収 を持 たない THz 分 光 に適 した物 質 であることを見 いだした.さ
らに,ソリッドイマージョンレンズを用 いて周 波 数 1 THz の THz 光 を約 400 mm に集 光 するとともに,マイクロ流 路 の
サイズを適 当 に制 御 することにより約 10 nl 程 度 の微 量 の水 の THz 分 光 に成 功 した.
磁 気 力 顕 微 鏡 用 高 感 度 カンチレバーの設 計 と製 作
カーボンナノチューブデバイスのような微 細 デバイスの特 性 を評 価 するために,高 空 間 分 解 能 を有 する磁 場 センサ
である磁 気 力 顕 微 鏡 (MFM)を利 用 して,電 流 誘 起 磁 場 から電 流 を定 量 的 に計 測 する手 法 を開 発 している.同
手 法 に おける測 定 感 度 を向 上 させることを目 指 して,シミュレーションに基 づいて磁 気 力 による変 位 を生 じやすい
MFMカンチレバー形 状 を設 計 し,また,実 際 にそのような形 状 を有 するカンチレバーをFIB加 工 によって作 製 して
,その性 能 を 検 証 する実 験 を進 めている.
・生体関連分子のTHz分光
・水の物性
THzパルス
動的分子化学
Absorption Coefficient(cm-1)
図 72 THz 分 光 システムの概 念
1300
1200
1100
1000
900
800
700
600
500
400
300
200
100
0.0
図 73 構 築 した THz 測 定 系
液体セル(透過)
ATR
液体セル(反射)
自由電子レーザ
本研究(厚さ40μm)
本研究(厚さ補正後)
0.5
1.0
1.5
2.0
2.5
3.0
3.5
4.0
Frequency(THz)
図 74 水 の THz 吸 収 スペクトル.マイクロ流 路 の縁 などに光 がかかって,散 乱 されたため,見 かけ
上 吸 収 が大 きくなっている効 果 を補 正 した.
(7) テーマ研 究 先 端 材 料 ・ デ バ イ ス 物 理 融 合 に よ る 新 概 念 コ ン ピ ュ ー テ ィ ン グ の 創 生
代 表 者 :田 畑
研 究 者 :柴 田 ,大 津 ,田 中 ,高 木 ,竹 内 ,竹 中 ,杉 山 ,加 藤 ,中 野
(「センシング」,「情 報 処 理 ・ネットワーク」,「機 能 デバイス・マテリアル」3つのコアメンバーより構 成 )
(a) 研 究 目 的
情 報 機 器 の 基 盤 を 支 え る ハードウェ ア 技 術 の研 究 教 育 の高 度 化 は 将 来 の 産 業 発 展 のた め に不 可 欠 の も ので
あるが,その中 核 であるシリコン半 導 体 技 術 は一 定 の成 熟 期 を迎 えている.21 世 紀 において必 須 の技 術 であるセ
キュア ライ フ ・ エレク トロ ニク ス実 現 のた め にはイ ノベーショ ンを可 能 にする ため の , 先 端 材 料 と ,デバイ ス物 理 融 合
様式20-44(第12条第1項関係)
〔別添13-44〕
による新 概 念 コンピューティングの創 出 が不 可 欠 である.
一 つの方 策 として,バイオシステムの機 能 を参 考 として,「脳 型 素 子 」を形 成 するように,材 料 ,デバイス,設 計 に
研 究 の得 意 分 野 を持 つグローバル COE 参 画 の研 究 者 が集 結 して,「生 体 の複 雑 系 」の視 点 から徹 底 的 に新 しい
機 能 を追 求 す るとともに, その知 見 を取 り入 れた 新 しい 先 端 材 料 と, デバイス物 理 の融 合 による 新 概 念 コンピ ュー
ティングの創 出 を目 指 す.
(b) 研 究 の進 め方
従 来 ,“独 立 ・自 律 ”で研 究 してきた,材 料 G,デバイス G,LSI 設 計 G 等 が,グローバル COE“セキュアライフ・
エレクトロニクス”の旗 頭 の元 1拠 点 に“協 調 ・融 合 ”する.特 に「センシングプロジェクト」,「情 報 処 理 ・ネットワークプ
ロジェクト」,「機 能 デバイス・マテリアルプロジェクト」の3つの研 究 コアにまたがる“人 的 交 流 ”,クリーンルーム(10 号
館 ,武 田 先 端 知 )およびデバイ設 計 (VDEC など)を共 通 研 究 基 盤 設 備 として「ナノテクノロジー領 域 」「集 積 エレク
トロニクス領 域 」「システムエレクトロニクス領 域 」をカバーし,セキュアライフを実 現 する新 しいエレクトロデバイスの創
製 を目 指 すテーマである.
(c) 今 年 度 の研 究 成 果 ,
物 性 物 理 から,回 路 設 計 から,という異 なる双 方 の立 場 からのアプローチにより,新 概 念 コンピューティングに向
けて協 力 するための,認 識 の共 有 のため下 記 のようなキーワードをもとにして,若 手 研 究 者 ,大 学 院 生 も含 めた合
同 セミナーを3回 開 催 した.いずれも発 表 20 分 に対 して,質 疑 を 40 分 とディスカッションの時 間 を十 分 に取 った高
等 研 方 式 によるセミナーとした.
Key words: アナログ・デジタル演 算 回 路 ,生 体 模 倣 信 号 処 理 ,νMOS,量 子 デ バイス,新 規 メモリ,センサシス
テム など
第 1回
プロジェクト研 究 「デバイス物 理 と新 概 念 コンピューティング」
日 時 :10月 3日 (水 ) 13:00-15:00
場 所 :工 10号 館 3階 340C号 室
出 席 者 : 柴 田 ,大 津 ,高 木 ,田 中 ,竹 内 ,竹 中 ,田 畑 (記 )
議 事 : 購 入 設 備 の仕 様 決 定 ,具 体 的 な進 め方 ,ミニセミナー企 画
講演: 田畑
第 2回
プロジェクト研 究 「デバイス物 理 と新 概 念 コンピューティング」
日 時 :11月 5日 (月 ) 17:00-19:00
場 所 :工 2号 館 3F 電 気 系 会 議 室 1A
講 演 者 :柴 田 先 生 ,大 津 先 生 (成 瀬 先 生 )
第 3回 プロジェクト研 究 「デバイス物 理 と新 概 念 コンピューティング」
日 時 :H120年 1月 21日 (月 ) 17:00~19:00
場 所 :10号 館 大 会 議 室 (4F,400会 議 室 )
講 演 :田 中 先 生 ,竹 内 先 生 (高 木 先 生 )
次 年 度 以 降 , これ ら の成 果 をも とにし て, 「 統 合 型 プ ラ ットフ ォーム形 成 」を行 い , これら の結 実 し た 成 果 とし て,
「セキュアライフエレクトロニクスデバイス」作 製 のためのオープンシステム構 築 を目 指 す.
様式20-45(第12条第1項関係)
〔別添13-45〕
3.本事業によって得られた研究成果を発表した学会誌論文
本年度に,本拠点メンバー62 名が発表した学会誌論文は 356 件(事業推進担当者 21 名では 174 件)であり,この他,
国際会議発表は 735 件(405 件)(内招待講演 142 件(95 件)),著書 42 編(20 編)である.以下に本年度発表した学会
誌論文のみを示す.
[1]
[2]
[3]
[4]
[5]
[6]
[7]
[8]
[9]
[10]
[11]
[12]
[13]
[14]
[15]
[16]
[17]
[18]
[19]
[20]
T. Iizuka, M. Ikeda and K. Asada, “Timing-Aware Cell Layout De-Compaction for Yield Optimization by Critical Area Minimization,” Very Large Scale
Integration (VLSI) Systems, IEEE Transactions, Vol.15, Issue 6, pp.716-720, Jun. 2007.
Y. Yachide, M. Ikeda and K. Asada, “Time-Division-Based Multiple-Viewpoint 3-D Measurement System for Real-Time, High-Speed, and High-Accuracy
Model Movie Acquisition”, Journal of the Institute of Image Information and Television Engineers, Vol. 62, No.3, pp. 392-397, Mar. 2008. (in Japanese).
A. Ishii, K. Fujiwara, N. Kakuda, S. Tsukamoto, K. Yamaguchi, and Y. Arakawa,”Structure of GaSb/GaAs(001) surface using the first principles
calculation”,Journal of Crystal Growth 301: 880-883 (2007).
J. H. Na, M. Kitamura, D. Lee and Y. Arakawa, “High performance flexible pentacene thin-film transistors fabricated on titanium silicon oxide gate dielectrics”,
Appl. Phys. Lett. 90, 163514 (2007).
K. Takemoto, M. Takatsu, S. Hirose, N. Yokoyama, Y. Sakuma, T.Usuki, T. Miyazawa, and Y. Arakawa, “An optical horn structure for single-photon source
using quantum dots at telecommunication wavelength”,JOURNAL OF APPLIED PHYSICS vol.101, p.081720 (2007) .
N. Kumagai , K. Watanabe, Y. Nakata, and Y. Arakawa,”Optical properties of p-type modulation-doped InAs quantum dot structures grown by molecular beam
epitaxy”,J. Cryst. Growth, 301?302, 805 (2007).
N. Isomura, S.Tsukamoto, K.Iizuka, and Y.Arakawa,”Investigation on GaAs(001) surface treated by As-free high temperature surface cleaning method”,Journal
of Crystal Growth 301: 26-29 APR (2007).
N. Kakuda, S. Tsukamoto, A. Ishii, K. Fujiwara, T. Ebisuzaki, K. Yamaguchi, and Y, Arakawa, “(2x3) Surface Reconstruction on Sb-irradiated GaAs(001)
Formed by Molecular Beam Epitaxy”,Microelectronics Journal 38 (4-5): 620-624 Sp. Iss. SI APR-MAY (2007).
S. Nagahara, S Tsukamoto, and Y. Arakawa,”High-temperature growth of Mn-irradiated InAs quantum dots”, JOURNAL OF CRYSTAL GROWTH 301:
797-800 APR (2007).
T. Nakaoka, S. Kako, S. Tarucha and Y. Arakawa, “Coulomb blockade in a self-assembled GaN quantum dot”, Appl. Phys. Lett. 90, 162109 (2007).
D. Guimard, M. Ishida, S. Tsukamoto, M. Nishioka, Y. Nakata. H. Sudo, T. Yamamoto, M. Sugawara, and Y. Arakawa,”Ground state lasing at 1.34 μm from
InAs/GaAs quantum dots grown by antimony-mediated metal organic chemical vapor deposition”,Appl. Phys. Lett. 90, 241110 (2007).
T. Nakaoka, S. Tarucha, and Y. Arakawa,”Electrical tuning of the g factor of single self-assembled quantum dots”,Phys. Rev. B 76, 041301(R) (2007).
M. Kitamura and Y. Arakawa, ”Low-voltage-operating complementary inverters with C60 and pentacene transistors on glass substrates”,Appl. Phys. Lett. 91,
53505 (2007).
S. Nagahara, M. Shimoda, S. Tsukamoto, and Y. Arakawa,”Enhancement of room temperature photoluminescence from InAs quantum dots by irradiating
Mn”,Jpn.J. Appl. Phys. 46, L801 (2007).
M. S Xu, R.G. Endres, Y.Arakawa, “The Electronic Properties of DNA Bases”, Small, Vol.3, 9, pp 1539-1543(2007).
J. H. Na, M. Kitamura, Y. Arakawa, “High performance n-channel thin-film transistors with an amorphous phase C60 film on plastic substrate”, Appl. Phys.
Lett. 91, 193501(2007).
M. Kitamura, Y.Kuzumoto, M.Kamura, S.Aomori and Y.Arakawa, ”High-performance fullerene C60 thin-film transistors operating at low voltages”,Appl. Phys.
Lett. 91, 183514 (2007).
J. H. Na, M. kitamura, Y. Arakawa, ”Complementary Two-input NAND Gates with Low-voltage-operating Organic Transistors on Plastic Substrates”,Appl.
Phys. Express 1, 021803(2008).
T. Kodera, K. Ono, S. Amaha, Y. Tokura, Y. Arakawa and S. Tarucha,”Singlet–triplet transition induced by Zeeman energy in weakly coupled vertical double
quantum dots”,PhysicaE:Low-dimensional Systems and Nanostructures,40(5), p.1139-1141(2008).
K. Hamaya, M. Kitabatake, K. Shibata, M. Jung, M. Kawamura, S. Ishida, T. Taniyama, K. Hirakawa, Y. Arakawa and T.Machida,”Oscillatory changes in the
tunneling magnetoresistance effect in semiconductor quantum-dot spin valves”,Phys. Rev B. 77 081302R(2008).
T. Wakimoto,J. K. Hallstrom,Y. Chekurov,M. Ishii,W. Lucuas,J. Piiroinen and H. Shimizu, “High-accuracy comparison of lightning and switching impulse
calibrators”, IEEE Transactions on Instrumentation and Measurement, Vol. 56, No. 2, pp. 619-623,2007.
γ
[21]
[22]
[23]
[24]
[25]
[26]
[27]
[28]
[29]
[30]
[31]
[32]
[33]
[34]
[35]
[36]
[37]
[38]
[39]
[40]
[41]
[42]
−
1
石井 勝,原田達哉,脇本隆之, “高電圧インパルス試験における計測標準の確立をめざして” ,電気学会誌,Vol. 127,No. 8,pp. 518-521,2007.
R. K. Pokharel and M. Ishii, “Applications of time-domain numerical electromagnetic code to lightning surge analysis”, IEEE Transactions on Electromagnetic
Compatibility, Vol. 49, No. 3, pp. 623-631,2007.
T. Wakimoto and M. Ishii, “Latest research activity on the standard of high-voltage impulse in Japan”, IEEJ Transactions on Electrical and Electronic
Engineering, Vol. 2, No. 5, pp. 510-515,2007.
M. Ishii, “Electromagnetic fields associated with lightning discharges in winter”, 電気学会論文誌 B, Vol.127, No. 12, pp. 1253-1257,2007.
M. Saito,M. Ishii,M. Matsui and N. Itamoto, “Spatial distribution of high current lightning discharges in Hokuriku Area in winter”, 電気学会論文誌 B, Vol.
127, No. 12 , pp. 1325-1329,2007.
石井 勝,齋藤幹久,藤居文行,松井倫弘,板本直樹,”大電流を伴う冬季の雷放電”, 電気学会論文誌 B, Vol. 128, No. 1, pp. 291-297,2008.
Y. Baba, A. Tamano, M. Ishii, N. Nagaoka and A. Ametani, “FDTD analysis of the unit step response of a circuit measuring chopped lightning impulse
voltages”, IEEE Transactions on Power Delivery, Vol. 23, No. 1, pp. 502-503, 2008.
N. Nasimul and H. Iba, “Inferring Gene Regulatory Networks Using Differential Evolution with Local Search Heuristics”, IEEE/ACM Transcriptions on
Computational Biology and Bioinformatics, Vol.4, No.4, pp.634-647, 2007.
Y. Inoue, T. Tohge, H. Iba, “Cooperative transprtation system for humanoid robots using simulation-based learning”, Appl. Soft Comput.7(1), pp.115-125, 2007.
N. Nasimul and H. Iba., “Accelerating Differential Evolution Using an Adaptive Local Search”, IEEE/ACM Transcriptions on Evolutionary Computation,
Vol.12, No.1, pp.107-125, 2008.
生田目慎也, 伊庭斉志, “AdaBoost を用いた遺伝子制御ネットワークの統合的推定”, 人工知能学会論文誌, 22 巻, 5 号 E, 人工知能学会, pp.508-519, 2007.
長谷川禎彦, 伊庭斉志, “潜在 9 アノテーション推定を用いた確立文法による分布推定アルゴリズム”, 人工知能学会論文誌, 23 巻, 1 号 B, pp.13-26, 2008.
M. Nomura, S. Iwamoto, N. Kumagai, and Y. Arakawa, “Temporal coherence of a photonic crystal nanocavity laser with high spontaneous emission coupling
factor”, Phys. Rev. B, 75, 195313, 2007.
M. Arita, S. Ishida, S. kako, S. Iwamoto, and Y. Arakawa, “AlN air-bridge photonic crystal nanocavities demonstrating high quality factor”, Appl. Phys. Lett.
91, 051106 , 2007.
S. Iwamoto, Y. Arakawa, and A. Gomyo, “Observation of enhanced photoluminescence from silicon photonic crystal nanocavity at room temperature”, Appl.
Phys. Lett. 91, 211104, 2007.
L. Martiradonna, L. Carbone, A. Tandaechanurat, M. Kitamura, S. Iwamoto, L. Manna, M. De Vittorio, R. Cingolani and Y. Arakawa, “Two-Dimensional
photonic crystal resist membrane nanocavity embedding colloidal dot-in-a-rod nanocrystals”, Nano Lett, 8, n.1, pp.260-264, 2008.
A. Tandaechanurat, S. Iwamoto, M. Nomura, N. Kumagai, and Y. Arakawa, “Increase of Q-factor in photonic crystal H1-defect nanocavities after closing of
photonic bandgap with optimal slab thickness”, Optics Express 16, 448-455, 2008.
Y. Ichiki and H. Ohsaki, “Numerical analysis and design of fault current limiting elements using large-size YBCO thin films,” Physica C: Superconductivity,
Vol.463-465, pp.1168-1171, 2007.
Y. Ichiki and H. Ohsaki, “Influence of Inhomogeneous Superconducting Properties of YBCO Thin Film on Current Limiting Characteristics,” IEEE Transactions
on Applied Superconductivity, Vol.17, No.2, pp.1811-1814, 2007.
R. Shiraishi and H. Ohsaki, “Multipoint Measurements of Transient Flux Motion on Bulk Superconductor during Pulsed Field Magnetization,” IEEE
Transactions on Applied Superconductivity, Vol.17, No.2, pp.3664-3667, 2007.
T. Imae, H. Shinohara, M. Sekino, S. Ueno, H. Ohsaki, K. Mima, and K. Ootomo, “Estimation of cell membrane permeability of the rat brain using diffusion
様式20-46 (第12条第1項関係)
[43]
[44]
[45]
[46]
[47]
[48]
[49]
[50]
[51]
[52]
[53]
[54]
[55]
[56]
[57]
[58]
[59]
[60]
[61]
[62]
[63]
[64]
[65]
[66]
[67]
[68]
[69]
[70]
[71]
[72]
[73]
[74]
[75]
[76]
[77]
[78]
[79]
[80]
[81]
[82]
[83]
[84]
[85]
[86]
[87]
〔別添13-46〕
magnetic resonance imaging,” Journal of Applied Physics (in press).
M. Sekino, Dong-Min Kim, and H. Ohsaki, “FDTD simulations of RF electromagnetic fields and signal inhomogeneities in ultrahigh-field MRI systems,”
Journal of Applied Physics (in press).
今江禄一, 篠原廣行, 関野正樹, 上野照剛, 大崎博之, 美馬和男, 大友邦: 生体内水分子の磁化拡散シミュレーションにおける離散化誤差を最小と
する条件, 日本応用磁気学会誌, Vol.31, No.3, pp.279-282 (2007).
田中堅一郎, 関野正樹, 今江禄一, 上野照剛, 大崎博之, 美馬和男: 生体組織の機械的変形が組織内水分子の拡散に与える効果, 日本応用磁気学会
誌, Vol.31, No.3, pp.283-287, 2007.
関野正樹, 上野照剛, 大崎博之: MRI における画素サイズより小さい試料の計測と定量化誤差, 日本 AEM 学会誌, Vol.15, No.2, pp.76-82, 2007.
宮田昌悟, 関野正樹, 大崎博之, 牛田多加志: q-space MRI による再生軟骨および関節軟骨の組織評価法, 日本磁気共鳴医学会誌, (印刷中).
M. Naruse, T. Yatsui, T. Kawazoe, Y. Akao, and M. Ohtsu, “Design and simulation of a nanophotonic traceable memory using localized energy dissipation and
hierarchy of optical near-field interactions,” IEEE Transactions on Nanotechnology, Vol. 7, No. 1, January 2008, pp14-19
N. Tate, W. Nomura, T. Yatsui, M. Naruse, and M. Ohtsu, “Hierarchical hologram based on optical near- and far-field responses,” Opt. Express 16, January
2008, 607-612
T. Kawazoe, M. Ohtsu, Y. Inao. And R. Kuroda, “Exposure dependence of the developed depth in nonadiabatic photolithography using visible optical near
fields,” Journal of Nanophotonics Vol. 1, December 2007, pp011595 1-9
M. Ohtsu, “Nanophotonics in Japan,” Journal of Nanophotonics, Vol. 1, November 2007, pp011590 1-7
W. Nomura, T. Yatsui, T. Kawazoe, and M. Ohtsu, “The observation of dissipated optical energy transfer between CdSe quantum dots,” Journal of
Nanophotonics, Vol. 1, November 2007, pp011591 1-7
T. Yatsui, T. Kawazoe, K. Kobayashi, and M. Ohtsu, “Near-field components and evaluation of photoluminescence in silicon nanostructures,” Journal of
Nanophotonics, Vol. 1, September 2007, pp.011550 1-7
T. Yatsui, W. Nomura, and M. Ohtsu, “Metallized slit-shaped pyramidal Si probe with extremely high resolution for 1.5-Tbit/in2 density near-field optical
storage,” Journal of Nanophotonics, Vol. 1, September 2007, pp011570 1-7
M. Naruse, T. Yatsui, H. Hori, K. Kitamura, and M. Ohtsu, “Generating small-scale structures from large-scale ones via optical near-field interactions,” Optics
Express, Vol. 15, No. 19, August 2007, pp11791-11797
S. Mononobe, and M. Ohtsu, “Electroless Nickel Plating Aqueous Solution Containing Additive Ammonium Chloride to Fabricate a Near-Field Optical Probe
with a Tip Protruding from Nickel Film,” Japanese Journal of Applied Physics, Vol. 46, No. 9B, September. 2007, pp6258-6259
T. Kawazoe, K. Kobayashi, and M. Ohtsu, “Initial Growth Process of a Zn Nanodot Fabricated using Nonadiabatic Near-field Optical CVD,” Journal of
Photopolymer Science and Technology, Vol. 20, No. 1, June 2007, pp. 129-131
M. Naruse, H. Hori, K. Kobayashi, and M. Ohtsu, “Tamper resistance in optical excitation transfer based on optical near-field interactions,” Optics Letters, Vol.
32, Issue 12, June 2007, pp. 1761-1763
T. Yatsui, S. Sangu, T. Kawazoe, M. Ohtsu, S. J. An, J. Yoo, and G.-C. Yi, “Nanophotonic switch using ZnO nanorod double-quantum-well structures,” Applied
Physics Letters, Vol. 90, Issue 22, May 2007, pp.223110 1-3 .
[selected for the June 11, 2007 issue of the Virtual Journal of Nanoscale Science & Technology]
[selected for the July, 2007 issue of the Virtual Journal of Ultrafast Science (Vol. 6, Issue 7)]
大津元一, 川添忠, 八井崇, 野村航, “ナノフォトニクス:物質の衣をまとった光子をそのデバイスへの応用”, レーザ学会誌『レーザ研究』, 第 36 巻, 第 3 号,
2008 年 3 月, pp.41-43.
大津元一, “ナノフォトニクス技術とその将来=大容量光ストレージの開発事業を例として=”, 『特集 近接場光を用いた超高密度光ストレージ』, 光アライア
ンス, 第 19 巻, 第 4 号, 2008 年 4 月, pp.41-43.
大津元一, “総論 『特集 ナノフォトニクス・イノベーション』”, オプトロニクス, 第 27 巻, 第 314 号, 2008 年 2 月, pp.106-107.
西林一彦, 川添忠, 大津元一, “SPMとナノフォトニクス”, 固体物理, 第 42 巻, 第 11 号, 2007 年 11 月, pp.823-834.
大津元一, “光と物質の融合技術の展開”, 電子情報通信学会誌, 第 90 巻, 第 1 号, 2007 年 9 月, pp.759-761.
T.Oda, H.Kuramochi and R.Ono, “Trichloroethylene decomposition by the nonthermal plasma combined with manganese-dioxide supported alumina,”
Int.J.Plasma Environ.Sci.Technol., vol.2, No1 pp.50-55 (2008)
T.Oda, K.Ono and R.Ono, “Dilute TCE decomposition by the nonthermal plasma combined with MnO2-supported alumina,” Trans.J.IEER, 127-A,
pp.145-150(2007)
R. Ono and T. Oda, “Ozone production process in pulsed positive dielectric barrier discharge,” J. Phys. D, vol. 40, no. 1, pp. 176-182 (2007).
R. Ono and T. Oda, “Measurement of gas temperature and OH density in the afterglow of pulsed positive corona discharge”, J. Phys. D, Vol.41, No.3, 035204,
2008.
R. Ono and T. Oda, “Measurement of OH density and gas temperature in incipient spark-ignited hydrogen-air flame”, Combust. Flame, Vol.152, No.1-2,
pp.69-79, 2008.
R. Ono and T. Oda, “Optical diagnosis of pulsed streamer discharge under atmospheric pressure”, Int. J. Plasma Env. Sci. Technol., Vol.1, No.2, pp.123-129,
2007.
R. Ono, M. Nifuku, S. Fujiwara, S. Horiguchi, and T. Oda, “Minimum ignition energy of hydrogen-air mixture: Effects of humidity and spark duration,” J.
Electrostat., vol. 65, no. 2, pp. 87-93 (2007).
E. Kawamori, Y. Murata, K. Umeda, D. Hirota, T. Ogawa, T. Sumikawa, T. Iwama, K. Ishii, T. Kado, T. Itagaki, M. Katsurai, A. Balandin and Y. Ono,
“Sustainment of FRC-equilibrium by use of a centre solenoid in TS-4”, Nuclear Fusion, Vol. 47, No.9, pp.1232-1237, (2007).
M. Inomoto, Y. Ono, “Merging formation and current amplification of field-reversed configuration”, IEEJ Transactions on Electrical and Electronic Engineering,
Volume 2, Issue 4, pp. 424-430, (2007).
T. Sumikawa, K. Yamashita, E. Kawamori, K. Narihara and Y. Ono, “Development of 2-D Thomson Scattering Measurement Using Multiple Reflection and
the Time-of-Flight of Laser Light”, Plasma and Fusion Research No. 2, S1108 (2007).
林由記, 小野靖, “電流シート圧縮によるパイルアップ型磁気リコネクション実験”, 電気学会論文誌 A, 第 127-A 巻, 第 10 号, (2007), pp.660-661.
今中平造, 林由記, 河森栄一郎, 小野靖, “球状トカマクの圧力駆動型不安定の画像計測”, 電気学会論文誌A, 第 127-A 巻, 第 10 号(2007), pp.658-659.
小野, “特集 実用炉に向けた核融合炉開発 1.国際核融合実験炉 ITER のミッション・コラム”, 電気学会誌, 第 128 巻,第 2 号,2008 年 2 月, pp.74-77.
小野,岡野邦彦,中村信吉他, “特集 実用炉に向けた核融合炉開発 4.座談会:核融合エネルギーへの期待”, 電気学会誌, 第 128 巻,第 2 号,2008 年 2
月, pp.93-96 .
小原泰弘, 今泉英明, 加藤朗, 中村修, 村井純, “広範なトラフィック要求に対応する負荷分散経路計算アルゴリズム”, 情報処理学会論文誌, Vol.48,
No.4, pp.1627-1640, 2007.
平木敬, 稲葉真理, 菅原豊, 吉野剛史, 玉造潤史, 加藤朗, “Internet2 Land Speed Record 長距離 TCP 通信高速化への挑戦”, 情報処理学会誌, Vol.49,
No.2, pp.55-62, 2008.
X. Wang, L. Zhang, Y. Lu, H. Dai, Y. K. Kato, and E. Pop, “Electrically driven light emission from hot single-walled carbon
nanotubes at various temperatures and ambient pressures”, Appl. Phys.Lett. 91, 261102, 2007.
Y. K. Kato and D. D. Awschalom, “Electrical manipulation of spins in nonmagnetic semiconductors”, J. Phys. Soc. Jpn. 77, 031006, 2008.
加藤雄一郎, “カーボンナノチューブの電界発光”, 応用物理 77, 286, 2008.
永井貴博, 吉田仁, 黒田久泰, 金田康正, “SR11000 モデル J2 における 4 倍精度積和演算の高速化”, 情報処理学会論文誌:コンピューティングシステム,
Vol. 45, No. SIG13(ACS19), pp. 214--222, 2007.
K. Kikuchi, “Electronic post-compensation for nonlinear phase fluctuations in a 1000-km 20-Gbit/s optical quadrature phase-shift keying transmission system
using the digital coherent receiver,” Optics Express, vol.16, no.2, pp.889-896 (Jan. 2008)
P. Kaewplung and K. Kikuchi, “Simultaneous cancellation of fiber loss, dispersion, and Kerr effect in ultralong-haul optical fiber transmission by midway
optical phase conjugation incorporated with distributed Raman amplification,” J. Lightwave Technol., vol.25, no.10, pp.3035-3050 (Nov. 2007)
B. Dabarsyah, C. Seong Goh, S. K. Khijwania, S. Y. Set, K. Katoh, and K. Kikuchi, “Adjustable group velocity dispersion and dispersion slope compensation
devices with wavelength tunability based on enhanced thermal chirping of fiber Bragg gratings,” J. of Lightwave Technol., vol. 25, no.9, pp. 2711-2718 (Sept.
2007)
様式20-47 (第12条第1項関係)
[88]
[89]
[90]
[91]
[92]
[93]
[94]
[95]
[96]
[97]
[98]
[99]
[100]
[101]
[102]
[103]
[104]
[105]
[106]
[107]
[108]
[109]
[110]
[111]
[112]
[113]
[114]
[115]
[116]
[117]
[118]
[119]
[120]
[121]
[122]
[123]
[124]
[125]
[126]
[127]
[128]
[129]
[130]
[131]
〔別添13-47〕
H. Morita, K. Shirakawa, T. Hashimoto, T. Kubota, J. Kawaguchi, “Hayabusa Descent Navigation based on Accurate Landmark Tracking Scheme,” The Journal
of Space Technology and Science, vol.22, no.1, 2006 spring, pp.21-31, 2007.
M. Uo, K. Shirakawa, T. Hashimoto, T. Kubota, J. Kawaguchi, “Hayabusa Touching-Down to Itokawa – Autonomous Guidance and Navigation,” The Journal of
Space Technology and Science, vol.22, no.1, 2006 spring, pp.32-41, 2007.
T. Kubota, S. Sawai, T. Hashimoto, J. Kawaguchi, “Collision Dynamics of a Visual Target Maker for Small-Body Exploration,” Advanced Robotics, Vol.21,
No.14, pp.1635-1651, 2007.
久保田孝,”宇宙探査ロボット”,Re Building Maintenance and Management,特集号「進化するロボット」建築保全センター,Re 2007-7, No.155, pp.59-61,
2007.
高橋紹大, 栗原隆史, 武田敏信, 熊田亜紀子, 松岡成居, 日高邦彦, “テラヘルツ波を用いたポリエチレン内部応力・空隙界面の計測技術への応用”、電
気学会論文誌 A , Vol1.27, No.10 pp. 593-598 2007.
T. Kohno and K. Aihara, “Bottom-up design of Class 2 silicon nerve membrane”, Journal of Intelligent & Fuzzy Systems, Vol. 18, No. 5, pp. 465-475, 2007.
T. Kohno and K. Aihara, “Mathematical-model-based design method of silicon burst neurons”, Neurocomputing, Vol. 71, pp. 1619-1628, 2008.
古関隆章, 福正博之, 金弘中, “電磁アクチュエータによる二関節筋機能代替の可能性と機械インピーダンス制御”, 生体機構制御・応用技術専門委員会第
10 回例会講演資料, 6 pages, Aug. 2007
古関隆章, 福正博之, 金弘中, “模擬生体電磁アクチュエータの提案と将来展望 東京大学大学院”, 精密工学会秋季大会シンポジウム「精密工学への二関
節筋力学系導入」招待講演資料, pp. 69-70, Sep. 2007
古関隆章, 原和弘, 熊澤一将, “列車運転小乱れ時の旅客流動解析に基づく旅客損失の計算と運転整理案の評価・提示”, スケジューリングシンポジウムス
ケジューリング・シンポジウム 2007, OS3-4, pp.85-90, Sep. 2007
古関隆章, “「シリーズ国際規格を考える ----電気車両駆動:鉄道と道路走行用車両のための電気機械-変換器で電力供給する短一次形リニア誘導モータ」
に関する国際規格文書審議状況”, 鉄道車両と技術, No.139, pp.30-38, Dec. 2007
T. Koseki, T. Suzuki and L. Kovudhikurlungsri, “Dual sampling-rate observer-based state feedback control of motor drive systems--- Estimation from coarse
position signal with dead time ----”, Paper for a poster presentation at Global COE Symposium, No.3-13, pp.351-356, Mar. 2008
H. Fukusho, T. Koseki and K. Houng-Joong, “Flexible Motion Control of a Linear Synchronous Actuator with an Artificial Stiffness and Damping Factor for a
Humanoid Robot “, Linear Drives for Industrial Applications (LDIA 2007), PS2.7, 4 pages, Sep. 2007
福正博之, 古関隆章, 金弘中, “生物の粘弾性を考慮したロボット用リニア同期アクチュエータの制御”,電気学会リニアドライブ研究会, LD-07-14,pp.69-72,
Jun. 2007
原和弘, 熊澤一将, 古関隆章, “乗客流解析に基づく運転整理支援システムにおける整理案の効率的評価・最適化法”, 電気学会産業応用部門大会, 3-29,
pp.Ⅲ-211-216, Aug. 2007
原和弘, 熊澤一将, 古関隆章, “運転整理計算機支援のための列車運転乱れ時の因果律を考慮した旅客流動推定法”, スケジューリング・シンポジウム 2007,
OS6-1, pp.185-190, Sep. 2007
K. Hara, K. Kumazawa, T. Koseki, “Efficient Algorithm for Evaluating and Optimizing Train Reschedules by Taking Advantage of Flexibility of Quadruple
Track”, The Third International Conference on Railway Traction Systems (RTS2007), 5-4, pp.91-97, Nov. 2007
原和弘, 熊澤一将, 古関隆章, “運転整理計算機支援のための列車運転乱れ時における乗客流推定法”, 平成 20 年電気学会全国大会, 5-082, pp.130-131,
Mar. 2008
熊澤一将, 原和弘, 古関隆章, “運行障害情報・伝達と整理案作成時間を考慮した乗客流推定”, 第 14 回鉄道技術連合シンポジウム(J-Rail2007),
pp.159-160, Dec. 2007
中田貴之, 古関隆章, “Support Vector Machine による値動きの方向性に注目した短期間の経済時系列予測”, 電子情報通信学会総合大会, 情報システム
2, pp264, Mar. 2008
野田昂志, 古関隆章, “地上回生機能を活用した直流電気車の高速回生ブレーキ導入効果の評価”, 平成 20 年電気学会全国大会, 5-086, pp.137-138,
Mar. 2008
Y. Nozaki, T. Yamaguchi, T. Koseki, “An Equivalent Circuit Model to Assist Vector Control of a Linear Induction Motor for Urban Transportation System
Considering End-effect”, Linear Drives for Industrial Applications (LDIA 2007), PS2.10, 4 pages, Sep. 2007
野崎雄一郎, 古関隆章, “車両駆動用リニア誘導モータの等価回路のための試験方法とその問題点”, 第 14 回鉄道技術連合シンポジウム(J-Rail2007),
S1-3-2, pp. 325-326, Dec. 2007
高田康宏, 野崎 雄一郎, 古関 隆章, “ホールセンサアレイによるリニアモータギャップ磁束密度分布の効率的計測”, 平成 20 年電気学会全国大会, 5-219,
pp329-330, Mar. 2008
鈴木武海, 古関隆章, “むだ時間を考慮したデュアルサンプリングレートオブザーバを用いたカメラの長周期位置信号によるリニア同期モータの力学的状態
量推定”, 平成 19 年度電気学会産業応用部門大会, pp.Ⅱ-50-51, Aug. 2007
鈴木武海, 古関隆章, “むだ時間を考慮したディジタル状態推定を基礎とした汎用カメラによる電動機駆動制御”, 平成 20 年電気学会全国大会, 4-186,
pp310-311, Mar. 2008
K. Erkan, T. Koseki, “Fuzzy model-based nonlinear maglev control for active vibration control systems”, International Journal of Applied Electromagtnetics and
Mechanics 25, pp. 543-548, ISSN 1383-5416, June 2007
Y. Yanagawa, T. Takahara, T. Mizuno, and H. Saito, “Performance Improvement Approch for Dependable Computing System with Optical Voting Circuit”,
Electronics and Communications in Japan, Part 2, vol. 90(12), pp35-46, no. 12, 2007.
D. Kobayashi, H. Saito, and K. Hirose, “Estimation of Single Event Transient VoltagePulses in VLSI Circuts From Heavy - Ion - Induced Transient Currents
Measured in a Single MOSFET”, IEEE TRANCACTION ON NUCLEAR SCIENCE, vol.54, no.4, August 2007.
D. Kobayashi, K. Hirose, T. Makino, H. Ikeda and H. Saito, “Feasibility Study of a Table-Based SET-Pulse Estimation in Logic Cells From Heavy-Ion-Induced
Transient Currents Measured in a Single MOSFET”, IEEE Transactions on Nuclear Science, vol. 54, no. 6, pp. 2347-2354, 2007.
齋藤宏文, 水野貴秀, 川原康介, 佐伯孝尚, 津田雄一, 福島洋介, 浜田裕介, 佐々木博幸, 勝本幸子, 黒木聖司, 梶川泰広, “車載用技術を利用した超
小型宇宙用 GPS 受信機の開発と軌道上実証”, 日本航空宇宙学会論文集, vol 56, no.650, pp.123-130, 2008.
F. Robert Saliba, H. Kawaguchi, and T. Sakurai, “A Self-Alignment Row-by-Row Variable-VDD Scheme Reducing 90% of Active-Leakage Power in SRAM's,”
IEICE Transactions on Electronics, Vol.E90-C, No.4, pp.743-748, 2007.
K. Niitsu, N. Miura, M. Inoue, Y. Nakagawa, M. Tago, M. Mizuno, T. Sakurai, and T. Kuroda, “Daisy Chain Transmitter for Power Reduction in
Inductive-Coupling CMOS Link,” IEICE Transactions on Electronics, Vol.E90-C, No.4, pp.829-835, 2007.
T. Sekitani, M. Takamiya, Y. Noguchi, S. Nakano, Y. Kato, T. Sakurai, and T. Someya, “A large-area wireless power-transmission sheet using printed organic
transistors and plastic MEMS switches,” Nature Materials, Vol.6, pp.413-417, 2007.
T. Sakurai and T. Someya, “ワイヤレス電力伝送シート”, 応用物理, 第 76 巻, 第 10 号, pp.1159-1163, 2007.
H. Kawaguchi, D. Dwi Antono, and T. Sakurai, “Closed-Form Expressions for Crosstalk Noise and Worst-Case Delay on Capacitively Coupled Distributed RC
Lines,” IEICE Transactions on Electronics, Vol.E90-A, No.12, pp.2669-2681, 2007.
N. Miura, H. Ishikuro, K. Nittsu, T. Sakurai, and T. Kuroda, “A. 0.14 pJ/b Inductive-Coupling Transceiver With Digitally-Controlled Precise Pulse Shaping,”
IEEE Journal of Solid-State Circuits, Vol.43, No.1, pp.285-291, 2008.
N. Nishino-Uemura, T. Hirano, F. Sato, “Study of the Quasi-Canonical Localized Orbital Method Based on Protein Structures”, J. Chem. Phys., Vol.127,
pp.184106:1-10, 2007.
T. Inaba, F. Sato, “Development of Parallel Density Functional Program Using Distributed Matrix to Calculate All-Electron Canonical Wavefunction of Large
Molecules”, J. Comp. Chem., Vol.28, pp.984-995, 2007.
T. Inaba, N. Tsunekawa, T. Hirano, T. Yoshihiro, H. Kashi-wagi, F. Sato, “Density functional calculation of the electronic structure on insulin hexamer”, Chem.
Phys. Lett., Vol.434, pp.331-335, 2007.
佐藤文俊, 稲葉亨, “タンパク質の全電子計算シミュレーションの発展”, 化学工業, Vol.58, pp.19-23, 2007.
西村康幸, 吉廣保, 佐藤文俊, “タンパク質全電子計算のための GUI・ProteinEditor”, 生産研究, Vol.59, pp.93-100, 2007.
佐藤文俊, “生体分子量子シミュレーションと今後の展開”, 計算工学, Vol.13, pp.1749-1752, 2008.
高田謙、早川仁、柴田直、”連想プロセッサアーキテクチャに基づく逐次データソーティング VLSI”, 電子情報通信学会論文誌 C Vol.J90-C, No.5,
様式20-48 (第12条第1項関係)
[132]
[133]
[134]
[135]
[136]
[137]
[138]
[139]
[140]
[141]
[142]
[143]
[144]
[145]
[146]
[147]
[148]
[149]
[150]
[151]
[152]
[153]
[154]
[155]
[156]
[157]
[158]
[159]
[160]
[161]
[162]
[163]
[164]
[165]
[166]
[167]
[168]
[169]
[170]
[171]
[172]
[173]
[174]
[175]
[176]
〔別添13-48〕
pp.417-427. (2007).
Y. Suzuki and T. Shibata, “Hardware Architecture for Pseudo-Two-Dimemsional Hidden-Markov-Model-Based Face Recognition Systems Employing Laplace
Distribution Functions,” Japanese Journal of Applied Physics, Vol.46, No.4B, pp.2265-2270, April 2007.
J. Chen and T. Shibata, “A Hardware-Implementation-Friendly Pulse-Coupled Neural Network Algorithm for Analog Image-Feature-Generation Circuits,”
Japanese Journal of Applied Physics, Vol.46, Part 1, No.4B, pp.2271-2277, 2007.
H. Yamasaki and T. Shibata, “A Real-Time Image-Feature-Extraction and Vector-Generation VLSI Employing Arrayed-Shift-Register Architecture,” IEEE
Journal on Solid State Circuits, Vol. 42, No.9, pp. 2046-2053, September 2007.
L. T. Nguyen, K. Ito, and T. Shibata, “A Compact and Power-Efficient Implementation of Rank Order Filters Using Time-Domain Digital Computation
Technique,” accepted for publication in Japanese Journal of Applied Physics.
T. Tu Bui and T. Shibata, “A Compact Bell-Shaped Analog Matching Cell Module for Digital-Memory-Based Associative Processors,” accepted for publication
in Japanese Journal of Applied Physics.
Y. Niki, Y. Manzawa, S. Kametani, and T. Shibata, “A Moving-Object-Localization Hardware Algorithm Employing OR-Amplification of Pixel Activities,”
accepted for publication in Japanese Journal of Applied Physics.
橋爪, 金子, 杉本, “位相一致法による正確な超音波位置認識手法とその特性”, 電子情報通信学会論文誌, Vol.J91-A, No.4, pp. 435-447, 2008.
Takeuchi, Y., Sugimoto, M., “A User-Adaptive City Guide System with an Unobtrusive Navigation Interface”, Journal of Personal and Ubiquitous Computing,
2008 (to appear).
杉本, “体験の増幅を目指した学習支援”, 人工知能学会論文誌, Vol.23, No.2, pp.210-212, 2008.
杉本, “拡張現実感手法を用いた学習支援”, 人工知能学会論文誌, Vol.23, No.2, pp.237-242, 2008.
竹内, 杉本, “位置情報履歴を利用したユーザアダプティブな街案内システム”, 電子情報通信学会論文誌 J90-D, No.11, pp. 2981-2988, 2007.
細井, ダオ, 森, 杉本, “CoGAME:ハンドヘルドプロジェクタを用いたロボットナビゲーションゲームの試作”, 日本バーチャルリアリティ学会論文誌, Vol.12,
No.3, pp.285-294, 2007.
T. Shioda, Y. Tomita, M. Sugiyama, Y. Shimogaki, and Y. Nakano “GaN selective area metal-organic vapor phase epitaxy: Prediction of growth rate
enhancement by vapor phase diffusion model,” Jpn. J. Appl. Phys., 46, 41-44, L1045-L1047 (2007).
T. Shimizu, C. Kumtornkittikul, N. Iizuka, N. Suzuki, M. Sugiyama, and Y. Nakano: “Fabrication and Measurement of AlN Cladding AlN/GaN
Multiple-Quantum-Well Waveguide for All-Optical Switching Devices Using Intersubband Transition”, Jpn. J. Appl. Phys., 46, 10A, 6639-6642 (2007).
M. Deura, M. Sugiyama, T. Nakano, Y. Nakano, and Y. Shimogaki: “Kinetic Analysis of Surface Adsorption Layer in GaAs(001) Metalorganic Vapor Phase
Epitaxy by In situ Reflectance Anisotropy Spectroscopy “, Jpn. J. Appl. Phys., 46, 10A, 6519-6524 (2007).
J. S. Yang, H. Sodabanlu, I. Waki, M. Sugiyama, Y. Nakano, and Y. Shimogaki: “Low Temperature Metal Organic Vapor Phase Epitaxial Growth of AlN by
Pulse Injection Method at 800 °C “, Jpn. J. Appl. Phys., 46, 38, L927-L929 (2007).
R. Shimizu, M. Ogino, M. Sugiyama, Y. Shimogaki: “Predictive model extraction for polysilicon low-pressure chemical vapor deposition in a commercial scale
Reactor”,J. Electrochem. Soc.,154, 6,D328-33,(2007)
C. Kumtornkittikul, T. Shimizu, N. Iizuka, N. Suzuki, M. Sugiyama, Y. Nakano, “ AlN waveguide with GaN/AlN quantum wells for all-optical switch utilizing
intersubband transition,” Jpn. J. Appl. Phys., 46, pp. L352-L355 (2007).
A. Al Amin, K. Sakurai, T. Shioda, M. Sugiyama, Y. Nakano, “Fabrication of a monolithically integrated WDM channel selector using single step selective area
MOVPE and its characterization,” IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, E90-C, pp. 1124-8 (2007).
H. Song, I. T. Im, M. Sugiyama, Y. Nakano and Y. Shimogaki, “Non-linear kinetic analysis on GaAs selective area MOVPE combined with macro-scale analysis
to extract major reaction mechanism,” J. Crystal Growth, 298, pp. 32-6 (2007).
M. Sugiyama, H. Song, M. Deura, Y. Nakano and Y. Shimogaki, “Impact of atomistic surface structure on macroscopic surface reaction rate in MOVPE of
GaAs”, Electrochem. Solid State Lett. 10, pp. H123-126 (2007).
O. Ichikawa, N. Fukuhara, M. Hata, T. Nakano, M. Sugiyama, Y. Shimogaki and Y. Nakano, “High resolution depth profile of the InGaP-on-GaAs
heterointerface by FE-AES and its relationship to device properties,” J. Crystal Growth, 298, pp. 85-9 (2007).
T. Shioda, H. Song, M. Sugiyama, Y. Shimogaki and Y. Nakano, “Vapor Phase Diffusion and Surface Diffusion Combined Model for InGaAsP Selective Area
Metal-Organic Vapor Phase Epitaxy,” J. Crystal Growth, 298, pp. 37-40 (2007).
R. Onitsuka, T. Shioda, H. Song, M. Sugiyama, Y. Shimogaki and Y. Nakano: “Reactor-scale uniformity of selective-area performance in InGaAsP system,” J.
Crystal Growth, 298, pp. 59-63 (2007).
H. Song, M. Sugiyama, Y. Nakano and Y. Shimogaki: “Nonlinear Kinetics of GaAs MOVPE Examined by Selective Area Growth Technique,” J.
Electrochemical. Soc. 154, pp. H91-H96 (2007).
T. Hoshii, S. Sugahara and S. Takagi, “Effect of Tensile Strain on Gate Current of strained-Si n-MOSFETs”, Jpn. J. Appl. Phys., Vol. 46, No. 4B, pp.
2122-2126, 2007.
T. Uehara, H. Matsubara, S. Sugahara and S. Takagi, “Ultrathin Ge-On-Insulator Metal Source/Drain p-Channel MOSFETs Fabricated By Low Temperature
Molecular Beam Epitaxy”, Jpn. J. Appl. Phys., Vol. 46, No. 4B, pp. 2117-2121, 2007.
T. Tezuka, S. Nakaharai, Y. Moriyama, N. Hirashita, N. Sugiyama, A. Tanabe, K. Usuda and S. Takagi, “Hole-Mobility Enhancement in Ge-Rich Strained
SiGe-on-Insulator pMOSFETs at High Temperatures”, IEEE Trans. Electron Device, Vol. 54, Issue 5, pp. 1249 – 1252, 2007.
T. Tezuka, N. Hirashita, Y. Moriyama, S. Nakaharai, N. Sugiyama and S. Takagi, “Strain analysis in ultra-thin SiGe-on-insulator layers formed from strained
Si-on-Insulator substrates by Ge condensation process”, Appl. Phys. Lett. 90, 181918, 2007.
S. Takagi, T. Maeda, N. Taoka, M. Nishizawa, Y. Morita, K. Ikeda, Y. Yamashita, M. Nishikawa, H. Kumagai, R. Nakane, S. Sugahara and N. Sugiyama, “Gate
Dielectric Formation and MIS Interface Characterization on Ge”, Microelectronic Engineering, vol. 84, Issue 9-10, pp. 2314–2319, 2007.
M. Shichijo, R. Nakane, S. Sugahara and S. Takagi, “Fabrication of III-V-O-I (III-V on Insulator) structures on Si using micro-channel epitaxy with a two-step
growth technique”, Jpn. J. Appl. Phys., Vol. 46, No. 9A, pp. 5930–5934, 2007.
T. Mizuno, T. Irisawa and S. Takagi, “Device Design of High-Speed Source-Heterojunction- MOS-Transistors (SHOT): Optimization of Source Band-Offset and
Graded-Heterojunction”, IEEE Trans. Electron Devices, vol. 54, No. 10, pp. 2598-2605, 2007.
T.Ishihara, J. Koga, S. Takagi and K. Matsuzawa, “Modeling of Screening Effect on Remote Coulomb Scattering due to Gate Impurities by Non-uniform Free
Carriers in Poly-Si Gate”, J. Appl. Phys. 102, 073702, 2007.
K. Uchida, J. Koga and S. Takagi, “Phonon-limited electron mobility in ultrathin-body silicon-on-insulator metal-oxide-semiconductor field-effect transistors”, J.
Appl. Phys. 102, 074510, 2007.
S. Takagi, T. Irisawa, T. Tezuka, T. Numata, S. Nakaharai, N. Hirashita, Y. Moriyama, K. Usuda, E. Toyoda, S. Dissanayake, M. Shichijo, R. Nakane, S.
Sugahara, M. Takenaka and N. Sugiyama, “Carrier-transport-enhanced channel CMOS for improved power consumption and performance”, IEEE Trans.
Electron Devices, Vol. 55, No. 1, pp. 21-39., 2008.
T. Irisawa, T. Numata, T. Tezuka, K. Usuda, N. Sugiyama and S. Takagi, “Device Design and Electron Transport Properties of Uniaxially Strained SOI Tri-Gate
nMOSFETs”, IEEE Trans. Electron Devices, Vol. 55, No. 2, pp. 649-654, 2008.
N. Taoka, M. Harada, Y. Yamashita, T. Yamamoto, N. Sugiyama and S. Takagi, “Effects of Si Passivation on Ge Metal-Insulator-Semiconductor Interface
Properties and Inversion-layer Hole Mobility”, Appl. Phys. Lett., 92, 113511, 2008.
M. Takihara, T. Igarashi, T. Ujihara and T. Takahashi, “Photovoltage Mapping on Polycrystalline Silicon Solar Cells by Kelvin Probe Force Microscopy with
Piezoresistive Cantilever”, Jpn. J. Appl. Phys., Vol. 46, No. 8B, pp. 5548-5551, 2007.
D. Saida, K. Tsutsui, Y. Wada and T. Takahashi, “Quantitative Current Evaluation through Magnetic Field Detection by Magnetic Force Microscopy”, IEEE
Transactions on Magnetics, (to be published).
K. Ishida, A. Tamtrakarn, H. Ishikuro, M. Takamiya, and T. Sakurai, “An Outside-Rail Opamp Design Relaxing Low-Voltage Constraint on Future Scaled
Transistors ,” IEICE Transaction on Electronics, E90-C, No.4, pp.786-792, April 2007.
高宮 真, “有機トランジスタ集積回路の最新開発動向,” 電子材料, 第 46 巻, 第 7 号, pp. 38-42, 2007 年 7 月.
高宮 真, “CICC 2007 Report,” Electronic Journal, pp.76-77, 2007 年 10 月.
K. Onizuka, K. Inagaki, H. Kawaguchi, M. Takamiya, and T. Sakurai, “Stacked-Chip Implementation of On-Chip Buck Converter for Distributed Power Supply
System in SiPs,” IEEE Journal of Solid-State Circuits, Vol. 42, No. 11, pp. 2404 - 2410, Nov. 2007.
M. Takenaka, and Y. Nakano, “ InP photonic wire waveguide using InAlAs oxide cladding layer,” Optics Express Letters, vol. 15, no. 13, pp. 8422-8427, 2007.
A. Al Amin, M. Takenaka, T. Tanemura, K. Shimizu, R. Inohara, K. Nishimura, M. Usami, Y. Takita, Y. Kai, H. Onaka, H. Uetsuka and Y. Nakano,
様式20-49 (第12条第1項関係)
[177]
[178]
[179]
[180]
[181]
[182]
[183]
[184]
[185]
[186]
[187]
[188]
[189]
[190]
[191]
[192]
[193]
[194]
[195]
[196]
[197]
[198]
[199]
[200]
[201]
[202]
[203]
[204]
[205]
[206]
[207]
[208]
[209]
[210]
[211]
[212]
[213]
[214]
[215]
[216]
[217]
[218]
〔別添13-49〕
“Demonstration of Deflection Routing with Layer-2 Evaluation at 40Gb/s in a 3-Node Optical Burst Switching Testbed,” IEEE Photonics Technology Letters,
Vol. 20, No. 3, pp. 178-180, Feb. 2008.
A. Al Amin, K. Shimizu, M. Takenaka, T. Tanemura, R. Inohara, K. Nishimura, Y. Horiuchi, M. Usami, Y. Takita, Y. Kai, Y. Aoki, H. Onaka, Y. Miyazaki, T.
Miyahara, T. Hatta, K. Motoshima, T. Kagimoto, T. Kurobe, A. Kasukawa, H. Arimoto, S. Tsuji, H. Uetsuka and Y. Nakano, “ Demonstration of 40/10Gbps
Bit-rate Transparent Optical Burst Switching Router,” IEEE Photonics Technology Letters, vol. 19, no. 10, pp. 726-728, 2007.
H. Hoshino, M. Tajima, T. Hayashi, T. Nishiguchi, H. Kinoshita and H. Shiomi, “Nondestructive Analysis of Stacking Faults in 4H-SiC Bulk Wafers by
Room-Temperature Photoluminescence Mapping under Deep UV Excitation,” Materials Science Forum, Vol. 556-557, pp. 275 - 278 (2007).
H. Sugimoto and M. Tajima, “Photoluminescence Imaging of Multicrystalline Si Wafers during HF Etching,” Jpn. J. Appl. Phys. (Express Letters), Vol. 46, No.
15, pp. L339 - L341 (2007).
H. Sugimoto, K. Araki, M. Tajima, T. Eguchi, I. Yamaga, M. Dhamrin, K. Kamisako and T. Saitoh, “Photoluminescence analysis of intra-grain defects in
multicrystalline silicon wafers for solar cells,” J. Appl. Phys., Vol. 102, No. 5, pp. 054506-1 - 054506-5 (2007).
N. Hoshino, M. Tajima, T. Nishiguchi, K. Ikeda, T. Hayashi, H. Kinoshita and H. Shiomi, “Nondestructive Analysis of Propagation of Stacking Faults in SiC
Bulk Substrate and Epitaxial Layer by Photoluminescence Mapping,” Jpn. J. Appl. Phys., Vol. 46, No. 40, pp. L973 - L975 (2007).
K. Park, M. Canonico, G. K. Celler, M. Seacrist, J. Chan, J. Gelpey, K. E. Holbert, S. Nakagawa, M. Tajima, D. K. Schroder, “Effects of high-temperature
anneals and Co gamma-ray irradiation on strained silicon on insulator,” J. Appl. Phys., Vol. 102, p. 074507 (2007).
K. Yoshida, M. Tajima, S. Kawakita, K. Sakurai, S. Niki and K. Hirose, “Photoluminescence Analysis of Proton Irradiation Effects in Cu(In,Ga)Se2 Solar
Cells,” Jpn. J. Appl. Phys., Vol. 47, pp. 857 - 861 (2008).
H. Sugimoto and M. Tajima, “Ultra High-Speed Characterization of Multicrystalline Si Wafers by Photoluminescence Imaging with HF Immersion,” Journal of
Materials Science: Materials in Electronics, DOI 10.1007/s10854-008-9615-3, (2007).
M. Inoue, H. Sugimoto, M. Tajima, Y. Ohshita and A. Ogura, “Microscopic and Spectroscopic Mapping of Dislocation-Related Photoluminescence in
Multicrystalline Silicon Wafers,” Journal of Materials Science: Materials in Electronics, DOI 10.1007/s10854-008-9605-5, (2007).
S. Ohya, P. N. Hai, Y. Mizuno, and M. Tanaka, “Quantum-size effect and tunneling magnetoresistance in ferromagnetic-semiconductor quantum
heterostructures”, Phys. Rev. B75, pp.155328/1-6 (2007).
S. Ohya, P. N. Hai, Y. Mizuno, and M. Tanaka, “Quantum-size effect and tunneling magnetoresistance in ferromagnetic-semiconductor quantum
heterostructures”, Virtual Journal of Nanoscale Science & Technology Volume 15, Issue 18, May 7, 2007.
http://www.vjnano.org/nano/
Y. Mizuno, S. Ohya, P-N. Hai, and M. Tanaka, “Spin-dependent transport properties in GaMnAs-based spin hot-carrier transistors”, Appl. Phys. Lett. 90,
pp.162505/1-3 (2007).
Y. Mizuno, S. Ohya, P-N. Hai, and M. Tanaka, “Spin-dependent transport properties in GaMnAs-based spin hot-carrier transistors”, Virtual Journal of Nanoscale
Science & Technology Volume 15, Issue 17, April 30, 2007.
http://www.vjnano.org/nano/
M. Tanaka and S. Sugahara (Invited paper), “Metal-Oxide-Semiconductor Based Spin Devices for Reconfigurable Logic”, IEEE Transactions on Electron
Devices Vol. 54, No.5, pp.961-976 (2007).
R. Nakane, J. Kondo, and M. Tanaka, “Tunneling magnetoresistance in a Mn delta-doped GaAs / AlAs / MnAs heterostructure”, Jpn. J. Appl. Phys. 46, pp.L755
- L757 (2007).
K. Ohno, S. Ohya, and M. Tanaka, “Properties of heavily Mn-doped GaMnAs with Curie temperature of 172.5 K”, Journal of Superconductivity and Novel
Magnetism 20, pp.417-420 (2007).
T. Amemiya, H. Shimizu, M. Yokoyama, P. N. Hai, M. Tanaka, and Y. Nakano, “1.54-μm TM-mode waveguide optical isolator based on nonreciprocal-loss
phenomenon: device design to reduce insertion loss”, Applied Optics 46, pp.5784-5791 (2007).
P. Nam Hai, S. Sugahara and M. Tanaka, “Reconfigurable Logic Gates Using Single Electron Spin Transistors”, Jpn. J. Appl. Phys. 46, pp.6579-6585 (2007).
田中雅明 ,”異分野の融合と横断的思考”, 学術月報 第 60 巻第 5 号, pp.317-318 (2007 年 5 月).
田中雅明, “スピントロニクス 電子のスピン効果が繰り出す次世代トランジスタの誕生を予感”, 東京大学テクノロジー&サイエンス, October 2007, Vol.1,
pp.26-27, 日経BPムック.
T. Saito, M. Seki, H. Tabata, “Self-organized ZnO nano-rod with photo oxidative cell membrane perforation enables large scale non-disruptive cell
manipulation”, Analytical & Bioanalytical Chemistry, 2008.
M. Kobayashi, Y. Ooki, M. Takizawa, G. S. Song, A. Fujimori, Y. Takeda, K. Terai, T. Okane, S.-I. Fujimori, Y. Saitoh, H. Yamagami, M. Seki, T. Kawai, and
H. Tabata, “Photoemission and x-ray absorption studies of valence states in (Ni, Zn, Fe, Ti)3O4 thin films exhibiting photoinduced magnetization”, Appl. Phys.
Lett. 92, 082502, 2008.
A. K. M. Akther Hossan, K. Khirul Kabir, Munetoshi Seki, Tomoji Kawai, and Hitoshi Tabata, “Structural,AC, and DC magnetic properties of ZnCoFeO4”,
Journal of Physics and Chemistry of Solids 68, 1933-1939,2007.
R. Tsukamoto, M. Muraoka, M. Seki, I. Yamashita and H. Tabata, “Synthesis of Copt and FePT3 Nanowires Using the Central Channel of Tobacco Mosaic
Virus as a Biotemplate”, Chemistry of MATERIALS 19,10,2389-2391,2007.
T. Uno, T. Kawai and H. Tabata, “Peptide-Nucleic Acid-Modified Ion-Sensitive Field-Effect Transistor-Based Biosensor for Direction of DNA Hybridization”,
Analytical Chemistry 79, 1, 52-59, 2007.
H. Matsui and H. Tabata, “Co-doped ZnO Homoepitaxy Repeated Oxygen-pressure Modulated Epitaxy”, Physica Status Solidi C, 3, 4106-4109, 2007.
H. Matsui and H. Tabata, “Simultaneous control of growth mode and ferromagnetic ordering in Co-doped ZnO layers with Zn polarity”, Phys. Rev. B., 75,
014438, 2007.
田畑 仁, “ZnO ナノワイヤの形成とデバイス応用 ~金属酸化物ナノ構造体による細胞機能デバイス~ Formation of ZnO nano wires and their device
application –Functional cell devices by metal oxide nano structures”, 131, 25-30, 10/19, 2007.
田畑 仁, “エレクトロニクスをベースに新しいナノデバイスを作り出す”, NANOBIO, 5, 6, 6-7,2007.
田畑 仁, “ガーネットフェライト薄膜における室温マルチフェロイック物性”, セラミックス,42,3,157-161,2007.
三輪誠, 横山大作, 近山隆, “コンピュータゲームプレイヤにおける評価要素の自動生成に関する研究”, 情報処理学会論文誌, Vol.48, No.11, pp.
3428-3437, Nov. 2007.
B. Charlot, W. Sun, K. Yamashita, H. Fujita and H. Toshiyoshi, “Bistable nanowire for micromechanical memory”, J. Micromech. Microeng. vol. 18, pp. 1-7,
2008.
A. Nakajima, A. Imase, S. Suzuki, N. Yoshida, M. Sakai, A. Hashimoto, Y. Kameshima, H. Toshiyoshi, and K. Okada, “Effect of electrification conditions on
the freezing of supercooled water droplets on a hydrophobic coating, “, Chemistry Letters vol. 36, No. 8, pp. 1020-1021, 2007.
A. Chekhovskiy and H. Toshiyoshi, “3-dimensional water display”, IEICE Electronics Express Vol. 4, No. 14 pp.430-434, 2007.
K. Takahashi, Ho Nam Kwon, M. Mita, K. Saruta, Jong-Hyun Lee, H. Fujita, and H. Toshiyoshi, “A silicon micromachined f-thetha microlens scanner array by
double-deck device design technique”, IEEE Journal of Selected Topics in Quantum Electronics, vol. 13, no. 2, March/April, pp. 277-282, 2007.
J. Darja, M. J. Chan, Shu-Rong Wang, M. Sugiyama, and Y. Nakano, “Four channel ridge DFB laser array for 1.55 オ m CWDM systems by wide-stripe
selective area MOVPE”, IEICE Transactions on Electronics, vol. E90-C, no. 5, pp. 1111-1117, May 2007.
N. Chen, J. Darja, S. Narata, K.Ikeda, K. Nishide, and Y. Nakano, “Ridge semiconductor laser with laterally undercut etched current confinement structure”,
IEICE Transactions on Electronics, vol. E90-C, no. 5, pp. 1105-1110, May 2007.
A. Al Amin, K.Sakurai, T. Shioda, M. Sugiyama, and Y. Nakano, “Fabrication of monolithically integrated WDM channel selector using single step selective
area MOVPE and its characterization”, IEICE Transactions on Electronics, vol. E90-C, no. 5, pp. 1124-1128, May 2007.
Jung-Seung Yang, H. Sodabanlu, I. Waki, M. Sugiyama, Y. Nakano, and Y. Shimogaki, “Low temperature metal organic vapor phase epitaxial growth of AlN by
pulse injection method at 800 °C”, Japanese Journal of Applied Physics, vol. 46, no. 38, pp. L927-L929, September 28, 2007.
H. Ohe, H. Shimizu, and Y. Nakano, “InGaAlAs multiple-quantum-well optical phase modulators based on carrier depletion”, IEEE Photonics Technology
Letters, vol. 19, no. 22, pp. 1816-1818, November 15, 2007.
H. Shimizu and Y. Nakano, “Monolithic integration of a waveguide optical isolator with a distributed feedback laser diode in the 1.5-µm wavelength range”,
IEEE Photonics Technology Letters, vol. 19, no. 24, pp. 1973-1975, December 15, 2007.
T. Amemiya, Y. Ogawa, H. Shimizu, H. Munekata, and Y. Nakano, “Semiconductor waveguide optical isolator incorporating ferromagnetic expitaxial MnSb for
high temperature operation”, Applied Physics Express, vol. 1, no. 2, pp. 022002-1-3, January 25, 2008.
様式20-50 (第12条第1項関係)
[219]
[220]
[221]
[222]
[223]
[224]
[225]
[226]
[227]
[228]
[229]
[230]
[231]
[232]
[233]
[234]
[235]
[236]
[237]
[238]
[239]
[240]
[241]
[242]
[243]
[244]
[245]
[246]
[247]
[248]
[249]
[250]
[251]
[252]
[253]
[254]
[255]
[256]
[257]
[258]
[259]
[260]
〔別添13-50〕
T. Nakano, M. Sugiyama, Y. Nakano, and Y. Shimogaki, “Kinetics of subsurface formation during metal-organic vapor phase epitaxy growth of InP and InGaP”,
Japanese Journal of Applied Physics, vol. 47, no. 3, pp. 1473-1478, March 2008.
尾久土正己, 荻原文恵, 小澤友彦, 吉住千亜紀, 富田晃彦, 山田宏之, 明井英太郎, 石川雅一, 山本文治, 中山雅哉, 半田利弘, “プラネタリウムにおけ
る日食の全天周生中継”, 地学教育, Vol.60, No.3, pp.99-107, 2007/5.
M. Niitsuma, H. Hashimoto and H. Hashimoto, “Spatial Memory as an Aid System for Human Activity in Intelligent Space,” IEEE Trans. on Industrial
Electronics, Vol.54, No.2, pp.1122-1131, 2007.
P. Baranyi, Z. Petres, P. Korondi, Y. Yam and H. Hashimoto, “Complexity Relaxation of The Tensor Product Model Transformation for Higher Dimensional
Problems,” Asian Journal of Control, Vol.9, No.2, pp.195-200, 2007.06.
Z. Petres, P. Baranyi, P. Korondi and H. Hashimoto, “Trajectory Tracking by TP Model Transformation: Case Study of a Benchmark Problem,” IEEE Trans. on
Industrial Electronics, Vol.54, No.3, pp.1654-1663, 2007.
K. Morioka, Joo-Ho Lee, Y. Kuroda and H. Hashimoto, “Hybrid Tracking Based on Color Histogram for Intelligent Space,” Artificial Life and Robotics, Vol.11,
No.2, pp.204-210, 2007.
G. Hwang and H. Hashimoto, “Development of a Human-Robot-Shared Controlled Teletweezing System,” IEEE Trans. on Control System and Technology,
Vol.15, No.5, pp.960-966, 2007.
G. Hwang, P. Chantanakajornfung and H. Hashimoto, “Versatile Robotic Biomanipulation with Haptic Interface,” Journal of Robotics and Mechatronics, Fuji
Technology Press Ltd., Vol.19, No.5, pp.585-591, 2007.
D. AKITA, K. YAMADA, N. IZUTSU, H. FUKE, M. TORIUMI, Y. MATSUZAKA, S. OHTA, M. SEO, M. NAMIKI, I. IIJIMA, N. NONAKA, J.
KAWADA, E. MIZUTA, Y. SAITO, T. YOSHIDA, T. YAMAGAMI, S. SAWAI, T. HASHIMOTO, I. EGAMI, R. AKIBA, “ISAS Balloon- Drop Microgravity
Experiment System”, Journal of The Japan Society of Microgravity Application, vol. 24, no. 3, pp.301-306, 2007.
石川毅彦,稲富裕光,橋本樹明,澤井秀次郎,斎藤芳隆,吉光徹雄,坂井真一郎,小林弘明,藤田和央,坂東信尚,後藤雅享, “高高度気球を利用した微
小重力実験(第2回飛行試験)”, 日本マイクログラビティ応用学会誌,Vol.25, No.1, pp3-10, 2008.
A.M.Nakamura, T.Michikawa, N.Hirata, A.Fujiwara, R.Nakamura, M.Ishiguro, H.Miyamoto, H.Demura, K.Hiraoka, T.Honda, C.Honda, J.Saito, T.Hashimoto,
T.Kubota, “Impact process of boulders on the surface of asteroid 25143 Itokawa - fragments from collisional disruption”, Earth Planets Space, Vol.60, pp7-12,
2008.
T. Kosugi, K. Matsuzaki, T. Sakao, T. Shimizu, T. Sone, S. Tachikawa, T. Hashimoto, K. Minesugi, A. Ohnishi, T. Yamada, S. Tsuneta, H. Hara, K. Ichimoto,
Y. Suematsu, M. himojo, T. Watanabe, S. Shimada, J. M. Davis, L. D. Hill, J. K. Owens, A.M.Title, J.L.Culhane, L.K.Harra, G.A.Doshek, L.Golub, “The
Hinode (Solar-B) Mission”, An Overview: Solar Phys. 243:3-17, 2007.
沼田茂生, 下田英介, 馬場旬平, “複数種類分散型電源によるマイクログリッドの自立運転制御の開発”, エネルギー・資源, Vol.29, No.1, pp.40-44, 2008/01
沼田茂雄, 下田英介, 馬場旬平, “都市型マイクログリッドの構築と自立運転制御の開発”, コージェネレーション, Vol.23, No.1, pp.36-44, 2008/03
日髙邦彦, “第 15 回高電圧工学国際会議総括”, 放電学会, Vol. 50, No. 3, pp. 27-30, 2007.
Y. M. Zhu, T. Unuma, K. Shibata, K. Hirakawa, Y. Ino, and M. Kuwata-Gonokami, “Femtosecond very high-field transport in bulk GaAs investigated by
time-domain terahertz spectroscopy”, Physica Status Solidi (c), 5, No.1, pp.240-243, 2008.
K. Shibata, C. Buizert, A. Oiwa, K. Hirakawa, and S. Tarucha, “Electron transport through single self-assembled InAs quantum dots coupled to superconducting
nanogap electrodes”, Physica Status Solidi (c), 5, No.1, pp.178-181, 2008.
K. Hamaya, M. Kitabatake, K. Shibata, M. Jung, M. Kawamura, S. Ishida, T. Taniyama, K. Hirakawa, Y. Arakawa, and T. Machida, “Oscillatory changes in the
tunneling magnetoresistance effect in semiconductor quantum-dot spin valves”, Physical Review B, vol. 77, pp. 081302-1~4, 2008.
K. Hamaya, M. Kitabatake, K. Shibata, M. Jung, M. Kawamura, K. Hirakawa, T. Machida, T. Taniyama, S. Ishida, and Y. Arakawa, “Kondo effect in a
semiconductor quantum dot coupled to ferromagnetic electrodes”, Applied Physics Letters, vol. 91, pp. 232105, 2007.
C. Buizert, A. Oiwa, K. Shibata, K. Hirakawa, and S. Tarucha, “Kondo universal scaling for a quantum dot coupled to superconducting leads”, Physical Review
Letters, vol.99, pp.136806, 2007.
T. Kondo and K. Hirakawa, “Terahertz radiation from ultrahigh-speed field-effect transistors induced by ultrafast optical gate switching”, Applied Physics
Letters, vol. 91, pp.191120-1~3, 2007.
K. Ikushima, H. Sakuma, S. Komiyama, and K. Hirakawa, “Visualization of quantum Hall edge channels through imaging of terahertz emission”, Physical
Review B, vol.76, pp.165323-1~6, 2007.
Zhenghua An, T. Ueda, S. Komiyama, and K. Hirakawa, “Metastable excited states of a closed quantum dot with high sensitivity to infrared photons”, Physical
Review B, vol.75, pp.085417-1~7, 2007.
T. Akasaka, A. Umeno, S. Heon Hong, K. Hirakawa, and K. Araki, “Novel Gold Nanoparticles/Conjugated Molecules Network Structures Fabricated By
Self-assembling Process”, AIP Conf. Proc., vol.893, pp.361, 2007.
A. Umeno, T. Akasaka, S. Heon Hong, and K. Hirakawa, “Atomistic Picture of Electromigration Process and its Application to High-yield Fabrication of
Nanogap Electrodes”, AIP Conf. Proc., vol.893, pp.373, 2007.
T. Unuma, K. Kobayashi, A. Yamamoto, M. Yoshita, K. Hirakawa, Y Hashimoto, S. Katsumoto, Y. Iye, Y. Kanemitsu, and H. Akiyama, “Single-particle
Nature of Intersubband Electronic Raman Scattering and Dynamical Many-body Effects in Narrow GaAs Quantum Wells”, AIP Conf. Proc., vol.893, pp.475,
2007.
T. Unuma, N. Sekine, and K. Hirakawa, “Dephasing of Bloch Oscillations due to Interface Roughness Scattering in GaAs/AlAs Superlattices”, AIP Conf. Proc.,
vol.893, pp.495, 2007.
K. Hwa Park, K. Hirakawa, and S. Takagi, “Low-temperature mobilities and energy loss rates of two-dimensional electrons in Si inversion layers”, AIP Conf.
Proc., vol.893, pp.601, 2007.
K. Hirakawa, T. Unuma, and N. Sekine, “Dispersive Terahertz Bloch Gain in Semiconductor Superlattices”, AIP Conf. Proc., vol.922, pp.191, 2007.
Y. Igarashi, M. Jung, M. Yamamoto, A. Oiwa, T. Machida, K. Hirakawa, and S. Tarucha, “Spin-half Kondo effect in a single self-assembled InAs quantum dot
with and without an applied magnetic field”, Physical Review B, vol. 76, pp.081303-1~4, 2007.
K. Shibata, C. Buizert, A. Oiwa, K. Hirakawa, and S. Tarucha, “Lateral electron tunneling through single self-assembled InAs quantum dots coupled to
superconducting nanogap electrodes”, Applied Physics Letters, vol. 91, No. 11, pp. 112102-1~3, 2007.
K. Hamaya, M. Kitabatake, K. Shibata, M. Jung, M. Kawamura, K. Hirakawa, and T. Machida, S. Ishida, Y. Arakawa, “Electric-field control of tunneling
magnetoresistance effect in a Ni/InAs/Ni quantum-dot spin valve”, Applied Physics Letters, vol. 91, No. 2, 022107-1~3, 2007.
K. H. Park, T. Unuma, K. Hirakawa, and S. Takagi, “Determination of deformation potential constant of the conduction band in Si from electron heating
experiments on Si metal-oxide-semiconductor field-effect transistors”, Applied Physics Letters, vol. 91, No. 13, pp. 132118-1~8, 2007.
M. Gel, T. Ishida, T. Akasaka, A. Umeno, K. Araki, K. Hirakawa, and H. Fujita, “Mechanically Controlled Quantum Contact With On-Chip MEMS Actuator”,
Journal of Microelectromechanical Systems, vol. 16, No. 1, pp.1~6, 2007.
K. Shibata, M. Jung, K. Hirakawa, T. Machida, and H. Sakaki, S. Ishida and Y. Arakawa, “Electronic properties of self-assembled InAs quantum dots on GaAs
surfaces probed by lateral electron tunneling structures”, Journal of Crystal Growth, vol. 301-302, pp. 731-734, 2007.
K. Hamaya, S. Masubuchi, M. Kawamura, T. Machida, M. Jung, K. Shibata, K. Hirakawa, T. Taniyama, S. Ishida, and Y. Arakawa, “Spin transport through a
single self-assembled InAs quantum dot with ferromagnetic leads”, Applied Physics Letters, vol. 90, No. 5, pp. 053108-1~3, 2007.
Toshiro Hiramoto, Toshiharu Nagumo, Tetsu Ohtou, and Kouki Yokoyama (Invited), “Device Design of Nanoscale MOSFETs Considering the Suppression of
Short Channel Effects and Characteristics Variations”, IEICE Transactions on Electronics, Vol. E90-C, No. 4, pp. 836 – 841, April, 2007.
K. Shimizu, G. Tsutsui, D. Januar, T. Saraya, and T. Hiramoto, “Experimental Study on Breakdown of Mobility Universality in <100>-directed (110)-oriented
pMOSFETs”. IEEE Transactions on Nanotechnology, Vol. 6, No. 3, pp. 358 – 361, May, 2007.
K. Shimizu, G. Tsutsui, and T. Hiramoto, “Experimental Study on Mobility Universality in (100) Ultra Thin Body nMOSFET with SOI Thickness of 5nm”,
Japanese Journal of Applied Physics, Vol. 46, No. 20, pp. L480 – L482, May, 2007.
K. Miyaji and T. Hiraoto, “Control of full width at half maximum of Coulomb oscillation in silicon single-hole transistors at room temperature”, Applied Physics
Letters, Vol. 91, No. 5, 053509, July, 2007.
T. Ohtou, N. Sugii, and T. Hiramoto, “Impact of Parameter Variations and Random Dopant Fluctuations on Short-Channel Fully Depleted SOI MOSFETs With
Extremely Thin BOX”, IEEE Electron Devices Letters, Vol. 28, No. 8, pp. 740 – 742, August, 2007.
G. Tsutsui and T. Hiramoto, “Experimental Study on Mobility in (110)-Oriented Ultrathin-Body Silicon-on-Insulator n-Type Metal Oxide Semiconductor
Field-Effect Transistor with Single- and Double-Gate Operations, Japanese Journal of Applied Physics, Vol. 46, No. 9A, pp. 5686 – 5690, September, 2007.
様式20-51 (第12条第1項関係)
[261]
[262]
[263]
[264]
[265]
[266]
[267]
[268]
[269]
[270]
[271]
[272]
[273]
[274]
[275]
[276]
[277]
[278]
[279]
[280]
[281]
[282]
[283]
[284]
[285]
[286]
[287]
[288]
[289]
[290]
[291]
[292]
[293]
[294]
[295]
[296]
[297]
[298]
[299]
[300]
[301]
[302]
[303]
[304]
[305]
[306]
[307]
〔別添13-51〕
T. Hiramoto (Invited), “Transport in Ultrathin SOI MOSFETs and Silicon Nanowire Transistors”, ECS Transactions, Vol. 11, No. 6, ULSI Process Integration 5,
pp. 403 – 411, October, 2007.
平本俊郎, “FinFET とシリコンナノワイヤトランジスタ”, 応用物理学会薄膜・表面物理分科会 News Letter, No. 131, pp. 17 – 22, 2007 年 12 月.
T. Ohtou, T. Saraya, and T. Hiramoto (Invited), “Variable Body-Factor SOI MOSFET with Ultrathin Buried Oxide for Adaptive Threshold Voltage and Leakage
Control”, IEEE Transactions on Electron Devices, vol. 54, no. 1, pp. 40 – 46, January, 2008.
S. Lee, K. Miyaji, M. Kobayashi, and T. Hiramoto, “Extremely high flexibilities of Coulomb blockade and negative differential conductance oscillations in
room-temperature-operating silicon single hole transistor”, Applied Physics Letters, vol. 92, no. 7, 073502, February, 2008.
M. Kobayashi and T. Hiramoto, “Experimental Study on Quantum Confinement Effects in Silicon Nanowire Metal-Oxide-Semiconductor
Field-Effect-Transistors and Single-Electron Transistors”, Journal of Applied Physics, vol. 103, no. 5, 053709, March, 2008.
S. Kawata, A. Hirose , “ Frequency-multiplexing ability of complex-valued Hebbian learning in logic gates”, International Journal of Neural Systems, 18, 2
(2008) 173-184.
R. Yamaki, A. Hirose, “ Singularity-spreading phase unwrapping”, IEEE Trans. on Geoscience and Remote Sensing, 45, 10 (2007) 3240-3251.
S. Masuyama, A.Hirose, “Walled LTSA array for rapid, high spatial resolution, and phase sensitive imaging to visualize plastic landmines”, IEEE Trans. on
Geoscience and Remote Sensing, 45, 8 (2007) 2536-2543.
K. Tanizawa, A. Hirose, “Performance Analysis of Steepest-Descent-Based Feedback Control of Tunable Dispersion Compensator for Adaptive Dispersion
Compensation in All-Optical Dynamic Routing”, Networks IEEE J. Lightwave Technol., 25, 4 (2007) 1086-1094.
T. Akimitsu, Y.Okabe, A.Hirose, “Self-organization through spike-timing dependent plasticity using localized synfire-chain patterns”, Neural Processing Lett,
25, 1 (2007) 79-89.
小山純平, 加藤雅弘, 廣瀬明, “スペクトル領域局所ゆらぎ検出法 -- 生体の視覚に学んだ手書き文字と活字文字の判別手法 <招待解説論文>”, 日本
神経回路学会誌, (to appear).
都留大和, 藤井康正, “自由化環境における停電コストを考慮した電力貯蔵設備の費用便益分析”, 電気学会論文誌 B, 128 巻, 1 号,pp.158-164, 2008.
藤井康正, “日本のエネルギーの現状と動向”,電気設備学会誌, Vol.27, No.8, pp.612-616, 2007.
藤井康正, “地球温暖化対策技術の評価”, 化学工学, Vol.71, No.11, pp.765-768, 2007
藤井康正, “ CO2 回収貯留を考慮したエネルギーシナリオ”, クリーンエネルギー, 日本工業出版 1 月号, 2008.
B. B. M. W. Badalawa and M. Fujishima, “60 GHz CMOS pulse generator,” Electronics Letters, vol. 43, no. 2, pp. 100-102, 2007.
M. Motoyoshi and M. Fujishima, “58.8/39.2 GHz dual-modulus CMOS frequency divider with 9.2x5.2 um core size,” Electronics Letters, vol. 43, no. 2, pp.
98-100, 2007.
I. C. H. Lai, C. Inui and M. Fujishima, “CMOS on-chip stacked Marchand balun for millimeter-wave applications,” IEICE Electronics Express, vol. 4, no. 2, pp.
48-53, 2007.
I. C. H. Lai and M. Fujishima, “Analysis of on-chip asymmetric coaxial waveguide structure for chip area reduction,” Japanese Journal of Applied Physics, vol.
46, no. 4B, pp. 2261-2264, 2007.
Y. Goto and M. Fujishima, “Efficient quantum computing emulation system with unitary macro-operations,” Japanese Journal of Applied Physics, vol. 46, no.
4B, pp. 2278-2282, 2007.
I. C. H. Lai and M. Fujishima, “An integrated low-power CMOS up-conversion mixer using new stacked Marchand baluns,” IEICE TRANS. ELECTRON, vol.
E90-C, no. 4, pp. 823-828, 2007.
A. Oncu, B.B.M.W. Badalawa, and M. Fujishima, “22-29 GHz ultra-wideband CMOS pulse generator for short-range radar applications,” IEEE Journal of
Solid-State Cirsuits, vol. 42, NO. 7,pp. 1464-1471 July 2007.
K. Ishibashi, I.C.H. Lai, K Takano, and M. Fujishima, “A scalable model of shielded capacitors using mirror image effects,” IEICE Trans. Electron, vol.E90-C
no.12 pp.2237-2244 Dec 2007.
泰井祐輔, 角嶋邦之, 横川隆司, 小野志亜之, 高橋琢二, 諫本圭史, 鄭昌鎬, 藤田博之, 年吉洋, “フッ酸, オゾン, HMDS を用いた MEMS デバイスの全
気相処理によるスティクション力低減”,電気学会論文誌 E センサ・マイクロマシン準部門誌, Vol.127, No.4, pp.221-227, 2007
E. Sarajlic, D. Collard, H. Toshiyoshi, H. Fujita, “Design and Modeling of Compliant Micromechanism for Mechanical Digital-to-Analog Conversion of
Displacement”, IEEJ Transactions on Electrical and Electronic Engineering, Vol.20, No.3, pp.357-364, 2007.
N. Nozawa, K. Kakushima, G. Hashiguchi, H. Fujita, “In situ Visualization of Degradation of Silicon Field Emitter Tips”, IEEJ Transactions on Electrical and
Electronic Engineering, Vol.20, No.3, 2007, pp.284-288, 2007.
M. Zickar, M. Mita, M. Ataka, H. Fujita, “Low Cross Talk Design and Simple Fabrication Process of Electrostatic Vertical Comb-drive Actuators for Positioning
Application”, IEEJ Transactions on Electrical and Electronic Engineering, Vol.20, No.3, 2007, pp.289-294, 2007.
D. Collard, C. Yamahata, B. Legrand, T. Takekawa, M. Kumemura, N. Sakaki, G. Hashiguchi, H. Fujita, “Towards Mechanical Characterization of
Biomolecules by MNEMS Tools”, IEEJ Transactions on Electrical and Electronic Engineering, Vol.20, No.3, pp.262-271, 2007.
E. Sarajlic, C. Yamahata, H. Fujita, “Towards wet anisotropic silicon etching of perfect pyramidal pits Microelectronic Engineering”, Vol.84, Issue 5-8,
pp.1419-1422, 2007.
K. Yamashita, W. Sun, B. Charlot, K. Kakushima, H. Fujita, and H. Toshiyoshi, “Vacuum, temperature, and time dependencies of field-emission current for
RF-MEMS applications”, Microelectronic Engineering, Vol.84, Issue 5-8, pp.1345-1353, 2007.
A Debray, K Ueda, M Shibata, H Fujita, “Fabrication of suspended metallic structures: application to a one-shot micro-valve”, IEICE Electronics Express, Vol. 4
(2007) , No. 14, pp.455-460, 2007.
A Debray, M Shibata and H Fujita, “A low melting point alloy as a functional material for a one-shot micro-valve “, J. Micromech. Microeng., Vol.17, No.8 ,
pp.1442-1450, 2007.
M. Kumemura, D. Collard, C. Yamahata, N. Sakaki, G. Hashiguchi, and H. Fujita, “Single DNA Molecule isolation and trapping in a microfluidic device”,
ChemPhysChem”, Vol.8, No.12, pp.1875-1880, 2007.
H. Fujita, “MEMS for Heterogeneous Integration of Devices and Functionality”, Journal of Semiconductor Technology and Science, Special issue on
Nano/Micro System Technologies, Vol.7, No.3, pp.133-139, 2007.
藤田博之, 年吉洋, “大面積 MEMS 技術と整合する黒板型ディスプレイの制作と評価”, 日本画像学会誌, 第 46 巻, 第 5 号, pp. 401-406, 2007.
M. Kumemura, D.Collard, C.Yamahata, N.Sakaki, G.Hashiguchi, H.Fujita, “Cover picture: Single DNA Molecule isolation and trapping in a microfluidic
device”, ChemPhysChem, Vol.8, p.1733, 2007.
F. Rose, H. Fujita and H. Kawakatsu, “Real-time observation of FIB-created dots and ripples on GaAs”, Nanotechnology, Vol.19, pp.035301-035307, 2007.
H. F. Arata, M. Kumemura, N. Sakaki, H. Fujita, “Towards single biomolecule handling and characterization by MEMS”, Analytical and Bioanalytical
Chemistry, Published online, 2008.
T. Nishihara, T. Matsumoto, S. Komatsu, and M. Fujita, “Formal Verification of Hardware/Software Co-designs with Translation into Representations in State
Transitions,” Electronics and Communications in Japan, Part 2 Electronics, Vol.9, No.7, pp.11-19, 2007.
S. Sasaki, T. Nishihara, D. Ando, and M.Fujita, “Hardware/Software Co-design and Verification Methodology from System Level Based on System Dependence
Graph,” Journal of Universal Computer Science, Vol.13, No.13, pp.1972-2001, 2007.
藤田昌宏, “SAT アルゴリズムの最新動向”, 電子情報通信学会誌, Vol.90, No.12, pp.1067-1072, 2007 年.
K. Y. Song, Z. He and K. Hotate, “Effects of intensity modulation of light source on Brillouin optical correlation domain analysis”, J. of Lightwave Technology,
Vol.25, No.5, pp.1238-1246, May 2007.
W. Zou, Z. He, A. D. Yablon and K. Hotate, “Dependence of Brillouin frequency shift in optical fibers on draw-induced residual elastic and inelastic strains”,
IEEE Photonics Technology Letters, Vol.19, No.18, pp.1389-1391, Sept. 2007.
K.Y. Song and K. Hotate, “Distributed fiber strain sensor at 1 kHz sampling rate based on Brillouin optical correlation domain analysis,” IEEE Photonics
Technology Letters, Vol.19, No.23, pp.1928-1930, Dec. 2007.
K.Y. Song, K.S. Abedin, and K. Hotate, “Gain-assisted superluminal propagation in tellurite glass fiber based on stimulated Brillouin scattering,” OSA Optics
Express, Vol.16, No.1, pp.225-230, Jan. 2008.
保立和夫, “痛みの分かる材料・構造のための光ファイバ神経網技術,” 計測と制御, Vol.46, No.8, pp.591-597, Aug. 2007.
W. Li and Y. Hori, “Vibration Suppression using a Novel Hybrid Controller and Fractional-Order Disturbance Observer”, IEEE Trans. on Industrial Electronics,
Vol.54, No.1, pp.117-126, 2007.
様式20-52 (第12条第1項関係)
[308]
[309]
[310]
[311]
[312]
[313]
[314]
[315]
[316]
[317]
[318]
[319]
[320]
[321]
[322]
[323]
[324]
[325]
[326]
[327]
[328]
[329]
[330]
[331]
[332]
[333]
[334]
[335]
[336]
[337]
[338]
[339]
[340]
[341]
[342]
[343]
[344]
[345]
[346]
[347]
[348]
[349]
[350]
[351]
〔別添13-52〕
P. He and Y. Hori, “Improvement of EV Manueverability and Safety by Dynamic Force Distribution with Disturbance Observer”, WEVA Journal, Vol.1, 2007.
K. Kawashima, T. Uchida and Y. Hori, “Normal Force Stabilizing Control using Small EV powered only by Electric Double Layer Capacitor”, WEVA Journal,
Vol.1, 2007.
L. Zhao and Y. Hori, “Realtime Smart Speed Pattern Generator for EVs taking account of Driver's Command Change”, WEVA Journal, Vol.1, 2007.
賀 鵬, 堀 洋一, “Experimental Evaluation of Dynamic Force Distribution Method for 4WD EV Motion Control(四輪独立駆動電気自動車の運動制御にお
ける動的制駆動力配分法の実験的検証)”, 生産研究 特集号「先進モビリティ連携研究センター(ITS センター)活動報告, Vol.59, No.3, pp.240-245, 2007.5.
小池卓志, 河島清貴, 内田利之, 堀 洋一, “キャパシタ駆動EVの運動制御とエネルギー分析(Motion Control and Energy Analysis of Capacitor driven
Electric Vehicle)”, 生産研究, Vol.59, No.6, pp.504-509, 2007.
大西祐介, 呉 世訓, 堀 洋一, “筋電信号を用いた車椅子のパワーアシスト制御(Power-Assist Control of Wheelchair Using Myoelectric Signal)”, 生産研
究, Vol.59, No.6, pp.500-503, 2007.
C. Ma and Y. Hori, “Fractional Order Control: Theory and Applications in Motion Control”, IEEE IES Magazine 2007-WINTER, pp.5-15, 2007.
K. Tsutsui, M. Nakata, M. Morita, M. Tokuda, K. Nagatsuma, H. Onozato, T. Kaneko, T. Edura, Y. Mita, H. Koinuma and Y. Wada, “Novel fabrication
technologies of planar nano-gap electrodes for single molecule evaluation”, Current Applied Physics, Vol. 7, n 4, May 2007, pp. 329-333 (2007.05).
K. Hirose, F. Shiraishi, and Y. Mita, “Simultaneous vertical and horizontal as-deposition self-patterning method on deep three-dimensional micro structures
applied to vertically buried inductors”, Journal of Micromechanics and Microengineering, Vol. 17, No.7, pp. S68-S76 (2007.07)
doi:10.1088/0960-1317/17/7/S02.
Y. A. Chapuis, Lingfei Zhou, Yamato Fukuta, Yoshio Mita, and Hiroyuki Fujita, “FPGA-based decentralized control of arrayed MEMS for microrobotic
application”, IEEE Transactions on Industrial Electronics, v 54, n 4, Aug. 2007, pp. 1926-1936 (2007.08)
T. Kamada, N. Minematsu, T. Osanai, H. Makinae, and M. Tanimoto,”Speaker verification in realistic noisy environment in forensic science,”Trans. IEICE,
vol.E91-D, no.3, pp.558-566 (2008-3).
村上隆夫,峯松信明,広瀬啓吉,”音声の構造的表象に基づく日本語孤立母音系列を対象とした音声認識”,電子情報通信学会論文誌,vol.J91-A,no.2,
pp.181-191 (2008-2).
M. Watanabe, K. Hirose, Y. Den, and N. Minematsu,”Filled pauses as cues to the complexity of upcoming phrases for native and non-native listeners,” Speech
Communication, vol.50, pp.81-94 (2008-1).
伝康晴,小木曽智信,小椋秀樹,山田篤,峯松信明,内元清貴,小磯花絵,”コーパス日本語学のための言語資源:形態素解析用電子化辞書の開発とその
応用”,日本語科学,vol.22,no.10,pp.101-123 (2007-10).
八木裕司,高田靖也,広瀬啓吉,峯松信明,”道案内音声対話システムへの概念音声合成に基づく応答生成手法の実装とその評価”,情報処理学会論文
誌,vol.48,no.9,pp.3300-3308 (2007-9).
峯松信明,”小特集「言語障害を通して再考する音声言語情報処理」にあたって”,日本音響学会誌 63 巻 7 号,pp.363-364 (2007-7).
朝川智,峯松信明,広瀬啓吉,”音声の構造的表象に基づく英語学習者発音の音響的分析”,電子情報通信学会論文誌,vol.J90-D,no.5,pp.1249-1262
(2007-5).
F. Adachi, H. Wakana, H. Morikawa, M. Kuroda, H. Harada, S. Isobe, R. Miura, and H. Ogawa,” Network and Access Technologies for New Generation Mobile
Communications,” Wireless Communications and Mobile Computing, vol. 7, no. 8, pp. 937-950, Oct. 2007.
永富悠, 山本博巳, 山地憲治, 岩崎博, 山田興一, “タイにおけるキャッサバパルプを用いたエタノール製造に関する分析”, エネルギー・資源 vol.28,
No.3, 5, pp180-185, 2007.
山本博巳, 福田桂, 山地憲治, “わが国における地域別バイオマス表の開発によるバイオエネルギー資源量のシステム評価”, 日本エネルギー学会誌, 第 86
巻 第 6 号, p.p.403-410, 2007
山本博巳, 福田桂, 井上貴至, 山地憲治, “中四国の木質バイオマス残さの収集・発電利用のシステム分析”, エネルギー・資源 Vol.28, No.4, pp.257-262,
Jul. 2007.
山田興一, 岩崎博, 松村幸彦, 山本博巳, 山地憲治, “バイオエタノールプロセスの合理化-前処理工程と全プロセスの設計・評価-”, 日本エネルギー学
会誌, 弟 86 巻 第 7 号, pp.462-469, 2007.
岩崎博, 小島紀徳, 松村幸彦, 山本博巳, 山地憲治, 山田興一, “キャッサバパルプ原料のバイオエタノールプロセスの合理化”, 日本エネルギー学会誌,
弟 86 巻 第 7 号, pp.470-474, 2007.
永富悠, 山本博巳, 山地憲治, “廃棄物処理システムに対する地域特性と温室効果ガス対策の影響評価”, 日本エネルギー学会誌, 第 86 巻 第 9 号,
pp.693-699, 2007.
山地憲治, “バイオマス利活用のシステム評価”, 環境システム計測制御学会(Journal of EICA), No.1 Vol.12 pp5-9, 2007.
山地憲治, “エネルギーの計量における課題”, ECO-FORUM, 統計研究会, Vol.25, No.3, 4, pp.58-65, Aug. 2007.
山地憲治, “持続可能なエネルギーシステム”, 季報エネルギー総合工学, Vol.30, No.4, pp.16-27, Jan. 2008.
山地憲治, “Energy Studies:Establishing a New Discpline「エネルギー学」の構想と今後の進め方”, エネルギー学会誌, 第87巻 第2号, pp96-102, 2008.
Y. W. Song, S. Yamashita, E. Einarsson, and S. Maruyama, “All-fiber pulsed lasers passively mode-locked by transferable vertically aligned Carbon nanotube
film,” Optics Letters, vol.32, no.11, pp.1399-1401, Apr. 2007.
Y. W. Song and S. Yamashita, “A tester for carbon nanotube mode lockers,” Japanese Journal of Applied Physics, Part 1, vol.46, no.5A, pp.3111-3113, May
2007.
K. T. Dinh and S. Yamashita, “Realization of channel-spacing-tunable multiwavelength fiber laser by tuning chirp rate of strongly chirped sampled fiber Bragg
gratings,” Japanese Journal of Applied Physics, Part 1, vol.46, no.6A, pp. 3448-3451, June 2007.
K. Kashiwagi and S. Yamashita, “Optically manipulated deposition of Carbon Nanotubes onto optical fiber end,” Japanese Journal of Applied Physics, vol. 46,
no. 40, pp.L988-L990, Oct. 2007.
K. T. Dinh, Y. W. Song, S. Y. Set and S. Yamashita, “Realization of all-fiber tunable filter and high optical power blocker using thinned fiber Bragg gratings
coated with carbon nanotubes,” Applied Physics Express, no.012008, Jan. 2008.
Y. W. Song, S. Yamashita, and S. Maruyama, “Single-walled carbon nanotubes for high-energy optical pulse formation”, Appl. Phys. Lett., vol.92, no.2,
pp.021115-1-3, Jan. 2008.
K. Kashiwagi, S. Yamashita, and S. Y. Set, “Optically formed carbon nanotube sphere,” Optics Express, vol. 16, no.4, pp.2528-2532, Feb. 2008.
ブンヨン タッサポン,横山, “競争環境下におけるハイブリッド型市場取引モデルを考慮した電力システム供給信頼度評価”, 平成 19 年電力・エネルギー部門
大会論文集, pp.26-1-26-10, 2007.
益田,横山, “過渡安定度を考慮したATC拡大のためUPFCを導入した系統の送電信頼度評価”, 平成 19 年電力・エネルギー部門大会論文集,
pp.37-3-37-10, 2007.
J. Zhang, A.Yokoyama, “Power System Transient Stability Improvement by the Interline Power Flow Controller (IPFC) “, 平成 19 年電力・エネルギー部門大
会論文集, pp37-17-37-24, 2007.
杉原、横山、伊是名, “広域電力系統における低次線形モデルを用いた適応型PSSの性能評価”, 平成 19 年電力・エネルギー部門大会論文集,
pp.40-27-40-32, 2007.
S. Chaitusaney, A.Yokoyama, “Probability-based Prevention of Voltage Violation and Momentary Interruption due to Uncertainty of Renewable Energy
Resources”, 平成 19 年電力・エネルギー部門大会論文集, pp.44-13-44-21, 2007.
有田、横山、多田, “大容量風力発電が導入された 2 地域系統における蓄電池を用いた周波数・連系線潮流制御”, 平成 19 年電力・エネルギー部門大会論
文集, pp.49-9-49-16, 2007.
酒井、林、川﨑、松井、馬場、横山、北條、若尾、小林、生石, “分散型電源と配電ネットワークとの協調運用形態の検証実験”, 平成 19 年電力・エネルギー部
門大会論文集, pp.34-15-34-16, 2007.
大屋、横山、緒方、塚田、徳本, “マイクログリッドの分散型電源による系統LFCへの貢献”, 平成 19 年電力・エネルギー部門大会論文集, pp.39-13-39-14,
2007.
Sarjiya, Eua-arporn, A.Yokoyama, “Short-term Operating Strategy with Consideration of Load Forecast and Generating Unit Uncertainty”, IEEJ Trans. PE,
Vol.127, No.11, pp.1159-1167, 2007.
様式20-53 (第12条第1項関係)
[352]
[353]
[354]
[355]
[356]
4. 本事業で得られた成果に係る特許権等の知的財産
特 許 権 等 の知 的 財 産 の状 況 を,表 II(ページ 54-55)に示 す.
5. 本事業に係る受賞
本事業に係る成果によって授与された賞ならびに称号について,表 III(ページ 56)にまとめる.
6.本年度の刊行物
本年度に,本グローバル COE の事業推進にあたり作成した刊行物は以下の通りである.
[1]
東京大学グローバルCOE「セキュアライフ・エレクトロニクス」
第1回ワークショップ - Realizing the Future ICT Society - 予稿集
全107ページ,2007年11月5日
[2]
東京大学グローバルCOE「セキュアライフ・エレクトロニクス」
第2回ワークショップ 「宇宙からのセンシングによるセキュアライフ」 予稿集
全113ページ,2007年12月20日
[3]
The 4th Frontier Young Researchers' Forum Proceedings
「第四回 新領域若手の会」予稿集
全80ページ,2008年2月22日
[4]
固体エレクトロニクス・オプトエレクトロニクス研究発表会予稿集
全132ページ,2008年2月28日(本COE共催)
[5]
Proceedings of International Symposium on Secure-Life Electronics
-Advanced Electronics for Quality Life and Society -
東京大学グローバルCOE「セキュアライフ・エレクトロニクス」国際シンポジウム予稿集
全569ページ,2008年3月6-7日
[6]
〔別添13-53〕
杉原、横山、伊是名, “広域電力系統を対象とした 3 分木法を用いた適応型 PSS の選択的なオンラインチューニング方式”, 電気学会論文誌 B 127 巻 11
号, pp.1119-1126, 2007.
益田、横山, “過度安定度を考慮した ATC 拡大のため UPFC を導入した系統の送電信頼度評価”, 電気学会論文誌 B 128 巻 1 号, pp.48-55, 2008.
J. Zhang, A. Yokoyama, “Power System Transient Stability Improvement by the Interline Power Flow Controller(IPFC)”, IEEJ Trans. PE, Vol.128, No.1,
pp.208-215, 2008.
S. Chaitusaney, A. Yokoyama, “Probability-based Prevention of Voltage Violation and Momentary Interruption due to Uncertainty of Renewable Energy
Resources”, IEEJ Trans. PE, Vol.128, No.1, pp.217-225, 2008.
J. Li, Y. Wakahara, “Time Slot Assignment for End-to-end Bandwidth Guarantee in a Mobile Ad Hoc Network”, Journal of Communications, Vol.2, Issue6,
pp.56-64, 2007.
平成19年度 大学院博士課程学生報告書
全259ページ,2008年4月18日
様式20-54 (第12条第1項関係)
〔別添13-54〕
表 II 本事業で得られた成果に係る特許権等の知的財産(平成 19 年度)
タイトル(内容)
発明者
権利者
種類
地球非静止軌道衛星通信
石井 良和、湯地 洋子、原
川 孝夫、若原 恭、元吉
茂、森川 栄久
独立行政法人情報通信研究
機構
特許登録
METHOD FOR EVALUATING A
SEMICONDUCTOR SUBSTRATE (半導
体基板の評価方法)
田島道夫,杉本広紀
独立行政法人宇宙航空研究
開発機構
国際特許出願
Method for immobilizing
self-organizing material of
fine particle on substrate, and
substrate manufactured by
using such method
注入同期型発信器
Tomoji Kawai, Yoichi
Otsuka, Fumihiko Yamada,
Takuya Matsumoto,
Hitoshi Tabata
Tomoji Kawai, Yoichi
Otsuka, Fumihiko Yamada,
Takuya Matsumoto, Hitoshi
Tabata、JST
国際特許出願
藤島実
国立大学法人東京大学
特許出願
光ファイバ特性測定装置及び光
ファイバ特性測定方法
光ファイバ特性測定装置及び光
ファイバ特性測定方法
国立大学法人東京大学
特許出願
保立和夫, 三菱重工業株式
会社, 横河電機株式会社
特許出願
インピーダンス分布測定方法及
びインピーダンス分布測定装置
パターニング方法
保立和夫, 何 祖源, 水
野洋輔
保立和夫, 鎗 孝志, 石岡
昌人, 熊谷芳宏, 大石和
司
関野正樹, 多田羅智史,
大崎博之
川添忠、大津元一、山本洋
関野正樹
特許出願
独立行政法人科学技術振興
機構
特許登録
量子ドットによる光増幅器
大津元一、川添忠、
独立行政法人科学技術振興
機構
特許登録
薄膜の作製方法、並びに微粒子の
堆積方法
八井崇、興梠元伸、野村航、
大津元一
独立行政法人科学技術振興
機構
特許登録
量子ドットによる演算回路
三宮俊、大津元一
独立行政法人科学技術振興
機構
特許登録
プローブ顕微鏡
成田貴人、久田秀穂、宮島
達哉、斎藤修、渡辺伸一郎、
斎藤臣也、阿久津耕二、照
山晋、大津元一
山下真司、柏木謙、セット
ジ イヨン
Tomoharu Tanaka,
Hiroshi Nakamura, Ken
Takeuchi, Riichiro
Shirota, Fumitaka Arai
and Susumu Fujimura
Ken Takeuchi
日本分光株式会社
独立行政法人科学技術振興
機構
特許登録
国立大学法人東京大学、株式
会社アルネアラボラトリ
Toshiba
特許出願
Toshiba
米国登録特許
光デバイス
Nonvolatile semiconductor
memory device
Nonvolatile semiconductor
memory device
米国登録特許
番号,出願年月日,取得年月日
登録番号 :特許第3987903号
出願番号 :特願2002-037486号
出願日:平成14年2月14日
登録日:平成19年7月27日
アメリカ出願:
出願番号:12/037744
出願日:平成20年2月26日
ヨーロッパ(EPC)出願:
出願番号:08003500.9
出願日:平成20年2月26日
出願番号:US2008020214
出願日:平成20年1月24日
出願番号:特願2007-285157
出願日:平成19年11月1日
出願番号:特願 2007-31644
出願日:平成19年12月6日
出願番号:特願 2008-039960
出願日:平成20年2月21日.
出願番号:特願2007-318901
出願日:平成19年11月13日
登録番号:特許第3979799号
特開2003-13236号
出願日:平成13年6月27日
登録日:平成19年7月6日
登録番号:特許第3947748号
特開 2006-80460号
出願日:平成16年9月13日
登録日:平成19年4月20日
登録番号:特許第3939669号
特開 2004-277813号
出願日:平成15年3月14日
登録日:平成19年4月6日
登録番号:特許第4032103号
特開 2005-64201号
出願日:平成15年8月11日
登録日:平成19年11月2日
登録番号:特許第4044241号
特開2000-329678号
出願日:平成11年5月24日
登録日:平成19年11月22日
出願番号:特願2005-258172
出願日:平成17年9月6日
登録番号:U.S.P. 7,224,612
出願日:August 2, 2005
登録日:May 29, 2007.
登録番号:U.S.P. 7,224,617,
出願日:July 27, 2004
登録日:May 29, 2007
登録番号:U.S.P. 7,242,616,
出願日:December 30, 2005
登録日:July 10, 2007.
Non-volatile semiconductor
memory device
Ken Takeuchi
Toshiba
米国登録特許
Non-volatile semiconductor
memory device
Ken Takeuchi
Toshiba
米国登録特許
登録番号:U.S.P. 7,269,073,
出願日:May 4, 2006
登録日:September 11, 2007.
Level shifter circuit and
semiconductor memory device
Takuya Futatsuyama and
Ken Takeuchi
Toshiba
米国登録特許
Nonvolatile semiconductor
memory device
Tomoharu Tanaka, Hiroshi
Nakamura, Ken Takeuchi,
Riichiro Shirota,
Fumitaka Arai and Susumu
Fujimura
Toshiba
米国登録特許
登録番号:U.S.P. 7,274,603,
出願日:January 12, 2006
登録日:September 25, 2007.
登録番号:U.S.P. 7,310,270,
出願日:April 19, 2007
登録日:December 18, 2007.
様式20-55 (第12条第1項関係)
Non-volatile semiconductor
memory
〔別添13-55〕
Ken Takeuchi, Tamio
Ikehashi and Toshihiko
Himeno
Kazushige Kanda, Kenichi
Imamiya, Hiroshi
Nakamura, Ken Takeuchi
and Tamio Ikehashi,
Toshiba
米国特許登録
Toshiba
米国特許登録
Yasushi Kameda, Ken
Takeuchi, Hitoshi Shiga,
Takuya Futatsuyama and
Koichi Kawai
Hiroshi Watanabe,
Hiroshi Nakamura,
Kazuhiro Shimizu,
Seiichi Aritome,
Toshitake Yaegashi, Yuji
Takeuchi, Kenichi
Imamiya, Ken Takeuchi
and Hideko Oodaira
Ken Takeuchi, Tomoharu
Tanaka and Noboru
Shibata,
Toshiba
米国特許登録
登録番号:U.S.P. 7,327,616,
出願日:September 27, 2005
登録日:February 5, 2008.
Toshiba
米国特許登録
登録番号:U.S.P. 7,332,762,
出願日:December 28, 2006
登録日:February 19, 2008.
Toshiba
米国特許登録
登録番号:U.S.P. 7,342,825,
出願日:March 23, 2007
登録日:March 11, 2008.
Ken Takeuchi and
Tomoharu Tanaka
Toshiba
特許登録
Semiconductor memory device
Ken Takeuchi and
Tomoharu Tanaka
Toshiba
特許登録
Nonvolatile semiconductor
memory device
Koji Hosono, Hiroshi
Nakamura, Ken Takeuchi
and Kenichi Imamiya
Toshiba
特許登録
登録番号:J.P. 3,954,245
出願日:July 22, 1999
登録日:May 11, 2007.
登録番号:J.P. 3,961,989,
出願日:June 23, 2003
登録日:May 25, 2007.
登録番号:J.P. 3,983,969,
出願日:October 23, 2000
登録日:July 13, 2007.
Semiconductor memory device
Ken Takeuchi, Hiroshi
Nakamura and Tomoharu
Tanaka
Ken Takeuchi, Hiroshi
Nakamura and Tomoharu
Tanaka
Ken Takeuchi, Koji Sakui
and Tomoharu Tanaka
Toshiba
特許登録
Toshiba
特許登録
Toshiba
特許登録
Koji Hosono, Muneo Ito
and Ken Takeuchi
Toshiba
特許登録
アレクサンダー・チェコフ
スキー、年吉 洋
財団法人神奈川科学技術ア
カデミー
特許出願
飛田浩平、年吉
ヒロセ電機株式会社、国立大
学法人東京大学
独立行政法人情報通信研究
機構
特許出願
Semiconductor device,
nonvolatile memory, system
including a plurality of
semiconductor memories,
electric card including
semiconductor device or
nonvolatile semiconductor
memory, and electric device
with which this electric card
can be used
Non-volatile semiconductor
memory device
Nonvolatile semiconductor
memory
Nonvolatile semiconductor
memory having plural data
storage portions for a bit line
connected to memory cells
Voltage generating circuit
Voltage bias circuit
Nonvolatile semiconductor
memory device
Internal voltage generating
circuit and semiconductor
memory
三次元ディスプレィ(レーザブレ
イクダウン効果を用いて水中に
3次元画像をビットマップ表示
する
高周波スイッチ
(MEMS技術を
用いたマイクロ波用のスイッチ)
地球非静止軌道衛星通信
洋
石井 良和、湯地 洋子、原
川 孝夫、若原 恭、元吉
茂、森川 栄久
特許登録
METHOD FOR EVALUATING A
SEMICONDUCTOR SUBSTRATE (半導
体基板の評価方法)
田島道夫,杉本広紀
独立行政法人宇宙航空研究
開発機構
国際特許出願
Method for immobilizing
self-organizing material of
fine particle on substrate, and
substrate manufactured by
using such method
Tomoji Kawai, Yoichi
Otsuka, Fumihiko Yamada,
Takuya Matsumoto,
Hitoshi Tabata
Tomoji Kawai, Yoichi
Otsuka, Fumihiko Yamada,
Takuya Matsumoto, Hitoshi
Tabata、JST
国際特許出願
登録番号:U.S.P. 7,313,022,
出願日:January 11, 2005
登録日:December 25, 2007
登録番号:U.S.P. 7,317,652,
出願日:August 12, 2005
登録日:January 8, 2008.
登録番号:J.P. 3,993,581,
出願日:April 30, 2004
登録日:August 3, 2007.
登録番号:J.P. 3,993,582,
出願日:April 30, 2004
登録日:August 3, 2007.
出願番号:J.P. 4,021,806,
出願日:June 9, 2003
登録日:October 5, 2007.
出願番号:J.P. 4,031,142,
出願日:April 8, 1999
登録日:October 26, 2007.
出願番号:特願2007−185578
出願日:平成19年7月17日
出願番号:特願2007−217237
出願日:平成19年8月23日
登録番号 :特許第3987903号
出願番号 :特願2002-037486号
出願日:平成14年2月14日
登録日:平成19年7月27日
アメリカ出願:
出願番号:12/037744
出願日:平成20年2月26日
ヨーロッパ(EPC)出願:
出願番号:08003500.9
出願日:平成20年2月26日
出願番号:US2008020214
出願日:平成20年1月24日
様式20-56 (第12条第1項関係)
〔別添13-56〕
表 III 本事業に係る成果によって授与された賞ならびに称号(平成 19 年度)
区
分
国際的学術賞
受賞数
2件
国内学術賞
18 件
論文賞
8件
学生受賞
35 件
その他
4件
合計
67 件
代表的な受賞名
第 5 回 YRP アワード YRP 賞(藤田博之),国際電気技術委員会 1906 賞(古関隆章)
内閣総理大臣賞(荒川 泰彦),日本学術振興会賞(田畑仁),科学技術分野の文部科学大
臣表彰 科学技術賞(研究部門)
(橋本樹明,久保田孝)
,経済産業大臣賞(小田哲治)
,第
5 回産学官連携功労者表彰内閣総理大臣賞(中野義昭)
,総務大臣表彰(加藤朗)
,応用物
理学会フェロー(田島道夫)
,計測自動制御学会フェロー(橋本秀紀)
,電子情報通信学会
業績賞(大津 元一)
,エレクトロニクスソサイエティ賞(中野義昭)
,藤原賞(荒川 泰彦),
船井情報科学振興賞(藤田博之)
,第 39 回市村学術賞(中野義昭)
,第 23 回櫻井健二郎氏
記念賞(中野義昭)
,第 63 回電気学術振興賞(著作賞)
(藤田博之)
,JGN2 アワード(先
端・基盤技術賞)
(森川博之),JGN2 アワード(アプリケーション賞)
(森川博之)
, JPCA
法人設立 30 周年記念表彰標準化功労賞(中野義昭)
Takuo Sugano Outstanding Paper Award(竹内健)
,International Conference on Photonics and
Electromagnetic Crystal Structures First Place Award (荒川泰彦),第 63 回電気学術振興賞(藤
田博之)
,第 29 回応用物理学会論文賞解説論文賞(高木信一)
,電気学会第 63 回電気学術
振興賞論文賞(日高邦彦)
,人工知能学会・全国大会優秀賞(峯松信明)
,日本 AEM 学会
技術賞(関野正樹、大崎博之)
,研究会優秀論文発表 A 賞(関野正樹)
IEEE LEOS Best Student Paper Award,2007 IEEE Robotics and Automation Society Japan
Chapter Young Award(2 件),静電気学会増田賞,イノベイティブ PV 奨励賞,秋季応用物理
学講演奨励賞,第 9 回 LSI IP デザイン・アワード IP 賞,STARC シンポジウム 2007 優秀ポ
スター賞,第 11 回システム LSI ワークショップ最優秀ポスター賞,学術奨励賞,第 22 回
(2007 年春季)応用物理学会講演奨励賞,放電学会優秀論文発表賞,電気学会若手優秀論
文発表賞,電気学会東京支部女性活動奨励賞,電気学会優秀論文発表賞,講演奨励賞,
International Conference on Photonics and Electromagnetic Crystal Structures Best Poster
Presentation Award,International School Poster Presentation Award,第 1 回電子情報通信学会
エレクトロニクスソサイエティ レーザ・量子エレクトロニクス研究会奨励賞, RT ミド
ルウェアコンテスト 2007 計測自動制御学会 RT ミドルウェア賞,RT ミドルウェアコンテ
スト 2007 奨励賞・URG 賞,日本音響学会・全国大会ポスター賞,学生奨励賞,Linear Drives
for Industrial Applications Best Paper of the Poster Session #2,IEEE EDS Japan Chapter Student
Award,光通信システム研究会学生優秀発表賞,電磁界理論研究会学生優秀発表賞 (2 件),
IEEE VTS Japan 学生論文賞受賞,第 1 回分子科学討論会優秀ポスター賞,神奈川県ものづ
くり技術交流会ポスター賞,第 1 回光エレクトロニクス研究会学生優秀研究賞,東京大学
工学系研究科長賞,東京大学工学部長賞
通信ソサイエティ功労顕彰状(若原恭)
,IEEE DEIS Certificate of Appreciation(日高邦彦),
Certificate of Appreciation(橋本秀紀),情報セキュリティの日功労者表彰(中山雅哉)
Fly UP