...

Virtex-7 GTH トランシーバーを使用した SMPTE SDI

by user

on
Category: Documents
41

views

Report

Comments

Transcript

Virtex-7 GTH トランシーバーを使用した SMPTE SDI
ア プ リ ケーシ ョ ン ノ ー ト : Virtex-7 フ ァ ミ リ
Virtex-7 GTH ト ラ ン シーバーを使用 し た
SMPTE SDI イ ン タ ー フ ェ イ スの実装
XAPP1187 (v1.0) 2014 年 2 月 21 日
概要
著者 : John Snow
放送業界向けの映像機器には、SMPTE (Society of Motion Picture and Television Engineers) SDI (Serial
Digital Interface) 規格が広 く 使用 さ れてい ます。 こ の イ ン タ ーフ ェ イ ス は、 放送局ス タ ジオや映像制作
会社で使用 さ れてお り 、 非圧縮のデジ タ ル映像 と 多重音声チ ャ ネルな ど の埋め込まれた補助デー タ を共
に伝送 し ます。
ザ イ リ ン ク ス の SMPTE SD/HD/3G-SDI LogicCORE™ IP は、 デバ イ ス 固有の制御機能を持た ない一
般的な SDI 受信/送信デー タ パ ス です。 こ のアプ リ ケーシ ョ ン ノ ー ト では、 完全な SDI イ ン タ ーフ ェ イ
ス を構築す る ために、 Virtex®-7 GTH ト ラ ン シーバー と SMPTE SD/HD/3G-SDI LogicCORE IP を合
わせて使用す る ための制御 ロ ジ ッ ク を含むモジ ュ ールを提供 し ます。 ま た、 ザ イ リ ン ク ス ® の Virtex-7
FPGA VC709 評価ボー ド を使用する SDI の設計例をい く つか紹介 し ます。
こ のアプ リ ケーシ ョ ン ノ ー ト で使用 さ れ る 用語については、 62 ページの 「付録 A : 用語解説」 を参照
し て く だ さ い。 SMPTE 規格は 64 ページの 「付録 B : 参考資料」 に一覧表示 し 、 SMPTE の資料番号 も
記載 し てい ます。
は じ めに
ザ イ リ ン ク ス の SMPTE SD/HD/3G-SDI LogicCORE IP (以下、 SDI コ ア と い う ) は、 Virtex-7 GTH ト
ラ ン シーバー と 接続す る こ と で、 SMPTE SD-SDI、 HD-SDI、 お よ び 3G-SDI 規格をサポー ト する SDI
イ ン タ ーフ ェ イ ス を実装で き ま す。 SDI コ ア と GTH ト ラ ン シーバーを接続 し て完全な SDI イ ン タ ー
フ ェ イ ス を実装す る には、 ロ ジ ッ ク を追加す る 必要があ り ます。 こ のアプ リ ケーシ ョ ン ノ ー ト では、 こ
の付加的な制御 ロ ジ ッ ク と イ ン タ ーフ ェ イ ス ロ ジ ッ ク について説明 し 、必要 と な る 制御モジ ュ ールお よ
び イ ン タ ーフ ェ イ ス モジ ュ ールを Verilog コ ー ド で提供 し ます。
デバ イ ス固有の制御 ロ ジ ッ ク の主な機能は次の と お り です。
•
•
GTH ト ラ ン シーバーの リ セ ッ ト ロ ジ ッ ク
3 つの SDI 規格をサポー ト す る ために、 GTH RX/TX シ リ アル ク ロ ッ ク 分周器を動的に切 り 替え
•
HD-SDI お よ び 3G-SDI 規格の 2 つの異な る ビ ッ ト レー ト をサポー ト す る ために、 TX の基準 ク
る 機能
ロ ッ ク を動的に切 り 替え る 機能
•
•
• 1.485Gb/s お よ び 1.485/1.001Gb/s (HD-SDI モー ド の場合)
• 2.97Gb/s お よ び 2.97/1.001Gb/s (3G-SDI モー ド の場合)
SD-SDI モー ド の場合にデー タ を回復 さ せ る デー タ リ カバ リ ユニ ッ ト
RX で受信 し てい る ビ ッ ト レー ト (1/1 ビ ッ ト レー ト 信号ま たは 1/1.001 ビ ッ ト レー ト 信号) を判
断す る RX ビ ッ ト レー ト 検出機能
こ のアプ リ ケーシ ョ ン ノ ー ト は、 GTH ト ラ ン シーバー用制御モジ ュ ールの イ ン ス タ ン ス と SDI コ アの
イ ン ス タ ン ス、 お よ びそれ ら に必要な接続を含む ラ ッ パー フ ァ イ ル も 提供 し てい ます。 こ れを利用す る
こ と で、 SDI イ ン タ ーフ ェ イ ス を簡単に構築で き ます。
こ のアプ リ ケーシ ョ ン ノ ー ト で使用す る 用語を次に示 し ます。 図 1 の簡略ブ ロ ッ ク 図では、 さ ま ざ ま な
コ ン ポーネ ン ト を組み合わせて ど の よ う に SDI イ ン タ ーフ ェ イ ス が形成 さ れてい る か を示 し てい ます。
•
SDI コ ア と は、 Vivado® IP カ タ ロ グでか ら 入手可能な SMPTE SD/HD/3G-SDI コ アの こ と をいい
•
制御モジ ュ ール と は、 GTH ト ラ ン シーバーが SMPTE SDI コ ア を使用 し て SDI イ ン タ ーフ ェ イ ス
を実装す る 際に必要 と な る さ ま ざ ま なデバ イ ス固有の機能を実装す る モジ ュ ールです。 こ のアプ リ
ケーシ ョ ン ノ ー ト では、 制御モジ ュ ールを ソ ース コ ー ド 形式で提供 し ます。
ます。
© Copyright 2014 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx
in the United States and other countries. All other trademarks are the property of their respective owners.
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
1
は じ めに
•
SDI ラ ッ パーは、 SDI コ アや制御モジ ュ ールを イ ン ス タ ン シエー ト し て相互接続す る ための ラ ッ
パー モジ ュ ールです。 こ のアプ リ ケーシ ョ ン ノ ー ト では、 SDI ラ ッ パーを ソ ース コ ー ド 形式で提
•
GTH ラ ッ パーは、 GTHE2_CHANNEL ト ラ ン シーバーの イ ン ス タ ン ス を 1 つ含む Verilog モ
ジ ュ ールです。 こ の ラ ッ パーは、 Vivado IP カ タ ロ グ で利用可能な 7 Series FPGAs Transceivers
Wizard に よ っ て生成 さ れます。
GTH コ モン ラ ッ パーは、 GTH ク ワ ッ ド の QPLL が含まれてい る GTHE2_COMMON ブ ロ ッ ク の
イ ン ス タ ン ス を 1 つ含む Verilog ま たは VHDL モジ ュ ールです。 こ の ラ ッ パーは、 7 Series FPGAs
Transceivers Wizard に よ っ て GTH ラ ッ パー と 共に生成 さ れます。 SDI アプ リ ケーシ ョ ンで QPLL
供 し ます。
•
が使用 さ れない場合、 こ の ラ ッ パーは不要です。
SDI ラ ッ パーには、制御モジ ュ ールの イ ン ス タ ン ス と SDI コ アの イ ン ス タ ン ス が 1 つずつ含まれてい ま
す。 SDI コ アには、 SDI RX デー タ パス と SDI TX デー タ パ ス の両方が含まれます。 通常、 ラ ッ パー モ
ジ ュ ールは同 じ GTH ト ラ ン シーバー内の RX/TX ユニ ッ ト へ接続 さ れ ますが、 こ の場合は こ れに該当
し ません。 異な る GTH ト ラ ン シーバーの RX/TX ユニ ッ ト を同 じ SDI ラ ッ パーへ接続で き ます。 SDI
RX のみ、 あ る いは SDI TX のみ必要な場合は、 合成段階で制御モジ ュ ール と SDI コ アの未使用部分が
最適化に よ っ て除去 さ れます。
こ のアプ リ ケーシ ョ ン ノ ー ト では、 SDI コ ア を使用す る 2 つのデモ アプ リ ケーシ ョ ン例を紹介 し ます。
こ れ ら の ア プ リ ケーシ ョ ン は VC709 評価ボー ド を使用 し て実行 さ れ ま す。 SDI の物理的な イ ン タ ー
フ ェ イ ス と し て、 Inrevium 社製 SDI FMC メ ザニ ン ボー ド も 必要です。
X-Ref Target - Figure 1
2SWLRQDO$XGLR
(PEHGGHU
7;&ORFN
7;9LGHR
7;673,'
7;6',0RGH
9LUWH[)3*$
%8)*
W[XVUFON
W[RXWFON
W[XVUFON
6037(6',&RUH
&DEOH'ULYHU
W[GDWD
6',2XW
5HVHWVDQG
&RQWURO
7;&RQWURO
6',:UDSSHU
5;6WDWXV
5;6',0RGH
5;673,'
5;9LGHR
*7+:UDSSHU
6WDWXV
&RQWURO0RGXOH
&DEOH(TXDOL]HU
(4
U[GDWD
%8)*
U[XVUFON
U[XVUFON
6',,Q
U[RXWFON
5;&ORFN
*7+&RPPRQ
:UDSSHU
0+]
0+]
5HIHUHQFH&ORFN6RXUFH
1RWHV
7KLVELWEXVLVDFWXDOO\IRXUELWZLGHEXVHVHDFKFDUU\LQJDGLIIHUHQW6',GDWDVWUHDP7KHQXPEHURIDFWLYHGDWDVWUHDPV
DQGWKHUHIRUHEXVHVYDULHVGHSHQGLQJRQWKH6',PRGH)RUH[DPSOHLQ6'6',PRGHRQO\RQHELWGDWDVWUHDPLVDFWLYHDQG
LQ+'6',PRGHWZRELWGDWDVWUHDPVDUHDFWLYH
7KHRSWLRQDODXGLRHPEHGGHULVDVHSDUDWHFRUHDQGLVQRWLQFOXGHGZLWKWKH6',FRUHRUZLWKWKLVDSSOLFDWLRQQRWH
;BB
図 1 : 一般的な SDI RX/TX イ ン タ ー フ ェ イ スのブ ロ ッ ク図
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
2
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
Virtex-7 GTH ト ラ
ンシーバーを使用
し て SDI イ ン タ ー
フ ェ イ スを実現
こ のセ ク シ ョ ンでは、『7 シ リ ーズ FPGA GTX/GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG476) [参照 1]
を補足す る 内容を提供 し ま す。 ユーザー ガ イ ド ではないため、 SDI アプ リ ケーシ ョ ン の実現に必要な
GTH ト ラ ン シーバーの機能お よ び動作要件を中心に説明 し ます。
こ のアプ リ ケーシ ョ ン ノ ー ト の GTH ト ラ ン シーバー ポー ト に関 し ては、『7 シ リ ーズ FPGA GTX/GTH
ト ラ ン シーバー ユーザー ガ イ ド 』 (UG476) [参照 1] で使用 さ れてい る 命名規則に従い ま す。 こ の規則
は、 ポー ト のベー ス ネーム にのみ使用 し ま す。 GTH ラ ッ パーの作成に 7 Series FPGAs Transceivers
Wizard を使用 し た場合、 すべての入力ポー ト には名前の後ろに _in が追加 さ れ、 すべての出力ポー ト に
は _out が追加 さ れます。 た と えば、 こ のアプ リ ケーシ ョ ン内で txrate ポー ト 名について言及す る 場合、
GTH ラ ッ パー内での実際の名前は txrate_in と な り ます。
Vivado 2013.3 ツールで提供 さ れ る 7 Series FPGAs Transceivers Wizard バージ ョ ン 3 以降、 GTH ラ ッ
パーのすべてのポー ト 名が小文字で表記 さ れます。ISE ツール バージ ョ ンの ウ ィ ザー ド では、GTH ラ ッ
パーのポー ト 名は大文字で表記 さ れます。 こ のアプ リ ケーシ ョ ン ノ ー ト は、 Vivado ツール バージ ョ ン
3.0 お よ びそれ以降の 7 Series FPGAs Transceivers Wizard を対象 と し てい ます。
GTH ト ラ ン シーバーを使用す る アプ リ ケーシ ョ ン で必要 と な る ク ロ ッ ク がい く つかあ り ます。 デー タ
ス ト リ ーム にデー タ を追加 し た り 削除 し て ク ロ ッ ク を補正で き ない SDI プ ロ ト コ ルの場合は、 アプ リ
ケーシ ョ ン内で ク ロ ッ ク が ど の よ う に生成お よ び使用 さ れ る かに細心の注意が必要です。 GTH ト ラ ン
シーバーを駆動す る には基準 ク ロ ッ ク が必要です。GTH ト ラ ン シーバー ク ワ ッ ド にあ る PLL (位相 ロ ッ
ク ループ) が基準 ク ロ ッ ク を使用 し て、 各 ト ラ ン シーバーの受信部お よ び送信部用のシ リ アル ク ロ ッ ク
を生成 し ます。 「GTH ト ラ ン シーバーの基準 ク ロ ッ ク 」 で詳 し く 説明す る よ う に、 GTH ト ラ ン ス ミ ッ
タ ーのシ リ アル ビ ッ ト レー ト は、 供給 さ れ る 基準 ク ロ ッ ク の整数倍 と な り ます。 さ ら に、 SDI ト ラ ン
ス ミ ッ タ ー デー タ パ ス の入力に与え ら れ る ビデオ デー タ レー ト は、GTH ト ラ ン ス ミ ッ タ ーで使用 さ れ
る 基準 ク ロ ッ ク 周波数 と 正確に一致す る ( ま たは正確な整数倍 と な る ) 必要があ り ます。 し たがっ て、 送
信 さ れ る ビデオ ス ト リ ーム のデー タ レー ト へ周波数が確実に固定す る よ う に、 ト ラ ン ス ミ ッ タ ーの基
準 ク ロ ッ ク を生成す る 設計を行 う 必要があ り ます。
GTH ト ラ ン ス ミ ッ タ ーは、 その txoutclk ポー ト へ供給 さ れ る デー タ の ワー ド レー ト と ま っ た く 同 じ 周
波数の ク ロ ッ ク を txdata に出力 し ま す。 こ の txoutclk は、 シ リ アル ク ロ ッ ク が PLL に よ っ て ワ ー ド
レー ト と 等 し く な る よ う に分周 さ れ る こ と で、 GTH ト ラ ン ス ミ ッ タ ー内で生成 さ れ ます。 大抵のアプ
リ ケーシ ョ ン では、 GTH ト ラ ン シーバーか ら の txoutclk は、 グ ロ ーバル (BUFG) ま たは水平 (BUFH)
ク ロ ッ ク バ ッ フ ァ ーを介 し 、 その後 SDI ト ラ ン ス ミ ッ タ ー デー タ パスお よ び GTH ト ラ ン ス ミ ッ タ ー
の txusrclk お よ び txusrclk2 ク ロ ッ ク 入力への ク ロ ッ ク 供給に使用 さ れ ます。 txoutclk か ら 直接派生 し
た ク ロ ッ ク 以外 も 、 SDI ト ラ ン ス ミ ッ タ ー デー タ パ スお よ び GTH ト ラ ン ス ミ ッ タ ーの txusrclk ポー ト
と txusrclk2 ポー ト の ク ロ ッ ク ソ ー ス と し て使用で き ま す。 GTH ト ラ ン ス ミ ッ タ ーの浅い TX バ ッ
フ ァ ーは、 txdata ポー ト に供給 さ れ る デー タ と GTH ト ラ ン ス ミ ッ タ ーの内部 ク ロ ッ ク 間の位相差を許
容 し ます。 し か し 、 入力 さ れ る デー タ と GTH ト ラ ン ス ミ ッ タ ーの内部 ク ロ ッ ク 周波数 (txoutclk で表 さ
れ る ) 間の周波数差は、 即座に TX バ ッ フ ァ ーの ア ン ダー フ ロ ー / オーバー フ ロ ー を 引 き 起 こ すた め、
GTH ト ラ ン ス ミ ッ タ ーで生成 さ れ る シ リ アル ビ ッ ト ス ト リ ームにエ ラ ーが生 じ ます。し たがっ て、GTH
ト ラ ン ス ミ ッ タ ーの txdata ポー ト へ供給 さ れ る デー タ ス ト リ ー ム のデー タ レ ー ト (txusrclk お よ び
txusrclk2 ク ロ ッ ク の周波数で表 さ れ る ) と GTH ト ラ ン ス ミ ッ タ ーの内部デー タ レー ト ( ト ラ ン ス ミ ッ
タ ーの基準 ク ロ ッ ク で設定 さ れ、 xoutclk 周波数で表 さ れ る ) は、 正確に一致 さ せる 必要があ り ます。
ただ し 、 GTH レ シーバーの基準 ク ロ ッ ク は入力 さ れ る SDI 信号のビ ッ ト レー ト と 正確な関係を持つ必
要はあ り ません。 こ れは、 GTH レ シーバーの ク ロ ッ ク デー タ リ カバ リ (CDR) ユニ ッ ト が、 基準 ク ロ ッ
ク 周波数に よ る 設定どお り に公称ビ ッ ト レー ト か ら 最大 ±1,250ppm で ビ ッ ト レー ト を受信で き る ため
です。 こ のため、 入力 さ れ る SDI 信号 と 正確な周波数関係を持たない ロ ーカルのオシ レー タ ーで レ シー
バー基準 ク ロ ッ ク が生成可能にな り ます。 GTH レ シーバーは、 入力 さ れ る SDI ビ ッ ト レー ト に周波数
ロ ッ ク さ れた リ カバ リ ク ロ ッ ク を生成 し ます。 こ の ク ロ ッ ク は、 GTH ト ラ ン シーバーの rxoutclk ポー
ト の出力です。 こ のアプ リ ケーシ ョ ン ノ ー ト で後ほ ど詳 し く 説明 し ますが、 rxoutclk は、 HD-SDI お よ
び 3G-SDI 信号を受信す る 際の真の リ カバ リ ク ロ ッ ク であ り 、 SD-SDI 信号を受信す る 際の ク ロ ッ ク で
はあ り ません。 通常、 rxoutclk は、 グ ロ ーバルま たは水平 ク ロ ッ ク バ ッ フ ァ ーを介 し 、 その後 GTH レ
シーバーの rxusrclk お よ び rxusrclk2 ポー ト へ供給 さ れて、 SDI レ シーバー デー タ パ ス用の ク ロ ッ ク と
し て使用 さ れます。
SDI ア プ リ ケーシ ョ ン には、 も う 1 つ ク ロ ッ ク が必要です。 こ れは フ リ ー ラ ン ニ ン グ の固定周波数 ク
ロ ッ ク であ り 、GTH ト ラ ン シーバーの DRP ( ダ イ ナ ミ ッ ク リ コ ン フ ィ ギ ュ レーシ ョ ン ポー ト ) 用 ク ロ ッ
ク と し て使用 さ れます。 通常、 こ れ と 同 じ ク ロ ッ ク が SDI ラ ッ パーの制御モジ ュ ールに供給 さ れ、 タ イ
ミ ン グ制御に使用 さ れます。 ザ イ リ ン ク ス では 10MHz 以上にする こ と を推奨 し てい ます。 こ の ク ロ ッ
ク の周波数は、SDI アプ リ ケーシ ョ ンのその他の ク ロ ッ ク やデー タ レー ト に対 し て特定の関連性を持つ
必要はあ り ません。 SDI モー ド を変更 し た際に、 こ の ク ロ ッ ク の周波数を変更 し ないで く だ さ い。 常に
同 じ 公称周波数で動作す る 必要があ り ます。 ま た、 SDI アプ リ ケーシ ョ ンがア ク テ ィ ブな間は停止 さ せ
る こ と も で き ません。 こ の ク ロ ッ ク は、 デバ イ ス内のすべての SDI イ ン タ ーフ ェ イ ス で使用可能です。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
3
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
GTH ト ラ ン シーバーの基準ク ロ ッ ク
Virtex-7 GTH ト ラ ン シ ー バ ー は、 ク ワ ッ ド に 分 け ら れ て い ま す。 各 ク ワ ッ ド に は 4 つ の
GTHE2_CHANNEL ト ラ ン シーバー プ リ ミ テ ィ ブがあ り 、各 GTHE2_COMMON プ リ ミ テ ィ ブには ク
ワ ッ ド PLL (QPLL) が 1 つずつ含まれてい ます (図 2 を参照)。 QPLL で生成 さ れた ク ロ ッ ク は、 ク ワ ッ
ド 内の 4 つすべ て の ト ラ ン シ ーバーへ分配 さ れ ま す。 各 GTHE2_CHANNEL に は、 チ ャ ネ ル PLL
(CPLL) と 呼ばれ る 専用の PLL があ り 、その ト ラ ン シーバーの RX お よ び TX へのみ ク ロ ッ ク を供給で
き ます。 ク ワ ッ ド 内の各 RX と TX ユニ ッ ト では、 ク ロ ッ ク ソ ース と し て QPLL ま たは CPLL のいず
れを使用すべ き か を個別に設定で き ます。 さ ら に、 こ の RX/TX ユニ ッ ト は、 ク ロ ッ ク ソ ース を QPLL
と CPLL 間で動的に切 り 替え可能です。 こ の コ ン フ ィ ギ ュ レーシ ョ ン と 動的切 り 替え機能は、 SDI アプ
リ ケーシ ョ ンに特に有効です。
重要 : -1 ス ピー ド グ レー ド Virtex-7 FPGA デバ イ ス の GTH ト ラ ン シーバー ク ワ ッ ド にあ る QPLL は、
SDI ビ ッ ト レー ト に必要な周波数に対応 し てい ません。-1 ス ピー ド グ レー ド デバ イ ス で SDI イ ン タ ー
フ ェ イ ス に使用 さ れ る GTH ト ラ ン シーバー用にシ リ アル ク ロ ッ ク を生成す る には、 CPLL し か使用で
き ません。 -2 ま たはそれ以上の ス ピー ド グ レー ド デバ イ ス の QPLL は SDI ビ ッ ト レー ト に対応 し て
い る た め、 こ の よ う な高速デバ イ ス では QPLL と CPLL の両方 を使用 し て SDI イ ン タ ー フ ェ イ ス の
GTH ト ラ ン シーバー用シ リ アル ク ロ ッ ク を生成で き ます。 -1 ス ピー ド グ レー ド デバ イ ス の場合、 多
く の SDI アプ リ ケーシ ョ ンで QPLL を利用で き ず、RX ユニ ッ ト ま たは TX ユニ ッ ト のいずれかのみを
使用で き ますが、 こ れ ら ユニ ッ ト を同時に用い る こ と はで き ません。 こ れは、 -1 ス ピー ド グ レー ド デ
バ イ ス にのみ適用 さ れ る 制約です。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
4
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
X-Ref Target - Figure 2
*7+(B&+$11(/
&3//
7;
5;
*7+(B&+$11(/
&3//
7;
5;
,%8)'6B*7(
*7+(B&20021
,%8)'6B*7(
5()&/.
'LVWULEXWLRQ
43//
*7+(B&+$11(/
&3//
7;
5;
*7+(B&+$11(/
&3//
7;
5;
;BB
図 2 : Virtex-7 GTH ト ラ ン シーバーのク ワ ッ ド コ ン フ ィ ギ ュ レーシ ョ ン
一般的な SDI ア プ リ ケーシ ョ ン では、 5 つの異な る ビ ッ ト レ ー ト を サポー ト す る ために GTH ト ラ ン
シーバーが必要です。
•
•
•
•
•
270Mb/s (SD-SDI の場合)
1.485Gb/s (HD-SDI の場合)
1.485/1.001Gb/s (~ 1.4835Gb/s) (HD-SDI の場合)
2.97Gb/s (3G-SDI の場合)
2.97/1.001Gb/s (~ 2.967Gb/s) (3G-SDI の場合)
GTH ト ラ ン シーバーの RX 部に含まれ る CDR ユニ ッ ト は、 基準周波数か ら 最大 ±1,250ppm のビ ッ ト
レー ト の信号を受信で き ます。 HD-SDI の 2 つの ビ ッ ト レー ト の差は正確に 1,000ppm であ り 、 同様に
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
5
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
2 つの 3G-SDI ビ ッ ト レー ト の差 も 1000ppm であ る ため、ppm オ フ セ ッ ト マージ ン を十分に与え なが
ら 1 つの基準 ク ロ ッ ク 周波数を用いて 5 つすべての SDI ビ ッ ト レー ト を受信で き ます。
一方 、 GTH ト ラ ン シーバーの TX 部では、 5 つすべての SDI ビ ッ ト レー ト をサポー ト す る には 2 つの
基準 ク ロ ッ ク 周波数が必要です。 こ れは、 ト ラ ン ス ミ ッ タ ーが通常は供給 さ れ る 基準 ク ロ ッ ク 周波数の
正確な指定整数倍でのみ送信可能であ る ためです。 こ のため、 ほ と ん ど の SDI アプ リ ケーシ ョ ン では、
2 つの基準 ク ロ ッ ク を GTH ク ワ ッ ド へ供給 し ます。 こ れ ら の ク ロ ッ ク のいずれか一方を RX の基準 ク
ロ ッ ク と し て使用 し 、両方を TX の基準 ク ロ ッ ク と し て使用 し ます。通常、 2 つの供給 さ れ る 基準 ク ロ ッ
ク の組み合わせは、 148.5MHz と 148.5MHz/1.001MHz です。
GTH ト ラ ン シーバーの基準 ク ロ ッ ク ソ ース は、 アプ リ ケーシ ョ ンに よ っ て大 き く 異な り ます。 レ シー
バーの基準 ク ロ ッ ク ソ ース は、 入力 さ れ る SDI ビ ッ ト レー ト と 正確に一致す る 必要がないため、 ロ ー
カルのオシ レー タ ーで対応で き ます。一方、 GTH ト ラ ン ス ミ ッ タ ーの ラ イ ン レー ト は、常に基準 ク ロ ッ
ク 周波数の整数倍であ る ため、 ト ラ ン ス ミ ッ タ ーの基準 ク ロ ッ ク 周波数は、 送信 さ れ る デー タ のデー タ
レー ト と 正確な関係を持つ必要があ り ます。 ほ と ん ど の場合、 ト ラ ン ス ミ ッ タ ーの基準 ク ロ ッ ク はゲ ン
ロ ッ ク PLL で生成 さ れ る ため、 ス タ ジオの ビデオ基準信号か ら GTH ト ラ ン ス ミ ッ タ ー ラ イ ン レー ト
を生成 し ます。 こ のアプ リ ケーシ ョ ン ノ ー ト に含まれ る SDI パ ス ス ルー デモン ス ト レーシ ョ ン な ど の
特殊な場合、 ト ラ ン ス ミ ッ タ ー ラ イ ン レー ト は、 SDI 信号を受信 し てい る GTH レ シーバーの リ カバ リ
ク ロ ッ ク か ら 生成 さ れ ま す。 その よ う な場合、 ト ラ ン ス ミ ッ タ ーの基準 ク ロ ッ ク と し て使用す る 前に、
外部 PLL を使用 し て リ カバ リ ク ロ ッ ク のジ ッ タ ーを軽減する 必要があ り ます。
一般的な SDI アプ リ ケーシ ョ ン では、 こ れ ら 2 つの基準 ク ロ ッ ク の一方を QPLL へ接続 し 、 も う 一方
を ク ワ ッ ド 内のすべての CPLL へ接続 し ま す。 ど ち ら の ク ロ ッ ク を QPLL 基準 ク ロ ッ ク ま たは CPLL
基準 ク ロ ッ ク へ接続す る かは重要ではあ り ません。 ク ワ ッ ド 内の各 ト ラ ン シーバーの RX ユニ ッ ト は、
QPLL か ら の ク ロ ッ ク を使用す る よ う に コ ン フ ィ ギ ュ レーシ ョ ン さ れ ます。 TX ユニ ッ ト は、 その と き
に必要な ビ ッ ト レー ト に基づいて、 QPLL ク ロ ッ ク と ロ ーカル CPLL ク ロ ッ ク を動的に切 り 替え ます。
GTH txsysclksel ポー ト を使用 し て TX ユニ ッ ト のシ リ アル ク ロ ッ ク ソ ース を QPLL ま たは CPLL か
ら 選択 し ます。 図 3 に、 こ の一般的な SDI アプ リ ケーシ ョ ンの コ ン フ ィ ギ ュ レーシ ョ ン を示 し ます。 こ
の図では、 イ ン プ リ メ ン テーシ ョ ン で動的に使用 さ れないマルチプ レ ク サーを配線に置 き 換え てお り 、
ク ワ ッ ド 間の基準 ク ロ ッ ク 配線は表示 し てい ません。 -1 ス ピー ド グ レー ド デバ イ ス では QPLL を SDI
に利用で き ないため、 図 3 に示す ク ロ ッ キ ン グ コ ン フ ィ ギ ュ レーシ ョ ンは、 こ の ス ピー ド グ レー ド デ
バ イ ス に使用で き ません。
ま た、 各 GTH RX お よ び TX ユニ ッ ト には、 選択 し た ク ロ ッ ク を指定可能な 2 の累乗の整数値で分周
す る シ リ アル ク ロ ッ ク 分周器があ り ます。 こ れに よ っ て、 た と えば、 ク ワ ッ ド 内のすべての RX ユニ ッ
ト は QPLL か ら の同 じ ク ロ ッ ク 周波数を使用 し なが ら も 、 異な る シ リ アル ク ロ ッ ク 分周値を用いて異
な る ラ イ ン レー ト で動作で き る よ う にな り ます。 3G-SDI ビ ッ ト レー ト は HD-SDI ビ ッ ト レー ト の 2
倍の速度 と な る ため、 こ の機能は SDI イ ン タ ーフ ェ イ ス に非常に有効です。 270Mb/s SD-SDI の場合、
GTH ト ラ ン シーバーは 11 倍のオーバーサ ンプ リ ン グ テ ク ニ ッ ク に よ っ て 3G-SDI ラ イ ン レー ト で動
作 し ま す。 こ のため、 各 RX ユニ ッ ト 内で 2 の累乗値で指定 し た異な る 2 つの分周器を使用す る こ と
で、 QPLL か ら 供給 さ れ る 1 つの RX ク ロ ッ ク 周波数ですべての SDI ビ ッ ト レー ト の受信をサポー ト
で き ます。 ま た、 TX ユニ ッ ト で も 、 2 の累乗値で指定 し た異な る 2 つの分周器を用いて ク ロ ッ ク ソ ー
ス を分周で き る ため、 基準 ク ロ ッ ク 周波数を 2 つ使用す る だけですべての SDI ビ ッ ト レー ト の送信を
サポー ト で き ます。
RX お よ び TX ユニ ッ ト の シ リ アル ク ロ ッ ク 分周器の値は、 各 GTH ト ラ ン シーバーの rxrate お よ び
txrate ポー ト を利用 し て動的に変更可能です。 こ の分周器は、 RXOUT_DIV お よ び TXOUT_DIV 属性
を使用 し て DRP を介 し て変更する こ と も で き ます。 こ のアプ リ ケーシ ョ ン ノ ー ト で提供 さ れ る 制御モ
ジ ュ ールは、GTH ト ラ ン シーバーの txrate ポー ト を用いて TX シ リ アル ク ロ ッ ク 分周器を制御 し 、DRP
を介 し て変更す る GTH ト ラ ン シーバー RXOUT_DIV 属性を用いて RX シ リ アル ク ロ ッ ク 分周器を制
御 し ます。 こ れに よ り 、 SDI アプ リ ケーシ ョ ンに適 し た最 も 効率的な GTH ト ラ ン ス ミ ッ タ ーお よ びレ
シーバーの ラ イ ン レー ト 変更シーケ ン ス が実現 し ます。
図 3 に示す コ ン フ ィ ギ ュ レーシ ョ ンは、 ほ と ん ど の SDI アプ リ ケーシ ョ ン に最適な ソ リ ュ ーシ ョ ン で
す。 その理由は、 次の と お り です。
•
レ シーバーは、 1 つの固定 さ れた基準 ク ロ ッ ク 周波数か ら すべての SDI ビ ッ ト レー ト を受信で き 、
QPLL が ク ワ ッ ド 内のすべての レ シーバーへ基準 ク ロ ッ ク か ら 生成 さ れた シ リ アル ク ロ ッ ク を供
給 し ます。
•
ト ラ ン ス ミ ッ タ ーは、 サポー ト さ れてい る SDI ビ ッ ト レー ト で送信す る のに必要な QPLL お よ び
CPLL の両方の周波数を得 る ため、 QPLL お よ び CPLL か ら の ク ロ ッ ク を動的に切 り 替え る こ と が
で き ます。
•
XAPP1187 (v1.0) 2014 年 2 月 21 日
ク ワ ッ ド 内の 4 つの レ シーバー と 4 つの ト ラ ン ス ミ ッ タ ーは完全に独立 し てい る ため、 それぞれ異
な る SDI ビ ッ ト レー ト で動作で き 、 ほかの RX や TX ユニ ッ ト へ干渉す る こ と な く ビ ッ ト レー ト
を動的に切 り 替え る こ と も 可能です。
japan.xilinx.com
6
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
•
ゲン ロ ッ ク 機能を搭載 し た アプ リ ケーシ ョ ン では、 同期 リ フ ァ レ ン ス入力信号か ら 必要な 2 つの基
準 ク ロ ッ ク 周波数を最新のゲン ロ ッ ク PLL が同時に提供で き ます。
ただ し 、 図 3 に示す コ ン フ ィ ギ ュ レーシ ョ ンは -1 ス ピー ド グ レー ド デバ イ ス に使用で き ません。 同 じ
GTH ト ラ ン シーバーの RX と TX の両ユニ ッ ト を同時に使用 し なければな ら ない SDI アプ リ ケーシ ョ
ンは通常、 図 3 に示す コ ン フ ィ ギ ュ レーシ ョ ンが必要であ り 、 -1 ス ピー ド グ レー ド デバ イ ス には イ ン
プ リ メ ン ト で き ません。
X-Ref Target - Figure 3
*7+(B&20021
,%8)'6B*7(
0+]
*7+(B&+$11(/
5;287B',9
43//
5;
7;
&3//
,%8)'6B*7(
0+]
7;6<6&/.6(/
*7+(B&+$11(/
7;5$7(
5;287B',9
5;
7;
&3//
7;6<6&/.6(/
*7+(B&+$11(/
7;5$7(
5;287B',9
5;
7;
&3//
7;6<6&/.6(/
*7+(B&+$11(/
7;5$7(
5;287B',9
5;
7;
&3//
7;6<6&/.6(/
7;5$7(
;BB
図 3 : SDI 用の GTH 基準ク ロ ッ ク のイ ン プ リ メ ン テーシ ョ ン (一般的な場合)
SDI アプ リ ケーシ ョ ンに よ っ ては、 異な る SDI ト ラ ン ス ミ ッ タ ーが同 じ 公称ビ ッ ト レー ト で送信 し て
いて も 、 わずかに異な る ビ ッ ト レー ト で動作す る 場合があ り ます。 こ れは、 各 TX のビ ッ ト レー ト が、
関連す る SDI RX で受信 さ れ る SDI の ビ ッ ト レー ト と 正確に一致 し なければな ら ない SDI ルー タ ーで
よ く 見 ら れます。 同 じ 公称ビ ッ ト レー ト で送信す る 2 つの ト ラ ン ス ミ ッ タ ーのビ ッ ト レー ト は、 実際、
数 ppm 異な り ます。 こ の よ う な アプ リ ケーシ ョ ンは、 各 TX ユニ ッ ト が CPLL を排他的に使用で き る
Virtex-7 GTH の ク ワ ッ ド アーキテ ク チ ャ に よ っ てサポー ト で き ますが、 こ れには各 CPLL に専用の基
準 ク ロ ッ ク 周波数を供給す る 必要があ り 、 その上、 使用可能な GTH 基準 ク ロ ッ ク 入力数には制限があ
り ます。 基準 ク ロ ッ ク 入力は、 各 GTH ク ワ ッ ド に 2 つずつあ り ます。 ク ワ ッ ド は上下 ク ワ ッ ド か ら の
基準 ク ロ ッ ク を使用で き る ため、 デバ イ ス内の複数の GTH ク ワ ッ ド に 5 つの異な る 基準 ク ロ ッ ク 周波
数 (RX ユニ ッ ト に 1 つ、 4 つの TX ユニ ッ ト に 4 つ) を供給で き ますが、 デバ イ ス内の GTH すべてが
独自の基準 ク ロ ッ ク を持つには、 基準 ク ロ ッ ク 入力数が足 り ません。 こ の よ う な場合、 シ リ アル ク ロ ッ
ク 周波数か ら ± 数百 ppm で GTH TX を プルで き る PICXO (Phase Interpolator Controlled Oscillator)
テ ク ニ ッ ク が非常に有効です。 こ のため、 各 SDI ト ラ ン ス ミ ッ タ ーの ビ ッ ト レー ト が受信 さ れ る SDI
信号のビ ッ ト レー ト と 個別に ロ ッ ク す る 必要があ る アプ リ ケーシ ョ ンでは、図 3 に示す一般的な基準 ク
ロ ッ ク を利用 し て実装 し 、さ ら に PICXO テ ク ニ ッ ク を利用 し て各 GTH TX にそれぞれの SDI TX の正
確な ビ ッ ト レー ト を設定 し ます。 こ のアプ リ ケーシ ョ ン ノ ー ト では、 PICXO について説明 し てい ませ
ん。 PICXO の使用については、 ザ イ リ ン ク ス テ ク ニ カル サポー ト ま でお問い合わせ く だ さ い。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
7
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
リセッ ト
『7 シ リ ーズ FPGA GTX/GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG476) [参照 1] で説明 さ れてい る よ
う に、 GTH ト ラ ン シーバーには固有の リ セ ッ ト 要件があ り ます。 GTH ト ラ ン シーバーでは、 PLL の リ
セ ッ ト 、 GTH ト ラ ン シーバーの リ セ ッ ト (gttxreset、 gtrxreset)、 txrate な ど複数の GTH ト ラ ン シーバー
ポー ト の動的な変更、お よ び DRP を介 し た GTH ト ラ ン シーバー属性の動的な変更を注意 し て調整す る
必要があ り ます。 こ れ ら の イ ベン ト をすべて適切に調整 し なければ、 GTH ト ラ ン シーバーが SDI に対
し て正 し く 機能 し ない、 つま り 、 FPGA を リ コ ン フ ィ ギ ュ レーシ ョ ンす る こ と で し か回復で き ない状態
にな る 可能性があ り ます。こ のアプ リ ケーシ ョ ン ノ ー ト で提供する 制御モジ ュ ールは、GTH ト ラ ン シー
バーが確実かつ適切に動作す る よ う こ れ ら の要件をすべて満た し ます。
ユーザー アプ リ ケーシ ョ ン では、GTH 入力の gttxreset と gtrxreset を直接制御 し ないで く だ さ い。GTH
ト ラ ン シーバーが確実に正 し く 動作す る よ う に、 こ れ ら の GTH ト ラ ン シーバー入力は SDI 制御モ
ジ ュ ールでのみ制御 し ます。 ユーザー アプ リ ケーシ ョ ンでは、 制御モジ ュ ールの さ ま ざ ま な リ セ ッ ト 入
力を使用 し て GTH リ セ ッ ト を要求で き ます。 こ の よ う な リ セ ッ ト 要求は、 次の適切な タ イ ミ ン グで制
御モジ ュ ールに よ っ て処理 さ れ、 GTH ト ラ ン シーバーのほかの動作 と 干渉 し ない よ う に調整 さ れます。
GTH ト ラ ン シーバーの初期化シーケ ン ス
FPGA コ ン フ ィ ギ ュ レーシ ョ ン直後、 SDI 制御モジ ュ ールが GTH ト ラ ン シーバーの QPLL、 CPLL、 お
よ び RX と TX ユニ ッ ト の初期化シーケ ン ス を実行 し ます。制御モジ ュ ールには、GTH ト ラ ン シーバー
の RX 部お よ び TX 部に対 し て次の初期化シーケ ン ス を別々に実行す る 個別の ス テー ト マシ ン があ り
ます。 こ こ では、 RX の初期化シーケ ン ス について説明 し ます。 TX 初期化シーケ ン ス の手順は、 レ シー
バーお よ び QPLL ポー ト が ト ラ ン ス ミ ッ タ ー と CPLL ポー ト に置き 換わ る 以外は こ れ と 同 じ です。
1.
FPGA コ ン フ ィ ギ ュ レーシ ョ ン後に 500ns 以上待機 し た ら 、 qpllreset 信号お よ び gtrxreset 信号を
2.
3.
4.
rx_refclk_stable 入力がアサー ト さ れ る ま で待機 し 、 qpllreset を ネゲー ト し ます。
qplllock 信号がアサー ト さ れ る ま で待機 し 、 gtrxreset 信号を ネゲー ト し ます。
rxresetdone 信号がアサー ト さ れ る ま で待機 し 、 初期化シーケ ン ス が完了 し た こ と を示 し ます。
アサー ト し ます。
GTH の txuserrdy 入力お よ び rxuserrdy 入力 も 適切に制御す る 必要が あ り ま す。 こ れ ら の信号は共に
SDI ラ ッ パーに よ っ て生成 さ れ ま す。 SDI ラ ッ パーは、 gttxreset が ネ ゲー ト さ れ る と 、 txuserrdy を
txusrclk の 5 サ イ ク ル間アサー ト し ます。 同様に、 gtrxreset がネゲー ト さ れ る と 、 rxuserrdy を rxusrclk
の 5 サ イ ク ル間アサー ト し ます。
条件が満た さ れ る ま で初期化シーケ ン ス が待機 し てい る 、 手順 2、 手順 3、 お よ び手順 4 では、 タ イ ム
ア ウ ト カ ウ ン タ ーが動作 し てい ま す。 待機条件が満た さ れ る 前に タ イ ム ア ウ ト カ ウ ン タ ーが終了す る
と 、 ス テー ト マシ ンが タ イ ム ア ウ ト ス テー ト に遷移 し 、 リ ト ラ イ カ ウ ン タ ーを イ ン ク リ メ ン ト し てか
ら 初期化シーケ ン ス に戻 り 、 シーケ ン ス を再開 し ます。 タ イ ム ア ウ ト が多数発生 し た こ と が原因で リ ト
ラ イ カ ウ ン タ ーが最大カ ウ ン ト に達す る と 、 初期化シーケ ン ス にエ ラ ーが発生 し 、 ス テー ト マシ ン が
エ ラ ー ス テー ト に遷移 し て初期化シーケ ン ス のエ ラ ー を示 し ま す。 リ ト ラ イ 可能な最大回数は、 SDI
ラ ッ パーのパ ラ メ ー タ ーで指定 し ます。
PLL リ セ ッ ト
FPGA コ ン フ ィ ギ ュ レ ーシ ョ ン 後に自動的に実行 さ れ る 初期化シーケ ン ス での リ セ ッ ト だけ で な く 、
PLL へ供給 さ れ る 基準 ク ロ ッ ク に対 し て周波数が変更 さ れた場合や割 り 込みが発生 し た場合は常に、
QPLL ま たは CPLL を リ セ ッ ト す る 必要があ り ます。 こ の リ セ ッ ト は、 対象 と な る PLL を基準 ク ロ ッ
ク に対 し て強制的に再度 ロ ッ ク す る 目的で必要です。PLL リ セ ッ ト を実行する ために、GTH コ モン ラ ッ
パーの qpllreset 入力 と GTH ラ ッ パーの cpllreset 入力が SDI 制御モジ ュ ールに よ っ て制御 さ れ ま す。
ユーザー アプ リ ケーシ ョ ンは PLL リ セ ッ ト を直接アサー ト で き ません。 SDI 制御モジ ュ ールが単独で
PLL リ セ ッ ト を制御す る 必要があ り ます。 一方、 ユーザー アプ リ ケーシ ョ ン次第で PLL リ セ ッ ト が必
要な タ イ ミ ン グが判断 さ れ、 対象 と な る PLL の リ セ ッ ト 、 お よ びその PLL か ら のシ リ アル ク ロ ッ ク を
使用す る GTH RX お よ び/ ま たは TX ユニ ッ ト すべての リ セ ッ ト が要求 さ れます。
SDI ラ ッ パーには rx_pllreset 出力お よ び tx_pllreset 出力が 1 つずつあ り ます。 こ れ ら の出力信号を使
用 し て GTH コ モン ラ ッ パーの qpllreset 入力 と GTH ラ ッ パーの cpllreset 入力を制御 し ます。 PLL が
1 つの RX ま た は 1 つの TX ユ ニ ッ ト に し か使用 さ れ な い場合、 SDI ラ ッ パーの rx_pllreset ま た は
tx_pllreset 出力を対応する PLL リ セ ッ ト 入力ポー ト に正 し く かつ簡単に接続する こ と がで き ます。ただ
し 、 片方の PLL がシ リ アル ク ロ ッ ク を複数の RX お よ び/ ま たは TX ユニ ッ ト に供給す る 場合、 その接
続は複雑にな り ます。 詳細は、 「SDI アプ リ ケーシ ョ ンの GTH PLL の使用例」 を参照 し て く だ さ い。
SDI ラ ッ パーには、 GTH RX (rx_gth_full_reset) お よ び GTH TX (tx_gth_full_reset) の完全な リ セ ッ ト
を要求す る ために ア プ リ ケーシ ョ ン が使用す る 2 つの入力が あ り ま す。 こ れ ら の入力のいずれか を ア
サー ト す る と 、制御モジ ュ ールの リ セ ッ ト ス テー ト マシ ンが適宜 GTH の RX 部ま たは TX 部の完全な
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
8
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
初期化シーケ ン ス を実行 し ます (関連す る PLL の リ セ ッ ト を含む)。 PLL が使用す る 基準 ク ロ ッ ク に割
り 込みが発生 し た り 、 変更が加え ら れた場合は常に こ れ ら の初期化シーケ ン ス が実行 さ れ る よ う 、 ユー
ザー アプ リ ケーシ ョ ン で rx_gth_full_reset 入力お よ び tx_gth_full_reset 入力を正 し く 制御す る 必要が
あ り ます。
制御モジ ュ ールへの rx_refclk_stable 入力お よ び tx_refclk_stable 入力が正 し く 制御 さ れ る か ど う かは
ユーザー アプ リ ケーシ ョ ン が担い ま す。 こ れ ら の入力信号は、 PLL への基準 ク ロ ッ ク が安定 し てい る
場合にのみアサー ト し な ければな り ま せん。 すでに説明 し た と お り 、 こ れ ら の入力が PLL リ セ ッ ト の
ネ ゲ ー ト 前 に ア サ ー ト さ れ る ま で、 初期化 シ ー ケ ン ス は待機 し ま す。 rx_refclk_stable 入力 ま た は
tx_refclk_stable 入力のネゲー ト に よ っ て、 対象 と な る PLL の リ セ ッ ト が開始 さ れ る わけではあ り ませ
ん。 制御モ ジ ュ ールへの rx_gth_full_reset 入力お よ び tx_gth_full_reset 入力が ア サー ト さ れ る こ と に
よ っ てのみ、 PLL リ セ ッ ト は開始 し ます。 rx_refclk_stable お よ び tx_refclk_stable は、 rx_gth_full_reset
ま たは tx_gth_full_reset のアサー ト に よ っ て初期化シーケ ン ス が開始 さ れた後に リ セ ッ ト シーケ ン ス
の完了を遅延 さ せ る 場合にのみ有効です。
GTH TX の リ セ ッ ト
GTH ト ラ ン シーバーの TX 部の リ セ ッ ト が必要 と な る 状況は次の 3 つです。
1.
2.
GTH TX へシ リ アル ク ロ ッ ク を供給す る PLL が リ セ ッ ト さ れ る 場合は、 gttxreset ポー ト を使用 し
て TX 部を必ず リ セ ッ ト し ます。 こ の リ セ ッ ト は、 SDI 制御モジ ュ ールに よ る FPGA コ ン フ ィ ギ ュ
レーシ ョ ン後、 お よ びユーザー アプ リ ケーシ ョ ン で SDI ラ ッ パーへの tx_gth_full_reset がアサー
ト さ れ る たびに自動的に実行 さ れ、 こ の結果、 PLL お よ び GTH TX の両方が リ セ ッ ト さ れます。
GTH gttxreset 入力は、 txsysclksel ポー ト が動的に変更 さ れてい る 間アサー ト す る 必要があ り ます。
txsysclksel ポー ト を使用 し 、QPLL ま たは CPLL のいずれを GTH TX のシ リ アル ク ロ ッ ク ソ ース
と し て使用す る か を選択 し ます。 各 GTH ト ラ ン シーバーは、 固有の txsysclksel ポー ト を備え てお
り 、 2 つの PLL のシ リ アル ク ロ ッ ク ソ ース を個別に切 り 替え る こ と がで き ます。 txsysclksel ポー
ト はアプ リ ケーシ ョ ン で直接制御で き ま せん。 SDI 制御モジ ュ ールが、 tx_m 入力の変更を受け て
GTH ト ラ ン シーバーの txsysclksel ポー ト を動的に変更 し ます。 制御モジ ュ ールは、 tx_m 入力への
変更を検出す る と まず gttxreset 信号を アサー ト し 、 その後 txsysclksel を変更 し てか ら gttxreset を
ネゲー ト し ます。 こ のシーケ ン スは、 GTH ト ラ ン シーバーが txresetdone 出力を アサー ト し て終了
し ます。 こ の時点で SDI 制御モジ ュ ールは、 tx_change_done 出力を アサー ト し て txsysclksel が変
更 さ れた こ と を示 し ます。
3.
txrate 入力ポー ト が動的に変更 さ れ る と 、 GTH TX は GTH ト ラ ン シーバー自身に よ っ て必ず自動
的に リ セ ッ ト さ れます。 txrate ポー ト は、 GTH TX のシ リ アル ク ロ ッ ク 分周器を制御 し ます。 ユー
ザー アプ リ ケーシ ョ ン では txrate ポー ト を直接変更で き ません。 tx_mode 入力ポー ト の変更を受
け、 適切な場合は SDI 制御モジ ュ ールが txrate ポー ト を変更 し ます。
QPLL お よ び CPLL は異な る 動作周波数範囲で動作 し ます。 SDI アプ リ ケーシ ョ ンの場合、 QPLL か ら
のシ リ アル ク ロ ッ ク の周波数は CPLL か ら のシ リ アル ク ロ ッ ク の周波数の 2 倍の値 と な り ます。 し た
がっ て、 SDI ラ ッ パーの tx_m 入力ポー ト を変更 し て 2 つの PLL 間におけ る GTH TX の動的切 り 替え
を要求す る と き 、 ト ラ ン ス ミ ッ タ ーが同 じ SDI モー ド に維持 さ れてい る 場合は、 txrate ポー ト を介 し て
シ リ アル ク ロ ッ ク 分周器を同時に動的に変更す る 必要があ り ます。 た と えば、 QPLL を シ リ アル ク ロ ッ
ク ソ ース と し て使用す る 1.485Gb/s の HD-SDI ビ ッ ト レー ト か ら 、CPLL を シ リ アル ク ロ ッ ク ソ ース
と し て使用す る 1.485/1.001Gb/s の HD-SDI ビ ッ ト レー ト へ変更す る 場合、 txsysclksel ポー ト お よ び
txrate ポー ト の両方を変更 し ます。ただ し 、SDI ラ ッ パーの tx_mode 入力で選択 し た SDI モー ド が tx_m
ポー ト と 同時に変更 さ れ る 場合は、 シ リ アル ク ロ ッ ク 分周器を変更する 必要はないか も し れません。 た
と えば、 CPLL を使用す る HD-SDI モー ド を QPLL を使用す る 3G-SDI モー ド に変更す る 場合、 CPLL
か ら QPLL に変更す る と シ リ アル ク ロ ッ ク 周波数が本質的に増加 し 、 その結果 ラ イ ン レー ト が 2 分の
1 にな る ため、 txrate ポー ト を変更す る 必要はあ り ません。
tx_m お よ び tx_mode は SDI ラ ッ パーへの個別の入力ポー ト であ る ため、 こ れ ら ポー ト の 1 つが変更 さ
れた場合、txsysclksel ポー ト お よ び/ ま たは txrate ポー ト が動的に変更 さ れ る 前にわずかな整定遅延が イ
ン プ リ メ ン ト さ れます。 こ の整定遅延では、 txrate ポー ト の変更が必要か ど う か を TX ロ ジ ッ ク が判断
す る 前に、 ほかのポー ト も 変更で き る よ う わずかな時間が考慮 さ れてい ます。
要求 さ れた SDI モー ド ま たはビ ッ ト レー ト の変更を実行す る ために txrate お よ び txsysclksel の両ポー
ト を 変更す る 必要が あ る 場合、 こ れ ら 2 つのポー ト を 変更す る 短い時間に GTH txoutclk ポー ト の ク
ロ ッ ク 周波数が 297MHz にな る 可能性があ り ます。 150MHz の ク ロ ッ ク 周期制約は一般に txoutclk に
適用 さ れ る ため txoutclk が短い間で も 297MHz にな る こ と があれば、txoutclk に よ っ て ク ロ ッ ク が供給
さ れ る ロ ジ ッ ク に悪影響が及ぶ可能性があ り ます。 TX 制御 ロ ジ ッ ク は、 txoutclk が 150MHz を超え な
い よ う に txrate お よ び txsysclksel を変更す る 順序を注意 し て選択す る こ と で、こ の よ う な問題を回避 し
ます。
SDI ラ ッ パーには TX 部の リ セ ッ ト 入力が 3 つあ り ます。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
9
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
•
•
•
tx_rst : High にアサー ト さ れ る と 、 SDI コ アにあ る SDI TX デー タ パス を リ セ ッ ト し ます。
tx_gth_full_reset : High にアサー ト さ れ る と 、 TX に関連する PLL を リ セ ッ ト し てか ら 、 GTH ト
ラ ン シーバーの TX 部 (gttxreset) を リ セ ッ ト し ます。 2 つの リ セ ッ ト の こ の よ う なシーケ ン ス に よ
り 、 PLL リ セ ッ ト が完了 し て PLL が基準 ク ロ ッ ク に ロ ッ ク さ れ る ま で gttxreset は完了 し ません。
tx_gth_reset : High にアサー ト さ れ る と 、 GTH ト ラ ン シーバーの TX 部のみを リ セ ッ ト し ます。
gttxreset シ ー ケ ン ス の 開始時 に PLL が ロ ッ ク さ れ て い な い 場合、 PLL が ロ ッ ク さ れ る ま で
gttxreset シーケ ン ス は完了 し ません。
GTH RX の リ セ ッ ト
TX 部 と 同様に、 ユーザー アプ リ ケーシ ョ ンでは、 こ こ で説明 し たすべての RX リ セ ッ ト お よ び動的変
更の動作が互いに干渉 し ない よ う に SDI 制御モジ ュ ールに よ っ て慎重に調整 さ れます。
GTH RX 部の リ セ ッ ト が必要 と な る 状況は次の と お り です。
•
GTH RX (一般に QPLL) へシ リ アル ク ロ ッ ク を供給す る PLL が リ セ ッ ト さ れ る 場合は、 gtrxreset
ポー ト を 使用 し て RX 部 を 必ず リ セ ッ ト し ま す。 こ の リ セ ッ ト は、 SDI 制御モ ジ ュ ールに よ る
FPGA コ ン フ ィ ギ ュ レーシ ョ ン後、 お よ びユーザー アプ リ ケーシ ョ ン で SDI 制御モジ ュ ールへの
rx_gth_full_reset がアサー ト さ れ る たびに自動的に実行 さ れ、 こ の結果、 PLL お よ び GTH RX の
両方が リ セ ッ ト さ れます。 何 ら かの理由で gtrxreset 信号を使用 し て GTH RX を リ セ ッ ト す る 場合
は、 『7 シ リ ーズ FPGA GTX/GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG476) [参照 1] に記載 さ れ
てい る よ う に特定のシーケ ン ス を実行す る 必要があ り ます。 こ のシーケ ン ス には、 シーケ ン ス の一
部を実行中に DRP ポー ト を使用 し て DRP ア ド レ ス 0x011 の ビ ッ ト 11 を変更 し た後、 その ビ ッ
ト を元の値に戻す こ と な ど が含ま れ ます。 通常の SDI 動作では、 こ の ビ ッ ト を 1 に し ます。 GTH
ラ ッ パーにあ る ス テー ト マシ ンは、 GTH ト ラ ン シーバーの gtrxreset 入力がアサー ト さ れ る と 常に
こ の完全なシーケ ン ス を実行 し ます。
•
SDI モー ド を SD-SDI、 HD-SDI、 お よ び 3G-SDI のいずれかに変更する 場合、 rxcdrhold ポー ト 、
LPM イ コ ラ イ ザーの自動適応モー ド の有効化/無効化、 RXCDR_CFG 属性、 お よ び RXOUT_DIV
属性の う ち 1 つ ま たは複数を変更す る 必要があ り ま す。 RXCDR_CFG 属性お よ び RXOUT_DIV
属性は DRP を介 し て変更 し ます。 RX SDI モー ド が SD-SDI であ り 、 ほかの SDI モー ド で Low の
場合、rxcdrhold ポー ト を High にアサー ト す る 必要があ り ます。LPM イ コ ラ イ ザーの自動適応モー
ド は、 SD-SDI モー ド の と き は無効に し 、 HD-SDI モー ド お よ び 3G-SDI モー ド の と き は有効に し
ます。現 ラ イ ン レー ト に対 し て CDR を最適化す る ために HD-SDI ま たは 3G-SDI モー ド に切 り 替
え る と き は、RXCDR_CFG 属性を変更 し ます。 RXOUT_DIV 属性は、GTH RX のシ リ アル ク ロ ッ
ク 分周器を制御 し ます。 上記 4 つのいずれかが動的に変更 さ れた後、 GTH RX は gtrxreset ポー ト
を使用 し て リ セ ッ ト す る 必要があ り ます。同一の SDI モー ド 変更シーケ ン ス で こ れ ら の う ち 2 つ以
上が変更 さ れ る 場合は、 変更がすべて完了 し た後に gtrxreset を 1 回だけ実行 し ます。
SDI ラ ッ パーには RX 部の リ セ ッ ト 入力が 3 つあ り ます。
•
•
•
rx_rst : High にアサー ト さ れ る と 、 SDI コ アにあ る SDI RX デー タ パ ス を リ セ ッ ト し ます。
rx_gth_full_reset : High にアサー ト さ れ る と 、 RX に関連す る PLL を リ セ ッ ト し てか ら 、 GTH ト
ラ ン シーバーの RX 部 (gtrxreset) を リ セ ッ ト し ます。 2 つの リ セ ッ ト の こ の よ う なシーケ ン ス に よ
り 、 PLL リ セ ッ ト が完了 し て PLL が基準 ク ロ ッ ク に ロ ッ ク さ れ る ま で gtrxreset は完了 し ません。
rx_gth_reset : High にアサー ト さ れ る と 、 GTH ト ラ ン シーバーの RX 部 (gtrxreset) のみを リ セ ッ
ト し ます。 gtrxreset シーケ ン ス の開始時に PLL が ロ ッ ク さ れていない場合、 PLL が ロ ッ ク さ れ る
ま で gtrxreset シーケ ン スは完了 し ません。
SDI ア プ リ ケーシ ョ ンの GTH PLL の使用例
こ のセ ク シ ョ ンでは、PLL お よ び SDI アプ リ ケーシ ョ ン で使用す る ト ラ ン シーバーの一般的な コ ン フ ィ
ギ ュ レーシ ョ ンについてい く つか説明 し ます。 可能な コ ン フ ィ ギ ュ レーシ ョ ン をすべて網羅 し てい る わ
けではあ り ま せんが、 こ こ に示す コ ン フ ィ ギ ュ レーシ ョ ンは PLL リ セ ッ ト お よ び ロ ッ ク 信号の適切な
接続について十分に説明す る も のです。
SDI ラ ッ パーには、ど のシ リ アル ク ロ ッ ク の ソ ース を QPLL に し 、シ リ アル ク ロ ッ ク の ソ ース を CPLL
にす る か を指定す る 3 つのパ ラ メ ー タ ーがあ り ます。 こ れ ら の属性に よ っ て PLL ク ロ ッ ク の配線が制
御 さ れ る わけではあ り ません。こ れ ら は RX お よ び TX シ リ アル ク ロ ッ ク 分周器の正 し い値を算出す る
目的にのみ使用 さ れ、 TX については、 tx_m の現在値に基づいて GTH ラ ッ パーの txsysclksel ポー ト に
駆動す る 値を算出 し ます。 こ れ ら 3 つのパ ラ メ ー タ ーは整数であ り 、 次に示す値を指定す る 必要があ り
ます。
•
XAPP1187 (v1.0) 2014 年 2 月 21 日
QPLL が GTH RX の ク ロ ッ ク ソ ース の場合、 RX_CLK_QPLL パ ラ メ ー タ ーを 1 に設定 し ます。
CPLL が ク ロ ッ ク ソ ース の場合は 0 に設定 し ます。
japan.xilinx.com
10
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
•
•
SDI ラ ッ パーへの tx_m 入力が Low の と き 、 QPLL が GTH TX の ク ロ ッ ク ソ ース の場合は
TX_CLK0_QPLL パ ラ メ ー タ ーを 1 に設定 し ます。 tx_m が Low の と き 、 CPLL が GTH TX の ク
ロ ッ ク ソ ース の場合は 0 に設定 し ます。
SDI ラ ッ パーへの tx_m 入力が High の と き 、 QPLL が GTH TX の ク ロ ッ ク ソ ース の場合は
TX_CLK1_QPLL パ ラ メ ー タ ーを 1 に設定 し ます。 tx_m が High の と き 、 CPLL が GTH TX の ク
ロ ッ ク ソ ース の場合は 0 に設定 し ます。
3 つのパ ラ メ ー タ ーはすべて固定です。 SDI ラ ッ パーの tx_m ポー ト を使用 し て TX が CPLL と QPLL
を動的に切 り 替え る ために、 TX ク ロ ッ ク には 2 つのパ ラ メ ー タ ーがあ り ます。 tx_m が Low の場合は
TX_CLK0_QPLL を使用 し 、 tx_m が High の場合は TX_CLK1_QPLL を使用 し ます。 TX が QPLL と
CPLL を動的に切 り 替え ないアプ リ ケーシ ョ ン では、 QPLL が常に TX シ リ アル ク ロ ッ ク ソ ース の と
き は TX_CLK0_QPLL お よ び TX_CLK1_QPLL を 1 に設定 し 、 CPLL が常に TX シ リ アル ク ロ ッ ク
ソ ース の と き は 0 に設定 し ます。
使用モデル 1 : ク ワ ッ ド 内で 1 つの ト ラ ン シーバーがア ク テ ィ ブ で、 RX の ク ロ ッ ク ソ ース
が QPLL であ り 、 TX の ク ロ ッ ク ソ ースが QPLL と CPLL の両方の場合
図 4 に示す こ の使用モデルでは、 ク ワ ッ ド 内にあ る ト ラ ン シーバーの 1 つがア ク テ ィ ブであ り 、 RX シ
リ アル ク ロ ッ ク が QPLL に よ っ て提供 さ れ、 GTH TX が QPLL と CPLL を動的に切 り 替え ます。 こ の
場合、 SDI ラ ッ パーの RX 部が QPLL リ セ ッ ト を制御 し 、 TX 部が CPLL リ セ ッ ト を制御 し ます。 ただ
し 、 gttxreset サ イ ク ルが完了す る 前に QPLL お よ び CPLL の両方が ロ ッ ク さ れなければな ら ないため、
TX 部は こ れ ら 両 PLL の ロ ッ ク ス テー タ ス を観察する 必要があ り ます。
次の接続が必要です。
•
•
•
•
•
•
•
•
SDI ラ ッ パーの gth_rxpllreset 出力は、 GTH コ モン ラ ッ パーの qpllreset ポー ト に接続 し ます。
SDI ラ ッ パーの gth_txpllreset 出力は、 GTH ラ ッ パーの cpllreset ポー ト に接続 し ます。
SDI ラ ッ パーの gth_rxplllock 入力は、 GTH コ モン ラ ッ パーの qplllock 出力に接続 し ます。
SDI ラ ッ パーの gth_txplllock 入力は、 GTH コ モン ラ ッ パーの qplllock 出力お よ び GTH ラ ッ パー
の cplllock 出力の ロ ジ ッ ク OR で駆動 し ます。
QPLL への基準 ク ロ ッ ク ソ ース が安定 し てい る 場合にのみ、 SDI ラ ッ パーの rx_refclk_stable 入力
を High にアサー ト し ます。
CPLL への基準 ク ロ ッ ク ソ ース が安定 し てい る 場合にのみ、 SDI ラ ッ パーの tx_refclk_stable 入力
を High にアサー ト し ます。
SDI ラ ッ パーの RX_CLK_QPLL パ ラ メ ー タ ーは 1 に設定 し ます。
SDI ラ ッ パーの tx_m 入力ポー ト は、 GTH ラ ッ パーの txsysclksel ポー ト に接続 し なければな ら な
い SDI ラ ッ パーの gth_txsysclksel 出力を制御す る こ と で、 TX シ リ アル ク ロ ッ ク ソ ース の動的切
り 替え を制御 し ます。
•
•
SDI ラ ッ パーの TX_CLK0_QPLL と TX_CLK1_QPLL パ ラ メ ー タ ーは、 tx_m ポー ト を使用 し て
QPLL ま た は CPLL を ど の よ う に選択す る か に よ っ て 適切に設定す る 必要が あ り ま す。 通常、
TX_CLK0_QPLL は 1 に設定 し 、 TX_CLK1_QPLL は 0 に設定 し ます。 こ れに よ り 、 tx_m が Low
の場合は QPLL を TX シ リ アル ク ロ ッ ク ソ ース と し て選択 し 、tx_m が High の場合は CPLL を選
択す る よ う に tx_m が設定 さ れます。
基準 ク ロ ッ ク に対す る 変更や割 り 込みが発生 し たため QPLL を リ セ ッ ト す る 必要があ る 場合は、
SDI ラ ッ パーの rx_gth_full_reset 入力 を ア サー ト し て QPLL と GTH RX の両方 を リ セ ッ ト し ま
す。 ま た、 SDI ラ ッ パーの tx_gth_reset 入力 も アサー ト し て CPLL を リ セ ッ ト せずに GTH TX を
リ セ ッ ト し ます。
•
XAPP1187 (v1.0) 2014 年 2 月 21 日
基準 ク ロ ッ ク に対す る 変更や割 り 込みが発生 し たため CPLL を リ セ ッ ト す る 必要があ る 場合は、
SDI ラ ッ パーの tx_gth_full_reset 入力 を ア サー ト し て CPLL と GTH RX の両方 を リ セ ッ ト し ま
す。
japan.xilinx.com
11
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
X-Ref Target - Figure 4
TSOOFON
6',:UDSSHU
,%8)'6B*7(
([WHUQDO5HIFON
6RXUFH
JWKBJWU[UHVHW
TSOORXWFON
TSOOORFN
JWKBU[SOOORFN
TSOOUHVHW
JWKBU[SOOUHVHW
JWU[UHVHW
5;
*7+:UDSSHU
43//
U[BUHIFONBVWDEOH
JWKBW[SOOORFN
FORFNBVWDEOH
5HVHW*7+5;
5HVHW43//*7+5;DQG*7+7;
5HVHW*7+7;
5HVHW&3//DQG*7+7;
7;&ORFN6RXUFH6HOHFW
JWKBW[SOOUHVHW
U[BJWKBUHVHW
U[BJWKBIXOOBUHVHW
W[BJWKBUHVHW
JWKBW[V\VFONVHO
FSOOORFN
FSOOUHVHW &3//
W[V\VFONVHO
W[BJWKBIXOOBUHVHW
W[BP
JWKBJWW[UHVHW
JWW[UHVHW
7;
W[BUHIFONBVWDEOH
FORFNBVWDEOH
([WHUQDO5HIFON ,%8)'6B*7(
6RXUFH
;BB
図 4 : PLL の使用モデル 1
使用モデル 2 : ク ワ ッ ド 内で 1 つの ト ラ ン シーバーがア ク テ ィ ブ で、 RX の ク ロ ッ ク ソ ース
が QPLL であ り 、 TX の ク ロ ッ ク ソ ースが CPLL の場合
図 5 に示す こ の使用モデルでは、 ク ワ ッ ド 内にあ る ト ラ ン シーバーの 1 つがア ク テ ィ ブで あ り 、 GTH
RX の ク ロ ッ ク が QPLL に よ っ て供給 さ れ、 GTH TX の ク ロ ッ ク が CPLL に よ っ て供給 さ れてい ます。
次の接続が必要です。
•
•
•
•
•
•
•
SDI ラ ッ パーの gth_rxpllreset 出力は、 GTH コ モン ラ ッ パーの qpllreset ポー ト に接続 し ます。
SDI ラ ッ パーの gth_txpllreset 出力は、 GTH ラ ッ パーの cpllreset ポー ト に接続 し ます。
SDI ラ ッ パーの gth_rxplllock 入力は、 GTH コ モン ラ ッ パーの qplllock 出力で駆動 し ます。
SDI ラ ッ パーの gth_txplllock 入力は、 GTH ラ ッ パーの cplllock 出力で駆動 し ます。
QPLL への基準 ク ロ ッ ク ソ ース が安定 し てい る 場合にのみ、 SDI ラ ッ パーの rx_refclk_stable 入力
を High にアサー ト し ます。
CPLL への基準 ク ロ ッ ク ソ ース が安定 し てい る 場合にのみ、 SDI ラ ッ パーの tx_refclk_stable 入力
を High にアサー ト し ます。
各 GTH ラ ッ パーの txsysclksel 入力ポー ト は、 CPLL を TX シ リ アル ク ロ ッ ク ソ ース と し て永久
的に選択す る よ う 2’b00 値で駆動 し ま す。 SDI ラ ッ パーの txsysclksel 出力ポー ト は未接続に し ま
す。
•
•
•
•
SDI ラ ッ パーの RX_CLK_QPLL パ ラ メ ー タ ーは 1 に設定 し ます。
SDI ラ ッ パーの TX_CLK0_QPLL お よ び TX_CLK1_QPLL パ ラ メ ー タ ーは 0 に設定 し ます。
SDI ラ ッ パーの tx_m 入力ポー ト は使用 さ れず、 Low に駆動 し ます。
基準 ク ロ ッ ク に対す る 変更や割 り 込みが発生 し たため QPLL を リ セ ッ ト す る 必要があ る 場合は、
SDI ラ ッ パーの rx_gth_full_reset 入力 を ア サー ト し て QPLL と GTH RX の両方 を リ セ ッ ト し ま
す。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
12
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
•
基準 ク ロ ッ ク に対す る 変更や割 り 込みが発生 し たため CPLL を リ セ ッ ト す る 必要があ る 場合は、
SDI ラ ッ パーの tx_gth_full_reset 入力 を ア サー ト し て CPLL と GTH RX の両方 を リ セ ッ ト し ま
す。
X-Ref Target - Figure 5
TSOOFON
6',:UDSSHU
,%8)'6B*7(
([WHUQDO5HIFON
6RXUFH
JWU[UHVHW
JWKBJWU[UHVHW
TSOORXWFON
TSOOORFN
JWKBU[SOOORFN
TSOOUHVHW
JWKBU[SOOUHVHW
5;
*7+:UDSSHU
43//
U[BUHIFONBVWDEOH
FORFNBVWDEOH
FSOOORFN
FSOOUHVHW &3//
JWKBW[SOOORFN
JWKBW[SOOUHVHW
5HVHW*7+5;
5HVHW43//*7+5;
5HVHW*7+7;
U[BJWKBUHVHW
5HVHW&3//*7+7;
W[BJWKBIXOOBUHVHW
W[BP
U[BJWKBIXOOBUHVHW
W[BJWKBUHVHW
JWKBJWW[UHVHW
W[V\VFONVHO
JWW[UHVHW
7;
W[BUHIFONBVWDEOH
FORFNBVWDEOH
([WHUQDO5HIFON ,%8)'6B*7(
6RXUFH
;BB
図 5 : PLL の使用モデル 2
使用モデル 3 : ク ワ ッ ド 内で複数の ト ラ ン シーバーがア ク テ ィ ブ で、 すべての RX の ク ロ ッ
ク ソ ースが QPLL であ り 、 各 TX の ク ロ ッ ク ソ ース を QPLL と CPLL 間で動的に切 り 替
え る場合
図 6 に示す こ の使用モデルでは、 ク ワ ッ ド 内で複数の ト ラ ン シーバーがア ク テ ィ ブです。すべての GTH
レ シーバーの ク ロ ッ ク が QPLL に よ っ て供給 さ れます。 すべての GTH ト ラ ン ス ミ ッ タ ーが、 QPLL と
自身の CPLL を個別に切 り 替え可能です。 全 CPLL が同 じ 基準 ク ロ ッ ク を使用 し ます。 こ のモデルは、
図 3 に示す標準使用モデルに準 じ ます。
こ の 使用 モ デル で は、 SDI ラ ッ パー が QPLL マ ス タ ー と し て 選択 さ れ、 GTH コ モ ン ラ ッ パー の
gth_qpllreset ポー ト を制御 し ます。 その他の SDI ラ ッ パーは QPLL リ セ ッ ト を制御 し ませんが、 QPLL
が ロ ッ ク さ れ る ま で GTH ト ラ ン シーバーの リ セ ッ ト シーケ ン ス が処理 さ れない よ う GTH コ モン ラ ッ
パーの qplllock 出力を監視 し ます。
次の接続が必要です。
•
QPLL マ ス タ ー と し て指定 さ れてい る SDI ラ ッ パーの gth_rxpllreset 出力は、 GTH コ モン ラ ッ
パーの qpllreset ポー ト に接続 し ます。 ク ワ ッ ド にあ る その他の SDI ラ ッ パーの gth_rxpllreset 出力
•
•
•
各 SDI ラ ッ パーの gth_txpllreset 出力は、関連する GTH ラ ッ パーの cpllreset ポー ト に接続 し ます。
•
QPLL への基準 ク ロ ッ ク ソ ース が安定 し てい る 場合にのみ、 QPLL マ ス タ ー SDI ラ ッ パーの
rx_refclk_stable 入力は High にアサー ト し ます。 その他の SDI ラ ッ パーの rx_reflk_stable 入力は
永久的に High に接続 し ます。
は未接続に し ます。
XAPP1187 (v1.0) 2014 年 2 月 21 日
全 SDI ラ ッ パーの gth_rxpllock 入力は、 GTH コ モン ラ ッ パーの qplllock 出力で駆動 し ます。
各 SDI ラ ッ パーの gth_txplllock 入力は、 GTH コ モン ラ ッ パーの qplllock 出力 と 関連す る GTH
ラ ッ パーの cplllock 出力の ロ ジ ッ ク OR で駆動 し ます。
japan.xilinx.com
13
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
•
各 SDI ラ ッ パーの tx_refclk_stable 入力は、 CPLL 基準 ク ロ ッ ク ソ ース が安定 し てい る 場合にのみ
High にアサー ト し ます。
•
•
全 SDI ラ ッ パーの RX_CLK_QPLL パ ラ メ ー タ ーは 1 に設定 し ます。
•
各 SDI ラ ッ パーの TX_CLK0_QPLL と TX_CLK1_QPLL パ ラ メ ー タ ーは、 tx_m ポー ト を使用 し
て QPLL ま たは CPLL を ど の よ う に選択す る かに よ っ て適切に設定す る 必要が あ り ま す。 通常、
TX_CLK0_QPLL は 1 に設定 し 、 TX_CLK1_QPLL は 0 に設定 し ます。 こ れに よ り 、 tx_m が Low
の場合は QPLL を TX シ リ アル ク ロ ッ ク ソ ース と し て選択 し 、tx_m が High の場合は CPLL を選
択す る よ う に tx_m が設定 さ れます。
•
基準 ク ロ ッ ク に対す る 変更や割 り 込みが発生 し たため QPLL を リ セ ッ ト する 必要があ る 場合は、す
べての SDI ラ ッ パーの rx_gth_full_reset 入力を アサー ト し ます。 QPLL マ ス タ ーの SDI ラ ッ パー
は QPLL を リ セ ッ ト し 、 すべての GTH RX ユニ ッ ト が リ セ ッ ト さ れます。 GTH TX ユニ ッ ト を リ
セ ッ ト す る ために、 すべての SDI ラ ッ パーの tx_gth_reset 入力 も アサー ト し ます。
•
CPLL 基準 ク ロ ッ ク ソ ース に対 し て変更ま たは割 り 込みが発生 し た場合、 すべての SDI ラ ッ パー
の tx_gth_full_reset ポー ト を ア サー ト し て その基準 ク ロ ッ ク を使用す る すべての CPLL を リ セ ッ
各 SDI ラ ッ パーの tx_m 入力ポー ト は、関連す る GTH ラ ッ パーの txsysclksel ポー ト に接続 し なけ
ればな ら ない SDI ラ ッ パーの gth_txsysclksel 出力を制御す る こ と で、 関連す る GTH ト ラ ン シー
バーにあ る TX シ リ アル ク ロ ッ ク ソ ース の動的切 り 替え を制御 し ます。
ト し ます。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
14
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
X-Ref Target - Figure 6
TSOOFON
6',:UDSSHU
,%8)'6B*7(
([WHUQDO5HIFON
6RXUFH
JWKBJWU[UHVHW
TSOORXWFON
TSOOORFN
JWKBU[SOOORFN
TSOOUHVHW
JWKBU[SOOUHVHW
JWU[UHVHW
5;
*7+:UDSSHU
43//
U[BUHIFONBVWDEOH
JWKBW[SOOORFN
FORFNBVWDEOH
JWKBW[SOOUHVHW
U[BJWKBUHVHW
U[BJWKBIXOOBUHVHW
W[BJWKBUHVHW
JWKBW[V\VFONVHO
5HVHW*7+5;
5HVHW43//$OO*7+5;DQG$OO*7+7;
5HVHW*7+7;
FSOOORFN
FSOOUHVHW &3//
W[V\VFONVHO
W[BJWKBIXOOBUHVHW
5HVHW&3//DQG$OO*7+7;
*7+7;&ORFN6RXUFH6HOHFW
W[BP
JWKBJWW[UHVHW
JWW[UHVHW
7;
W[BUHIFONBVWDEOH
FORFNBVWDEOH
([WHUQDO5HIFON ,%8)'6B*7(
6RXUFH
TSOOFON
6',:UDSSHU
JWKBJWU[UHVHW
JWU[UHVHW
5;
JWKBU[SOOORFN
1&
5HVHW*7+5;
5HVHW*7+7;
JWKBU[SOOUHVHW
U[BUHIFONBVWDEOH
JWKBW[SOOORFN
JWKBW[SOOUHVHW
U[BJWKBUHVHW
U[BJWKBIXOOBUHVHW
W[BJWKBUHVHW
JWKBW[V\VFONVHO
*7+:UDSSHU
FSOOORFN
FSOOUHVHW &3//
W[V\VFONVHO
W[BJWKBIXOOBUHVHW
*7+7;&ORFN6RXUFH6HOHFW
W[BP
JWKBJWW[UHVHW
JWW[UHVHW
7;
W[BUHIFONBVWDEOH
;BB
図 6 : PLL の使用モデル 3
使用モデル 4 : 1 つの ク ワ ッ ド で複数の ト ラ ン シーバーがア ク テ ィ ブ で、 すべての RX が
QPLL を使用 し 、 すべての TX が自身の CPLL を使用する場合
図 7 に示す こ の使用モデルでは、 ク ワ ッ ド 内で複数の ト ラ ン シーバーが ア ク テ ィ ブです。 すべての レ
シーバーの ク ロ ッ ク が QPLL に よ っ て供給 さ れ ま す。 各 ト ラ ン ス ミ ッ タ ーの ク ロ ッ ク はその ト ラ ン ス
ミ ッ タ ーに関連す る CPLL に よ っ てのみ供給 さ れます。 各 CPLL にはそれぞれの基準 ク ロ ッ ク ソ ース
があ り ます。
こ の使用モデルは、 ク ワ ッ ド 内の複数の ト ラ ン シーバーがア ク テ ィ ブで、 いずれ も SDI イ ン タ ーフ ェ イ
ス を実装 し てい る 一般的な例です。 ク ワ ッ ド 内のア ク テ ィ ブな GTH RX ユニ ッ ト はすべて同 じ QPLL
か ら のシ リ アル ク ロ ッ ク を使用 し ます。 GTH TX ユニ ッ ト はすべて、 それ ら に関連す る CPLL か ら の
シ リ アル ク ロ ッ ク を使用 し ます。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
15
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
こ の 使用 モ デル で は、 SDI ラ ッ パー が QPLL マ ス タ ー と し て 指定 さ れ、 GTH コ モ ン ラ ッ パー の
gth_qpllreset ポー ト を制御 し ます。 その他の SDI ラ ッ パーは QPLL リ セ ッ ト を制御 し ませんが、 GTH
コ モン ラ ッ パーの QPLL ロ ッ ク 出力を監視 し ます。
次の接続が必要です。
•
•
•
QPLL マ ス タ ーの SDI ラ ッ パーの gth_rxpllreset 出力は、 GTH コ モン ラ ッ パーの qpllreset ポー ト
に接続 し ます。 その他の SDI ラ ッ パーの gth_rxpllreset 出力は未接続に し ます。
各 SDI ラ ッ パーの gth_txpllreset 出力は、関連す る GTH ラ ッ パーの cpllreset ポー ト に接続 し ます。
あ ら ゆ る SDI ラ ッ パーの gth_rxplllock 入力は、 GTH コ モン ラ ッ パーの qplllock 出力で駆動 し ま
す。
•
•
•
•
•
•
•
•
各 SDI ラ ッ パーの gth_txplllock 入力は、 関連す る GTH ラ ッ パーの cplllock 出力で駆動 し ます。
QPLL への基準 ク ロ ッ ク ソ ース が安定 し てい る 場合にのみ、 QPLL マ ス タ ー SDI ラ ッ パーの
rx_refclk_stable 入力は High にアサー ト し ます。 その他の SDI ラ ッ パーの rx_refclk_stable 入力は
High に接続 し ます。
関連す る ト ラ ン シーバー CPLL への基準 ク ロ ッ ク ソ ース が安定 し てい る 場合にのみ、 各 SDI ラ ッ
パーの tx_refclk_stable 入力を High にアサー ト し ます。
各 GTH ラ ッ パーの txsysclksel ポー ト は、 CPLL を TX シ リ アル ク ロ ッ ク ソ ース と し て永久的に
選択す る よ う に 2’b00 値に接続 し ます。 SDI ラ ッ パーの txsysclksel 出力ポー ト は未接続に し ます。
あ ら ゆ る SDI ラ ッ パーの RX_CLK_QPLL パ ラ メ ー タ ーは 1 に設定 し ます。
各 SDI ラ ッ パーの TX_CLK0_QPLL お よ び TX_CLK1_QPLL パ ラ メ ー タ ーは 0 に設定 し ます。
各 SDI ラ ッ パーの tx_m 入力ポー ト は使用 さ れず、 Low に接続 し ます。
基準 ク ロ ッ ク に対す る 変更や割 り 込みが発生 し たため QPLL を リ セ ッ ト する 必要があ る 場合は、各
SDI ラ ッ パーの rx_gth_full_reset 入力を アサー ト し て QPLL と すべての GTH RX の両方を リ セ ッ
ト し ます。
•
XAPP1187 (v1.0) 2014 年 2 月 21 日
基準 ク ロ ッ ク に対す る 変更や割 り 込みが発生 し たため特定 ト ラ ン シーバーの CPLL を リ セ ッ ト す
る 必要が あ る 場合は、 関連す る SDI ラ ッ パーの tx_gth_full_reset 入力 を ア サー ト し て CPLL と
GTH RX の両方を リ セ ッ ト し ます。
japan.xilinx.com
16
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
X-Ref Target - Figure 7
TSOOFON
6',:UDSSHU
,%8)'6B*7(
([WHUQDO5HIFON
6RXUFH
JWU[UHVHW
JWKBJWU[UHVHW
TSOORXWFON
TSOOORFN
JWKBU[SOOORFN
TSOOUHVHW
JWKBU[SOOUHVHW
5;
*7+:UDSSHU
43//
U[BUHIFONBVWDEOH
FORFNBVWDEOH
FSOOORFN
FSOOUHVHW &3//
JWKBW[SOOORFN
JWKBW[SOOUHVHW
5HVHW*7+5;
5HVHW43//DQG$OO*7+5;
5HVHW*7+7;
5HVHW&3//*7+7;
U[BJWKBUHVHW
U[BJWKBIXOOBUHVHW
W[BJWKBUHVHW
W[BJWKBIXOOBUHVHW
W[BP
W[V\VFONVHO
JWW[UHVHW
JWKBJWW[UHVHW
7;
W[BUHIFONBVWDEOH
FORFNBVWDEOH
([WHUQDO5HIFON ,%8)'6B*7(
6RXUFH
TSOOFON
6',:UDSSHU
JWU[UHVHW
JWKBJWU[UHVHW
5;
JWKBU[SOOORFN
1&
*7+:UDSSHU
JWKBU[SOOUHVHW
U[BUHIFONBVWDEOH
FSOOORFN
FSOOUHVHW &3//
JWKBW[SOOORFN
JWKBW[SOOUHVHW
5HVHW*7+5;
5HVHW*7+7;
5HVHW&3//DQG*7+7;
U[BJWKBUHVHW
U[BJWKBIXOOBUHVHW
W[BJWKBUHVHW
W[BJWKBIXOOBUHVHW
W[BP
JWKBJWW[UHVHW
W[V\VFONVHO
JWW[UHVHW
7;
W[BUHIFONBVWDEOH
FORFNBVWDEOH
([WHUQDO5HIFON ,%8)'6B*7(
6RXUFH
;BB
図 7 : PLL の使用モデル 4
使用モデル 5 : CPLL のみを使用
図 8 に示す こ の使用モデルでは、 –1 ス ピー ド グ レー ド デバ イ ス の使用時 と 同様に CPLL のみを シ リ ア
ル ク ロ ッ ク ソ ース と し て使用 し ます。 2 つの GTH ト ラ ン シーバーがあ り 、 1 つは SDI RX 用に、 も う
1 つは SDI TX 用に使用 し ます。 1 つの SDI ラ ッ パーが使用 さ れ、 両方の GTH ト ラ ン シーバーに接続
さ れます。
次の接続が必要です。
•
XAPP1187 (v1.0) 2014 年 2 月 21 日
SDI ラ ッ パーの gth_rxpllreset 出力は、 RX GTH ラ ッ パーの cpllreset ポー ト に接続 し ます。
japan.xilinx.com
17
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
•
•
•
•
•
•
•
•
•
•
SDI ラ ッ パーの gth_txpllreset 出力は、 TX GTH ラ ッ パーの cpllreset ポー ト に接続 し ます。
SDI ラ ッ パーの gth_rxplllock 入力は、 RX GTH ラ ッ パーの cplllock 出力で駆動 し ます。
SDI ラ ッ パーの gth_txplllock 入力は、 TX GTH ラ ッ パーの cplllock 出力で駆動 し ます。
RX GTH ラ ッ パーの CPLL への基準 ク ロ ッ ク ソ ース が安定 し てい る 場合にのみ、 SDI ラ ッ パーの
rx_refclk_stable 入力を High にアサー ト し ます。
TX GTH ラ ッ パーの CPLL への基準 ク ロ ッ ク ソ ース が安定 し てい る 場合にのみ、 SDI ラ ッ パーの
tx_refclk_stable 入力を High にアサー ト し ます。
TX GTH ラ ッ パーの txsysclksel ポー ト は、 CPLL を TX シ リ アル ク ロ ッ ク ソ ース と し て永久的に
選択す る よ う に 2’b00 値に接続 し ます。 SDI ラ ッ パーの txsysclksel 出力ポー ト は未接続に し ます。
SDI ラ ッ パーの RX_CLK_QPLL パ ラ メ ー タ ーは 0 に設定 し ます。
SDI ラ ッ パーの TX_CLK0_QPLL お よ び TX_CLK1_QPLL パ ラ メ ー タ ーは 0 に設定 し ます。
各 SDI ラ ッ パーの tx_m 入力ポー ト は使用 さ れず、 Low に接続 し ます。 TX のビ ッ ト レー ト を整数
と 1/1.001 間で切 り 替え る 唯一の方法が、TX GTH ラ ッ パーにあ る CPLL への基準 ク ロ ッ ク の周波
数を変更す る こ と です。 こ の よ う な基準 ク ロ ッ ク 周波数への変更が発生 し た場合、 SDI ラ ッ パーの
tx_gth_full_reset 入力を アサー ト し て TX GTH ラ ッ パーの CPLL を リ セ ッ ト し ます。
基準 ク ロ ッ ク に対す る 変更や割 り 込みが発生 し たため RX GTH ラ ッ パーの CPLL を リ セ ッ ト す る
必要があ る 場合は、 SDI ラ ッ パーの rx_gth_full_reset 入力を アサー ト し て CPLL と GTH RX を リ
セ ッ ト し ます。
X-Ref Target - Figure 8
,%8)'6B*7(
*7+:UDSSHU5;
6',:UDSSHU
([WHUQDO5HIFON
6RXUFH
JWU[UHVHW
JWKBJWU[UHVHW
FORFNBVWDEOH
5;
U[BUHIFONBVWDEOH
FSOOORFN
JWKBU[SOOORFN
FSOOUHVHW
JWKBU[SOOUHVHW
&3//
U[BJWKBUHVHW
5HVHW*7+5;
5HVHW&3//DQG*7+5;
U[BJWKBIXOOBUHVHW
FSOOORFN
FSOOUHVHW &3//
JWKBW[SOOORFN
JWKBW[SOOUHVHW
5HVHW*7+7;
5HVHW&3//DQG*7+7;
W[BJWKBUHVHW
W[BJWKBIXOOBUHVHW
W[BP
JWKBJWW[UHVHW
W[V\VFONVHO
JWW[UHVHW
7;
W[BUHIFONBVWDEOH
FORFNBVWDEOH
*7+:UDSSHU7;
([WHUQDO5HIFON ,%8)'6B*7(
6RXUFH
;BB
図 8 : PLL の使用モデル 5
SDI 電気的イ ン タ ー フ ェ イ ス
GTH ト ラ ン シーバーか ら /GTH ト ラ ン シーバーへ送信 さ れ る シ リ アル信号を SDI の電気的規格へ変換
す る には、 外部に SDI ケーブル イ コ ラ イ ザー と ケーブル ド ラ イ バーが必要です。
外部 SDI ケーブル イ コ ラ イ ザーを使用 し 、 シ ン グルエン ド の 75Ω SDI 信号を GTH ト ラ ン シーバーの
レ シーバー入力信号要件に対応す る 50Ω 差動信号へ変換す る 必要があ り ます。 複数の メ ーカーが、 それ
ぞれに適切な SDI ケーブル イ コ ラ イ ザーを提供 し てい ます。 同相電圧が異な る ため、 こ れ ら のケーブ
ル イ コ ラ イ ザーの差動出力は通常 AC カ ッ プ リ ン グ を用いて GTH レ シーバー入力信号 と 接続す る 必要
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
18
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
があ り ます。図 9 に、標準的な SDI ケーブル イ コ ラ イ ザー と GTH レ シーバーの イ ン タ ーフ ェ イ ス例を
示 し ます。
重要 : 外部 SDI ケーブル イ コ ラ イ ザーの出力 と GTH RX のシ リ アル入力間の AC カ ッ プ リ ン グ キ ャ パ
シ タ の電気容量値は、 SDI パ ソ ロ ジ カル信号を減衰 さ せ る こ と な く 渡すのに十分な大 き さ が必要です。
少な く と も 1.0µF 以上の AC カ ッ プ リ ン グ キ ャ パシ タ が必要で、 4.7µF のキ ャ パシ タ を推奨 し ます。
GTH RX の差動入力には、 ビ ル ト イ ン の差動終端が あ り ま す。 『7 シ リ ーズ FPGA GTX/GTH ト ラ ン
シーバー ユーザー ガ イ ド 』 (UG476) [参照 1] で説明 し てい る と お り 、SDI アプ リ ケーシ ョ ンの GTH RX
入力の RX 終端使用モー ド は 3 が推奨 さ れてい ます。 SDI アプ リ ケーシ ョ ンの場合、 GTH の内部プ ロ
グ ラ ム可能な終端電圧は 800mV に設定 し ます。
X-Ref Target - Figure 9
w)W\S
*7+
5;3
%1&
&RQQHFWRU
1HWZRUN
6',&DEOH(4
P9
w)W\S
5;1
1RWHV
&RQVXOWWKH6',FDEOH(4PDQXIDFWXUHULQIRUPDWLRQIRUWKHQHWZRUNEHWZHHQWKH6',FDEOH(4DQG
WKH%1&FRQQHFWRU
;BB
図 9 : SDI ケーブル イ コ ラ イザー と GTH レ シーバー入力のイ ン タ ー フ ェ イ ス
同様に、 GTH ト ラ ン ス ミ ッ タ ーの差動シ リ アル出力は、 SDI ケーブル ド ラ イ バーの入力へ接続 し 、 通
常は AC カ ッ プ リ ン グ を用いて接続 し ます (図 10 を参照)。 ケーブル ド ラ イ バーは、 電気的特性が SDI
仕様を満たす よ う に、 GTH ト ラ ン ス ミ ッ タ ーか ら の差動信号を シ ン グル エ ン ド 信号へ変換 し ます。 通
常、 SDI ケーブル ド ラ イ バーには、 スルー レー ト を設定する ための スルー レー ト 制御入力があ り ます。
SD-SDI の スルー レー ト 要件は、 HD-SDI お よ び 3G-SDI の スルー レー ト 要件 と は大 き く 異な り ます。
SDI ケーブル ド ラ イ バーの スルー レー ト 制御入力は一般的に FPGA で制御 さ れます。 こ のアプ リ ケー
シ ョ ン ノ ー ト で提供す る 制御モ ジ ュ ールでは、 外部の SDI ケーブル ド ラ イ バーで使用す る た めの ス
ルー レー ト 制御入力を生成 し ます。
重要 : GTH TX シ リ アル出力 と 外部 SDI ケーブル ド ラ イ バーの入力間の AC カ ッ プ リ ン グ キ ャ パシ タ
の電気容量値は、 SDI パ ソ ロ ジ カル信号を減衰 さ せ る こ と な く 渡すのに十分な大き さ が必要です。 少な
く と も 1.0µF 以上の AC カ ッ プ リ ン グ キ ャ パシ タ が必要で、 4.7µF のキ ャ パシ タ を推奨 し ます。
X-Ref Target - Figure 10
*7+
w)W\S
6',&DEOH
'ULYHU
%1&
&RQQHFWRU
7;3
1HWZRUN
w)W\S
7;1
6OHZ
5DWH
)3*$/RJLF
1RWHV
&RQVXOWWKH6',FDEOH(4PDQXIDFWXUHULQIRUPDWLRQIRUWKHQHWZRUNEHWZHHQWKH6',FDEOH(4DQG
;BB
WKH%1&FRQQHFWRU
図 10 : SDI ケーブル ド ラ イバー と GTH ト ラ ン ス ミ ッ タ ー出力のイ ン タ ー フ ェ イ ス
SD-SDI の考察
SD-SDI の受信
270Mb/s ビ ッ ト レー ト の SD-SDI は、 GTH RX でサポー ト さ れてい る 最低 ラ イ ン レー ト 未満にな り ま
す。 270Mb/s の SD-SDI を受信す る には、 GTH RX を非同期オーバーサ ン プ ラ ー と し て使用 し 、 ビ ッ
ト ト ラ ン ザ ク シ ョ ン が行われ る 場所を問わずに 270Mb/s の 11 倍 (2.97 ギ ガサ ン プル/秒) で SD-SDI
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
19
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
ビ ッ ト ス ト リ ー ム を サ ン プ リ ン グ し ま す。 GTH RX の ク ロ ッ ク デー タ リ カ バ リ (CDR) ユ ニ ッ ト が
GTH ト ラ ン シーバーの rxcdrhold 入力ポー ト を High にアサー ト し 、 基準 ク ロ ッ ク に ロ ッ ク し ます。 こ
れに よ り 、 CDR が低速な SD-SDI 信号に ロ ッ ク する こ と を防ぎ、 SD-SDI 信号のオーバーサンプ リ ン グ
を よ り 一定 し て実行で き ます。
SD-SDI 信号を受信す る 場合は、低消費電力モー ド (LMP) イ コ ラ イ ザーの自動適応機能を無効にす る 必
要があ り ます。 低速ビ ッ ト レー ト において ラ ン レ ン グ ス が長 く な る と 、 LPM イ コ ラ イ ザーの自動適応
機能にエ ラ ーが発生 し ます。LPM 自動適応機能を無効にす る には GTHE2_CHANNEL プ リ ミ テ ィ ブの
RXOSOVRDEN、 RXLPMHFOVRDEN、 お よ び RXLPMLFKLOVRDEN ポー ト を High に アサー ト
し ま す。 7 Series FPGAs Transceivers Wizard バージ ョ ン 3.0 では、 こ れ ら 3 つのポー ト は通常 GTH
ラ ッ パーに存在せず、 GTH ラ ッ パー内部で永久的に Low に接続 さ れ る ため、 手動で変更す る 必要があ
り ま す。 最 も 簡単な方法は、 GTH ラ ッ パーの rxcdrhold_in ポー ト を GTHE2_CHANNEL プ リ ミ テ ィ
ブの こ れ ら のポー ト に接続す る こ と です。 レ シーバーが SD-SDI モー ド の と き は rxcdrhold_in ポー ト が
SDI 制御 ロ ジ ッ ク に よ っ て High に駆動 さ れ る た め、 こ の よ う に接続 さ れた 3 つのポー ト は SD-SDI
モー ド で High に駆動 さ れます。
FPGA のプ ロ グ ラ マブル ロ ジ ッ ク に実装 さ れたデー タ リ カバ リ ユニ ッ ト (DRU) は、 GTH RX でオー
バーサンプル さ れた SD-SDI デー タ を解析 し 、 各ビ ッ ト の最 も 可能性が高い値を決定 し て回復デー タ を
出力 し ます。 こ の DRU は SDI コ アの一部ではな く 、 アプ リ ケーシ ョ ン ノ ー ト の SDI 制御モジ ュ ール
の一部 と し て提供 さ れてい ます。
こ のアプ リ ケーシ ョ ン ノ ー ト で提供す る DRU は、 『高速シ リ アル I/O 向けに動的にプ ロ グ ラ ム可能な
DRU』 (XAPP875) [参照 2] で説明 し てい る DRU です。提供 さ れ る DRU は、 11 倍のオーバーサンプ リ
ン グ デー タ か ら 270Mb/s SD-SDI ビ ッ ト ス ト リ ーム を回復する よ う 最適化 さ れた も のです。 XAPP875
で説明 さ れてい る 汎用 DRU は、 さ ま ざ ま なオーバーサ ン プ リ ン グ係数を使用 し てデー タ を回復で き 、
SDI コ ア用に最適化 さ れた こ のバージ ョ ン よ り も 大規模で、 多 く の FPGA リ ソ ース を使用 し ます。
SMPTE ST 259 (SD-SDI 規格) では、 270Mb/s 以外の ビ ッ ト レー ト も 定め ら れてい ます。 ほ と ん ど の
SDI イ ン タ ーフ ェ イ ス では 270Mb/s の SD-SDI ビ ッ ト レー ト のサポー ト で十分であ る ため、 こ のアプ
リ ケ ーシ ョ ン ノ ー ト で提供す る 最適化 さ れ た DRU は、 270Mb/s のみ を サ ポー ト し ま す。 そ の他の
SD-SDI ビ ッ ト レー ト をサポー ト す る 必要があ る アプ リ ケーシ ョ ンでは、 こ の DRU を XAPP875 の汎
用 DRU に置 き 換え る こ と がで き ます。 汎用 DRU は分数のオーバーサン プ リ ン グ係数を サポー ト し て
い る ため、 追加の RX 基準 ク ロ ッ ク 周波数を使用 し な く て も 270Mb/s 以外の SD-SDI ビ ッ ト レー ト を
受信で き ます。 SMPTE ST 344 で指定 さ れてい る 540Mb/s の SD-SDI ビ ッ ト レー ト は、 GTH ト ラ ン
シーバーでサポー ト さ れ る ラ イ ン レー ト 範囲内であ る ため、GTH RX で こ れを受信する 目的で DRU を
使用す る 必要はあ り ません。 ただ し 、 DRU を使用せずに 540Mb/s ビ ッ ト レー ト を受信す る には、 その
他の SDI ビ ッ ト レ ー ト で使用 さ れてい る も の と は異な る 基準 ク ロ ッ ク 周波数が必要です。 こ のため、
XAPP875 の DRU を使用 し て 5.5 倍のオーバーサンプ リ ン グで 540Mb/s の ST344 を受信 し た方が、標
準の SDI 基準 ク ロ ッ ク 周波数を使用で き る ので、 よ り 簡単な方法 と いえ ます。
ま た、 その他の SD-SDI ビ ッ ト レー ト を受信す る 場合は、 レ シーバーが ロ ッ ク す る ま ですべての SDI
ビ ッ ト レー ト を順に検索す る こ と で SDI RX の ロ ッ ク を制御す る 、 SDI RX レー ト 検出機能を変更す る
SDI
コ ア と 共 に 提供 さ れ る
必 要 も あ り ま す。 レ ー ト 検出 ア ル ゴ リ ズ ム は、 SMPTE
triple_sdi_rx_autorate.v フ ァ イ ルに実装 さ れてい ま す。 ザ イ リ ン ク ス では、 その他の SD-SDI
ビ ッ ト レー ト をサポー ト す る 同等のモジ ュ ールを提供 し てい ません。
DRU は リ カバ リ ク ロ ッ ク を提供 し ません。 ま た、 GTH RX の CDR ユニ ッ ト は、 その基準 ク ロ ッ ク に
ロ ッ ク さ れてい る ため、 SD-SDI モー ド では rxoutclk は入力 さ れ る ビ ッ ト レー ト に ロ ッ ク さ れ ません。
DRU は、出力で 10 ビ ッ ト デー タ ワ ー ド が有効であ る こ と を示すデー タ ス ト ロ ーブ信号を生成 し ます。
SDI コ アは、 こ のデー タ ス ト ロ ーブ信号を使用 し て ク ロ ッ ク イ ネーブルを生成 し ます。 こ れは 27MHz
レー ト でアサー ト さ れ、 GTH か ら の rxoutclk ク ロ ッ ク に対 し て通常 5/6/5/6 の ク ロ ッ ク サ イ ク ル リ ズ
ムでアサー ト さ れます。 SDI ラ ッ パーか ら の rx_ce_sd 信号は、 DRU のデー タ ス ト ロ ーブ信号で生成 さ
れ る ため、 同 じ リ ズ ム と な り ます。 DRU デー タ ス ト ロ ーブ と rx_ce_sd 信号は、 通常の 5/6/5/6 リ ズ ム
か ら 外れ る 場合があ り ます。 こ れは、 実際の SD-SDI ビ ッ ト レー ト と 、 GTH RX が使用する PLL へ供
給 さ れ る ロ ーカル基準 ク ロ ッ ク の周波数の間に発生 し たずれ を DRU が補正す る ために生 じ る も ので
す。
図 11 に、 27MHz rx_ce_sd 信号を示 し たオシ ロ ス コ ープの ス ク リ ーン シ ョ ッ ト を示 し ます。 画面中央
の rx_ce_sd の立ち上が り エ ッ ジで ス コ ープが ト リ ガー さ れてい ます。ス コ ープは無制限に継続す る モー
ド であ り 、 数分間分の波形を取 る こ と がで き ま し た。 波形は温度で色分け し 、 信号の最 も 標準的な位置
を示す赤色か ら 、 極めて まれな位置を示す青色で表示 さ れてい ます。 こ の ス ク リ ーン キ ャ プチ ャ の作成
に用い ら れた SD-SDI 入力信号は、 GTH レ シーバーで使用 さ れ る ロ ーカル基準 ク ロ ッ ク と 非同期です。
中心パルス の左側ま たは右側のいずれかにあ る rx_ce_sd 信号は、中心パルス か ら 常に 5 ま たは 6 ク ロ ッ
ク サ イ ク ルの間隔があ り ます。 こ れは、 rx_ce_sd 信号の リ ズ ムが 5/6/5/6 であ る ためです。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
20
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
ト レース の左右両端にあ る 2 つのパルス は、 5/6/5/6 リ ズ ムに よ っ て中心パルス か ら 名目上 11 サ イ ク ル
ク ロ ッ ク 離れてい ます。 こ の名目上の位置は、 黄色 と 赤色のパルス でマー ク さ れてい ます。 一番右のパ
ル ス には、 中心パルス の立ち上が り エ ッ ジか ら 11 サ イ ク ル ク ロ ッ ク の位置を縦方向の黄色い破線カー
ソ ルで示 し てい ます。 青色のパルス で両側を挟まれた黄色 と 赤色のパルスは、 ロ ーカルの基準 ク ロ ッ ク
と 入力 さ れ る SD-SDI 信号の周波数差を補 う ために、 DRU が rx_ce_sd の周期を 10 ク ロ ッ ク サ イ ク ル
ま たは 12 ク ロ ッ ク サ イ ク ルのいずれかにす る 必要があ る こ と を表 し てい ます。
こ のアプ リ ケーシ ョ ン ノ ー ト の SD-SDI DRU は、 生成済みの dru.ngc と い う 名前の フ ァ イ ル内に暗
号化 さ れた状態で提供 さ れてい ます。 暗号化 さ れてい る ため、 dru.ngc フ ァ イ ルを使用す る デザ イ ンの
シ ミ ュ レーシ ョ ンは実行で き ませんが、 こ のアプ リ ケーシ ョ ン ノ ー ト に含まれ る dru_sim.v フ ァ イ ル
には簡略化 さ れた DRU のシ ミ ュ レーシ ョ ン モデルがあ り ます。シ ミ ュ レーシ ョ ンには、dru.ngc フ ァ
イ ルの代わ り に こ の フ ァ イ ルを使用可能です。 ただ し 、 こ のシ ミ ュ レーシ ョ ン モデルは GTH RX の基
準 ク ロ ッ ク や SD-SDI ビ ッ ト ス ト リ ームの周波数変動に対応 し ていないため、実際の FPGA で使用す る
こ と を目的 と し たデザ イ ンには使用 し ないで く だ さ い。
X-Ref Target - Figure 11
;BB
図 11 : SD-SDI ク ロ ッ ク イ ネーブル信号のキ ャ プ チ ャ ( オシ ロ ス コ ープ画面)
SD-SDI の送信
SD-SDI の受信 と 同様に、 低速な 270Mb/s SD-SDI ビ ッ ト レー ト の送信は、 GTH TX で直接サポー ト
さ れてい ません。 SD-SDI 信号を送信する には、 GTH TX を 2.97Gb/s ラ イ ン レー ト 用に コ ン フ ィ ギ ュ
レーシ ョ ン し ます。SDI コ アは送信 さ れ る 各ビ ッ ト を 11 回複製す る ため、SDI コ アか ら 出力 さ れて GTH
TX の txdata ポー ト へ入力 さ れ る デー タ には、 各ビ ッ ト が 11 回連続 し て複製 さ れた も のが含まれます。
最終的に GTH TX か ら 出力 さ れ る 信号は、 有効な 270Mb/s SD-SDI 信号 と な り ます。
SD-SDI リ カバ リ ク ロ ッ ク の生成
SD-SDI モー ド の場合、 CDR ユニ ッ ト は SD-SDI ビ ッ ト ス ト リ ームではな く 、 基準 ク ロ ッ ク の周波数に
ロ ッ ク さ れ る た め、 GTH RX の rxoutclk は実際は リ カ バ リ ク ロ ッ ク で は あ り ま せん。 入力 さ れ る
SD-SDI ビ ッ ト ス ト リ ームのデー タ レー ト を示す唯一の信号は、 SDI ラ ッ パーの 27MHz rx_ce_sd 出力
です。
一部の ビデオ アプ リ ケーシ ョ ン、 特に、 SDI イ ン タ ーフ ェ イ ス上に回復 さ れた ビデオ デー タ を再送信
す る 必要がない ビデオ アプ リ ケーシ ョ ン では、 rx_ce_sd 信号が リ カバ リ ク ロ ッ ク と し て十分機能 し ま
す。 通常、 こ の信号は、 GTH RX レ シーバーか ら の rxoutclk で ク ロ ッ ク 供給 さ れてい る ダ ウ ン ス ト リ ー
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
21
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
ム モジ ュ ール用の ク ロ ッ ク イ ネーブル と し て使用 さ れます。 SDI コ ア内の SDI デー タ パ ス は こ の よ う
に機能 し てい ます (rx_ce_sd を ク ロ ッ ク イ ネーブル と し て使用)。
GTH TX を使用 し 、 受信 し た ビデオ デー タ を SD-SDI 信号 と し て再送信す る 場合は、 低ジ ッ タ ーの リ
カバ リ ク ロ ッ ク が必要です。 リ カバ リ ク ロ ッ ク は、 GTH TX 向けにシ リ アル ク ロ ッ ク を生成す る PLL
の基準 ク ロ ッ ク と し て使用で き る よ う に、 十分に低ジ ッ タ ーであ る 必要があ り ます。 さ ら に、 GTH TX
ト ラ ン ス ミ ッ タ ーが 11 倍のオーバーサンプ リ ン グ を実行 し て 270Mb/s SD-SDI デー タ を送信で き る よ
う に、 リ カバ リ ク ロ ッ ク の周波数は 148.5MHz と な る こ と が求め ら れ ま す。 こ れには、 外部に配置 さ
れ る 低帯域幅の PLL を使用す る 必要があ り ます。Virtex-7 FPGA の MMCM ( ミ ッ ク ス ド モー ド ク ロ ッ
ク マネージ ャ ー ) の帯域幅は広すぎ る ため、 SDI レ シーバーか ら rx_ce_sd 信号に現れ る 大量の低周波
ジ ッ タ ーを適切に除去で き ま せん。 こ のジ ッ タ ー除去には、 Texas Instruments 社製 LMH1983 お よ び
Silicon Labs 社製 Si5324 が効果的です。 こ れ ら のデバ イ ス は、 rx_ce_sd 信号を 27MHz の基準 ク ロ ッ
ク と し て使用 し 、 それ を最大 148.5MHz ま で逓倍で き る と 同時にジ ッ タ ー も 除去で き ま す。 最終的に
ジ ッ タ ーが除去 さ れた ク ロ ッ ク は、 GTH TX の基準 ク ロ ッ ク と し ての使用に最適です。 こ のアプ リ ケー
シ ョ ン ノ ー ト で提供す る パ ス スルー デモでは、 SD-SDI モー ド で、 こ の よ う に し て Si5324 を使用 し て
27MHz rx_ce_sd 信号か ら GTH TX 用の 148.5MHz 基準 ク ロ ッ ク を生成 し てい ます。 HD-SDI ま たは
3G-SDI のいずれか を再送信する 場合は、 同 じ Si5324 を再プ ロ グ ラ ム し て GTH RX の rxoutclk 出力か
ら ジ ッ タ ーを排除 し 、HD-SDI の場合はその周波数を 2 逓倍 し て GTH TX 用に低ジ ッ タ ーの 148.5MHz
基準 ク ロ ッ ク を生成 し ます。
も う 1 つの方法は、 外部ゲ ン ロ ッ ク PLL を使用 し 、 回復 さ れた ビデオ デー タ か ら のビデオ同期信号に
ロ ッ ク さ せる 方法です。 ゲン ロ ッ ク PLL の出力が SD-SDI リ カバ リ ク ロ ッ ク と な り ます。
リ カバ リ ク ロ ッ ク は、外部のビデオ ASSP (Application-Specific Standard Product) デバ イ ス の駆動に必
要 な 場合 が あ り ま す。 SD-SDI モ ー ド の 場合、 こ の よ う な ク ロ ッ ク に は 27MHz 周波数 が 必要 で、
rx_ce_sd 信号 よ り も 低いジ ッ タ ーが求め ら れ ま すが、 GTH TX の 基準 ク ロ ッ ク を生成す る 場合 と 同様
に極端に低いジ ッ タ ーは必要あ り ません。前述のテ ク ニ ッ ク を使用す る こ と も 可能ですが、外部 コ ン ポー
ネ ン ト を使用せずに FPGA 全体に リ カバ リ ク ロ ッ ク を生成す る 方が理想的です。 rx_ce_sd 信号はジ ッ
タ ーが大 き すぎ る ため、 Virtex-7 デバ イ ス MMCM の基準 ク ロ ッ ク 入力 と し て直接使用で き ませんが、
図 12 に示す よ う に、 未使用 GTH TX ト ラ ン ス ミ ッ タ ーを用いて SD-SDI リ カバ リ ク ロ ッ ク を生成す
る 方法があ り ます。
未使用 GTH TX の txdata ポー ト へ制御モジ ュ ールの recclk_txdata ポー ト を接続 し ます。 GTH TX は、
SDI 入力信号を 受信 し て い る GTH RX と 同 じ 基準 ク ロ ッ ク を 使用す る 必要が あ り ま す。 GTH TX の
txusrclk お よ び txusrclk2 ポー ト は、 GTH TX の rxusrclk と rxusrclk2 ポー ト お よ び SDI ラ ッ パーの
rx_usrclk ポー ト を駆動 し てい る ク ロ ッ ク と 同 じ ク ロ ッ ク へ接続 し なければな り ません。GTH TX は、エ
ン コ ー ド な し で 20 ビ ッ ト の txdata ポー ト を 使用す る 2.97Gb/s ラ イ ン レ ー ト 用に コ ン フ ィ ギ ュ レ ー
シ ョ ン し ます。
こ の よ う に コ ン フ ィ ギ ュ レーシ ョ ン し た場合、 GTH TX のシ リ アル出力は、 入力 さ れ る SD-SDI 信号へ
周波数が ロ ッ ク さ れた 270MHz ク ロ ッ ク と な り ます。 つま り 、 こ れは SD-SDI の真の リ カバ リ ク ロ ッ
ク と な り ま す。 GTH TX の シ リ ア ル出力は、 CML 出力 を 正 し く 終端 し て LVDS へ転換す る こ と で、
Virtex-7 デバ イ ス の グ ロ ーバル ま た は リ ー ジ ョ ナル ク ロ ッ ク LVDS 入力へ接続で き ま す。 さ ら に、
FPGA 内で必要 と さ れ る 場合に 270MHz ク ロ ッ ク を使用で き ます。 た と えば、 こ の ク ロ ッ ク を 10 で分
周 し 、 内部/外部ビデオ デー タ パ ス を駆動す る 27MHz リ カバ リ ク ロ ッ ク を得 る こ と が可能です。 こ の
信号は、 十分ジ ッ タ ーが低いため、 MMCM の基準 ク ロ ッ ク と し て使用で き ます。
DRU の recclk_txdata ポー ト は、SDI 制御モジ ュ ールか ら SDI ラ ッ パーの出力ポー ト へ接続 さ れてい ま
せん。 こ の機能が必要な場合は、 こ の出力ポー ト を追加す る ために SDI ラ ッ パーを変更で き ます。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
22
Virtex-7 GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現
X-Ref Target - Figure 12
*7+5;
6',,Q
&DEOH
(4
U[S
6',:UDSSHU
U[Q
TSOOFON
U[GDWD
JWSBU[GDWD
U[XVUFON
6',
&RUH
'LJLWDO
9LGHR
2XW
U[XVUFON
U[RXWFON
43//5()&/.
5HFRYHUHG
6''DWD
U[BXVUFON
43//
*7+7;
W[XVUFON
W[GDWD
UHFFONBW[GDWD
'58
W[XVUFON
TSOOFON
W[S
0+]5HFRYHUHG6'&ORFN
W[Q
;BB
図 12 : GTH TX を使用 し て SD-SDI リ カバ リ ク ロ ッ ク を生成
SD-SDI リ カバ リ ク ロ ッ ク の生成に使用 さ れ る GTH TX は、 SDI 用に コ ン フ ィ ギ ュ レーシ ョ ンす る 必
要はあ り ませんが、 エ ン コ ー ド な し で常に 2.97Gb/s で動作す る こ と が求め ら れ ます。 制御モジ ュ ール
の recclk_txdata ポー ト か ら GTH ト ラ ン シーバーの txdata ポー ト へ送信 さ れたデー タ 信号が、GTH TX
シ リ アル出力ピ ンに対 し て 270MHz ク ロ ッ ク を生成 し ます。 生成 さ れた ク ロ ッ ク のエ ッ ジは、 2.97Gb/s
ラ イ ン レー ト の ± 1 ビ ッ ト 時間で移動 し 、 出力信号の周波数が入力 SD-SDI 信号の ビ ッ ト レー ト と 正
確に一致す る よ う に変更 さ れ ます。 こ のため、 GTH TX で生成 さ れた 270MHz ク ロ ッ ク のサ イ ク ル間
ジ ッ タ ーは、±337ps (2.97Gb/s の 1 ビ ッ ト 時間は 337ps) と GTH TX 出力信号に本来生 じ る ジ ッ タ ーを
合計 し た値 と な り ます。こ れを図 13 に示 し ます。一番上の ト レース は、GTH TX で生成 さ れた 270MHz
ク ロ ッ ク です。 画面中央の リ カバ リ ク ロ ッ ク の立ち上が り エ ッ ジで ス コ ープが ト リ ガー さ れてい ます。
ト リ ガー ポ イ ン ト の左右いずれかのサ イ ク ルの立ち上が り エ ッ ジ を観察す る と 、 こ れ ら の立ち上が り
エ ッ ジはそれぞれが明確に区別 さ れた立ち上が り ポ イ ン ト を示 し てい る ため、±337ps のサ イ ク ル間ジ ッ
タ ーを容易に確認で き ま す。 図 13 の下に あ る ト レ ー ス は、 も う 1 つの GTH TX で再送信 さ れてい る
SD-SDI です。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
23
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
X-Ref Target - Figure 13
;BB
図 13 : GTH ト ラ ン シーバーを使用 し て SD-SDI リ カバ リ ク ロ ッ ク を生成
RX のビ ッ ト レー ト 検出
SDI コ アは、 GTH RX で受信する SDI 信号の SDI モー ド (SD-SDI、 HD-SDI、 3G-SDI) を自動で判断
で き ます。 現時点で SDI 入力信号に ロ ッ ク さ れていない と 判断 さ れた場合、 SDI コ アは GTH ラ ッ パー
の rxdata 出力ポー ト に適切な SDI デー タ を確実に検出す る ま で 3 つの異な る SDI モー ド で GTH RX
を順に制御 し ます。 こ れが検出 さ れ る と 、 SDI コ アは rx_mode_locked 出力を アサー ト し 、 SDI 信号へ
ロ ッ ク し た こ と を示 し ます。 sdi_mod 出力には RX が ロ ッ ク し た SDI モー ド が示 さ れます。
し か し 、 SDI コ ア が HD-SDI モ ー ド の 場合、 入力 SDI 信号 の ビ ッ ト レ ー ト が 1.485Gb/s ま た は
1.485/1.001Gb/s のいずれで あ る か を判断す る 方法があ り ま せん。 3G-SDI モー ド で も 同様、 SDI コ ア
は、入力 SDI 信号のビ ッ ト レー ト が 2.97Gb/s ま たは 2.97/1.001Gb/s のいずれであ る か を判断で き ませ
ノ ー ト で 提供す る 制御 モ ジ ュ ー ル に は、 1.485Gb/s
と
ん。 そ こ で、 こ の ア プ リ ケ ー シ ョ ン
1.485/1.001Gb/s お よ び 2.97Gb/s と 2.97/1.001Gb/s を区別で き る ビ ッ ト レー ト 検出器が含ま れてい ま
す。 入力 SDI 信号のビ ッ ト レー ト が 1.485Gb/s ま たは 2.97Gb/s のいずれかの場合は、 SDI ラ ッ パーの
出力ポー ト rx_bit_rate が Low にな り ま す。 入力 SDI 信号の ビ ッ ト レ ー ト が 1.485/1.001Gb/s ま たは
2.97/1.001Gb/s のいずれかの場合は、 rx_bit_rate が High にな り ます。
ビ ッ ト レー ト 検出回路を駆動す る には、 SDI ラ ッ パーの clk 入力ポー ト に固定周波数 ク ロ ッ ク を供給す
る 必要があ り ます。 こ の ク ロ ッ ク の周波数は 10MHz 以上にす る こ と を推奨 し ます。 150Mhz 以上にな
る と 、 ビ ッ ト レ ー ト 検出 ロ ジ ッ ク 内で タ イ ミ ン グ を満たす こ と が難 し く な る 可能性が あ り ま す。 SDI
ラ ッ パーには、 FXDCLK_FREQ と い う パ ラ メ ー タ ーがあ り 、 こ れは clk ポー ト へ接続 さ れ る ク ロ ッ ク
周波数の指定に使用 さ れます。 FXDCLK_FREQ の値は、 固定周波数 ク ロ ッ ク の周波数 と 同 じ に設定す
る 必要があ り ます (Hz)。
SDI ラ ッ パーは RX ビ ッ ト レー ト 検出機能以外の目的に固定周波数 ク ロ ッ ク を使用 し ます。 こ のため、
ビ ッ ト レー ト 検出機能を使用 し ないアプ リ ケーシ ョ ンで も 、 SDI ラ ッ パーの clk ポー ト には固定周波数
ク ロ ッ ク を供給 し 、 FXDCLK_FREQ パ ラ メ ー タ ーを正 し く 設定す る 必要があ り ます。
Virtex-7 に SDI
イン ターフ ェ イス
を実装
Virtex-7 FPGA デザ イ ンに SDI イ ン タ ーフ ェ イ ス を実装す る には、い く つかの手順に従 う 必要があ り ま
す。 こ れ ら の手順は、 次の と お り です。
1.
XAPP1187 (v1.0) 2014 年 2 月 21 日
Vivado IP カ タ ロ グにあ る 7 Series FPGAs Transceivers Wizard を使用 し 、 GTH ラ ッ パー と GTH
コ モン ラ ッ パーを生成 し ます。
japan.xilinx.com
24
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
2.
3.
4.
5.
Vivado IP カ タ ロ グか ら SMPTE SD/HD/3G-SDI IP LogicCORE を生成 し ます。
手順 1 で生成 さ れた GTH ラ ッ パーお よ び GTH コ モン ラ ッ パー と 、 こ のアプ リ ケーシ ョ ン ノ ー ト
で提供す る SDI ラ ッ パーを アプ リ ケーシ ョ ンに イ ン ス タ ン シエー ト し ます。
こ のアプ リ ケーシ ョ ン ノ ー ト で提供す る dru.ngc フ ァ イ ルを ソ ース と し て Vivado プ ロ ジ ェ ク ト
に追加 し ます (詳細は、 xapp1187.zip の readme.txt フ ァ イ ルを参照)。
SDI イ ン タ ーフ ェ イ ス に適切な タ イ ミ ン グ制約を適用 し ます。
GTH ラ ッ パーの生成
7 Series FPGAs Transceivers Wizard を使用 し て GTH ラ ッ パーを生成 し ま す。 バージ ョ ン 3.0 以降の
ウ ィ ザー ド では、 GTHE2_COMMON ブ ロ ッ ク は GTH ラ ッ パーに含まれませんが、 GTH コ モン ラ ッ
パー と 呼ばれ る 独立 し た ラ ッ パーに イ ン ス タ ン シエー ト さ れます。
ウ ィ ザー ド で生成 さ れた GTH ラ ッ パーは、 実際には ラ ッ パー レ ベルの 1 つの階層です。 バージ ョ ン
3.0 以降の ウ ィ ザー ド では、上位 ラ ッ パーに SDI 動作 と 互換性のない追加の リ セ ッ ト ロ ジ ッ ク が含まれ
ます。 こ のため、 実際には最下層の GTH ラ ッ パー フ ァ イ ルのみが SDI アプ リ ケーシ ョ ンに有用です。
最下層の GTH ラ ッ パーには常に GTHE2_CHANNNEL イ ン ス タ ン ス が 1 つ含 ま れ ま す。 GTH ラ ッ
パーを生成お よ び使用す る 最 も 簡単な方法は、 ウ ィ ザー ド を使用 し て ト ラ ン シーバーを 1 つだけ生成 し
てか ら アプ リ ケーシ ョ ンで最下層の GTH ラ ッ パーを複数回 (SDI に使用す る 各 GTH ト ラ ン シーバーに
つ き 1 回) イ ン ス タ ン シエー ト す る こ と です。さ ら に、GTH コ モン ラ ッ パー も 必要に応 じ て複数回 (SDI
イ ン タ ーフ ェ イ ス を実装 し てい る ト ラ ン シーバーを含む各 GTH ク ワ ッ ド につ き 1 回) イ ン タ ン シエー
ト す る 必要があ り ます。GTH ト ラ ン シーバーへのシ リ アル ク ロ ッ ク 供給に CPLL のみを使用す る 場合、
QPLL し か含 ま ない GTH コ モ ン ラ ッ パー を イ ン ス タ ン シエー ト す る 必要はあ り ま せん。 こ の ア プ リ
ケーシ ョ ン ノ ー ト で提供す る 2 つの SDI デモン ス ト レーシ ョ ン アプ リ ケーシ ョ ンでは、GTH ラ ッ パー
お よ び GTH コ モン ラ ッ パーを イ ン ス タ ン シエー ト す る 方法を例示 し てい ます。
次のセ ク シ ョ ン では、 Vivado IP カ タ ロ グか ら ウ ィ ザー ド バージ ョ ン 3.0 を使用 し て GTH ラ ッ パーを
生成す る 手順を詳 し く 説明 し ます。
重要 : バージ ョ ン 3.0 で作成 し た GTH ラ ッ パーは、 GTH ラ ッ パーお よ び GTH コ モン ラ ッ パーの フ ァ
イ ルを生成 し ま すが、 こ れ ら の フ ァ イ ルは SDI と 完全に互換性が あ る わけではあ り ま せん。 こ れ ら の
ラ ッ パーは手動で多少変更 し ます。 ラ ッ パー フ ァ イ ルを変更す る 手順は、 こ の資料の 「GTH ラ ッ パー
の変更」 で説明 し ます。
上位 GTH ラ ッ パーは SDI アプ リ ケーシ ョ ンで使用 さ れないため、SDI アプ リ ケーシ ョ ン と 同 じ Vivado
プ ロ ジ ェ ク ト では GTH ラ ッ パーを生成 し ない よ う に し ます。 SDI 用に GTH ラ ッ パーを生成す る こ と
だけ を目的 と し て Vivado ツールを実行 し て新 し いプ ロ ジ ェ ク ト を作成 し ます。 GTH ラ ッ パーの生成後
に、SDI に必要な GTH ラ ッ パー フ ァ イ ルのみを実際の SDI Vivado プ ロ ジ ェ ク ト に追加で き ます。GTH
ラ ッ パーの Vivado プ ロ ジ ェ ク ト と SDI Vivado プ ロ ジ ェ ク ト では、 必ず同 じ Virtex-7 FPGA デバ イ ス
を指定 し て く だ さ い。
GTH ラ ッ パー の Vivado プ ロ ジ ェ ク ト が 生成 さ れ た ら 、 IP カ タ ロ グ を 開 き ま す。 7 Series FPGAs
Transceivers Wizard は、Vivado IP カ タ ロ グの最上位の [FPGA Features and Design] フ ォ ルダー内にあ
る [I/O Interfaces] に含ま れてい ま す。 IP カ タ ロ グで こ の ウ ィ ザー ド を見つけて、 ダブル ク リ ッ ク し て
開始 し ます。
バージ ョ ン 3.0 の ウ ィ ザー ド には SDI 用のプ ロ ト コ ル テ ンプ レー ト が含まれてい ません。こ のセ ク シ ョ
ンの手順では、 SDI イ ン タ ーフ ェ イ ス の実装に必要な正 し い設定 と ポー ト を用いて GTH ラ ッ パーを作
成す る 方法を説明 し ます。 将来的には SDI テ ンプ レー ト が GTH ラ ッ パーに追加 さ れ る 予定です。
[GT Selection] タ ブ が 開 く と 同時 に ウ ィ ザ ー ド が 起動 し ま す ( 図 14 を 参照)。 タ ブ の 上部 に は
[Component Name] と い う フ ィ ール ド があ り ます。 こ こ で入力 し た名前は、 GTH ラ ッ パー フ ァ イ ル名、
お よ び GTH コ ン ポーネ ン ト 名 と し て使用 さ れ ま す。 こ の例の コ ン ポーネ ン ト 名は v7gth_sdi_wrapper
です。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
25
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
X-Ref Target - Figure 14
;BB
図 14 : 7 Series FPGAs Transceivers Wizard – [GT Selection] タ ブ
[GT Selection] タ ブ の上部付近で、 ト ラ ン シ ーバーの種類 を 指定 し ま す。 プ ロ ジ ェ ク ト で選択 し た
Virtex-7 デバ イ ス に応 じ て GTX お よ び/ ま た は GTH ト ラ ン シ ーバー を 選択で き ま す。 こ の例で は
Vivado プ ロ ジ ェ ク ト に選択 し たデバ イ ス には GTH ト ラ ン シーバー し かないめ、 GTH ト ラ ン シーバー
のみが選択肢 と な り 、 [GT Type] の選択 メ ニ ュ ーはグ レーア ウ ト さ れてい ます (図 14 を参照)。
[Shared Logic] で、 [include Shared Logic in example design] を オンに し ます。
タ ブ を切 り 替え る 場合は、 [Component Name] の下部にあ る タ ブを ク リ ッ ク し ます。 すべての タ ブで設
定を完了す る ま では、 [OK] を ク リ ッ ク し ないで く だ さ い。 [OK] を ク リ ッ ク す る と 、 ウ ィ ザー ド が閉 じ
ます。
図 15 に示す [Line Rate, RefClk Selection] タ ブ を ク リ ッ ク し ます。 ウ ィ ザー ド に利用可能な SDI プ ロ
ト コ ル テ ンプ レー ト があ る 場合、 それは hd sdi と 呼ばれ、 [Protocol] の ド ロ ッ プダ ウ ン リ ス ト か ら 選
択で き ます。 こ れに よ り 、 GTH ト ラ ン シーバーを使用 し た SDI イ ン タ ーフ ェ イ ス に必要なすべての設
定が選択 さ れます。 ただ し 、 バージ ョ ン 3.0 の ウ ィ ザー ド には こ の よ う なプ ロ ト コ ル テ ンプ レー ト がな
いため、 [Protocol] の設定をデフ ォ ル ト の [Start from scratch] の ま ま に し ておき ます。
図 15 に示す よ う に、 TX お よ び RX 両方の [Line Rate] を 1.485Gb/s に設定 し ま す。 SDI ア プ リ ケー
シ ョ ン で実際にサポー ト さ れ る SDI ビ ッ ト レ ー ト に関係な く 、 ラ イ ン レー ト を こ の値に設定 し ま す。
TX お よ び RX 両方の [Reference Clock] 周波数を目標値 (一般に 148.5MHz) に設定 し ます。
こ れに よ り 、 ラ イ ン レ ー ト が 1.485Gb/s に設定 さ れ、 RX お よ び TX 両方の基準 ク ロ ッ ク 周波数が
148.5MHz に設定 さ れます。 ラ イ ン レー ト ま たは基準 ク ロ ッ ク 周波数を それぞれ 1.485/1.001Gb/s お よ
び 148.5/1.001MHz に変更 し な い で く だ さ い。 SDI 制御モ ジ ュ ール が、 ラ イ ン レ ー ト を 1/11 か ら
1/1.001 へ切 り 替え ます。 ま た、 その他の ラ イ ン レー ト への動的な切 り 替え も SDI 制御モジ ュ ールが管
理 し 、 3G-SDI の場合は 2.97Gb/s に、 SD-SDI の場合は 270Mb/s に変更 し ま す。 こ の タ ブで指定す る
ラ イ ン レ ー ト は必ず 1.485Gb/s にす る 必要が あ り ま す。 ほかの基準 ク ロ ッ ク 周波 も 選択で き ま すが、
[Reference Clock] プルダ ウ ン リ ス ト で選択可能な数値に限定 さ れます。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
26
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
[TX off] お よ び [RX off] のチ ェ ッ ク ボ ッ ク ス を使用す る と 、 ト ラ ン ス ミ ッ タ ーのみ ([RX off] をオ ン )
ま たは レ シーバーのみ ([TX off] を オン ) を含む GTH ラ ッ パーを作成で き ます。 こ の例では、 こ れ ら の
オプシ ョ ンはいずれ も オンに し てい ません。
こ の例では [Quad Column] は関係ないため、 デフ ォ ル ト 値の ま ま に し ます。
[Use Common DRP] は SDI アプ リ ケーシ ョ ンではオンに し ません。
[Line Rate, RefClk Selection] タ ブでは、 最上位 GTH ラ ッ パーに含め る GTH ト ラ ン シーバー と ク ワ ッ
ド を選択で き ま す。 こ こ では、 PLL が使用す る 基準 ク ロ ッ ク 、 お よ び各 ト ラ ン シーバーへシ リ アル ク
ロ ッ ク を供給す る PLL を選択で き ます。 SDI アプ リ ケーシ ョ ンでは、 1 つの GTH ト ラ ン シーバーを使
用す る GTH ラ ッ パーを 1 つ常に生成 し ます。ど の ト ラ ン シーバーが選択 さ れてい る かは問題ではな く 、
デフ ォ ル ト で選択 さ れてい る ト ラ ン シーバーを使用す る こ と が最 も 簡単です。
こ の例の RX ユニ ッ ト は、 REFCLK0 Q1 を基準 ク ロ ッ ク と し て使用す る QPLL を利用 し ます。 TX ユ
ニ ッ ト は、 REFCLK1 Q1 を 基準 ク ロ ッ ク と し て使用す る CPLL を 利用 し ま す。 TX ユ ニ ッ ト に よ る
QPLL と CPLL 間の動的な切 り 替えは、 ウ ィ ザー ド を使用 し て明示的に処理す る わけではあ り ません。
SDI 制御モジ ュ ールが こ の動的な切 り 替え を制御 し ます。 ただ し 、 すべての PLL がア ク テ ィ ブで、 TX
が QPLL お よ び CPLL を 動的に切 り 替 え る よ う 正 し く 接続 さ れ る GTH ラ ッ パー を 構築す る に は、
QPLL を RX ク ロ ッ ク ソ ース に、 CPLL を TX ク ロ ッ ク ソ ース に指定 し 、 QPLL と CPLL それぞれに
異な る 基準 ク ロ ッ ク を指定 し ます (図 15 を参照)。 QPLL が使用 さ れず、 CPLL のみが使用 さ れ る 場合、
RX お よ び TX の両ユニ ッ ト への基準 ク ロ ッ ク ソ ース と し て CPLL を使用 し ます。
[Advanced Clocking Option] を オンに し ます。
X-Ref Target - Figure 15
;BB
図 15 : 7 Series FPGAs Transceivers Wizard – [Line Rate, RefClk Selection] タ ブ
図 16 に示す [Encoding and Clocking] タ ブ を ク リ ッ ク し ます。
[TX] お よ び [RX] の両セ ク シ ョ ンで、 [External Data Width] を 20 に、 [Internal Data Width] を 20 に設
定 し ます。 TX の [Encoding] お よ び RX の [Decoding] を [None] に設定 し ます。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
27
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
[Use DRP] は常にオンにな っ てお り 、 オ フ にで き ません。 GTH の drpclk ポー ト に接続 さ れ る ク ロ ッ ク
の DRP 周波数は、 公称周波数に設定 し ます。
[DRP Clock Freaquency] 下にあ る [Optional Ports] セ ク シ ョ ンのポー ト はいずれ も SDI では不要です。
SDI アプ リ ケーシ ョ ンには RX バ ッ フ ァ ーお よ び TX バ ッ フ ァ ーを使用す る こ と を推奨 し ます。つま り 、
[Enable TX Buffer] お よ び [Enable RX Buffer] を オ ン に し ま す。 [TXUSRCLK Source] は
[TXOUTCLK] に設定 さ れてお り 、 変更で き ません。 ただ し [RXUSRCLK Source] は、 図 16 に示す よ
う にデフ ォ ル ト 設定の [TXOUTCLK] か ら [RXOUTCLK] に変更 し て く だ さ い。
下部の [Optional Ports] セ ク シ ョ ン では、 SDI アプ リ ケーシ ョ ン に必要な TXPCSRESET、 TXRATE、
TXBUFSTATUS、 お よ び RXCDRHOLD ポー ト を オンに し ます。 TX ユニ ッ ト が QPLL と CPLL を動
ポ ー ト も 必要 で す。
的 に 切 り 替 え る 必要 が あ る ア プ リ ケ ー シ ョ ン で は、 TXSYSCLKSEL
TXSYSCLKSEL ポー ト は常にオ ン にす る こ と を推奨 し ま す。 TX の動的な切 り 替え が不要な場合は、
QPLL ま たは CPLL のいずれか を シ リ ア ル ク ロ ッ ク ソ ー ス と し て選択す る た めに TXSYSCLKSEL
ポー ト をハー ド 接続で き ます。
X-Ref Target - Figure 16
;BB
図 16 : 7 Series FPGAs Transceivers Wizard – [Encoding and Clocking] タ ブ
図 17 に示す [Comma Alignment and Equalization] タ ブを ク リ ッ ク し ます。
こ の タ ブの [RXCOMMA Alignment] セ ク シ ョ ン では、[Use comma detection] お よ び [RXSLIDE] ポー
ト が デ フ ォ ル ト で オ ン に な っ て い ま す。 [Use comma detection] を オ フ に し ま す。 そ う す る と 、
[RXSLIDE] ポー ト が自動的に無効 (オ フ ) にな り ます。カ ン マ検出機能お よ び RXSLIDE 機能は SDI で
使用 さ れません。
[Termination and Equalization] セ ク シ ョ ンの設定を図 17 に示す よ う に変更 し ます。 [Differential Swing
and Emphasis Mode] は [Custom] に、[RX Equalization] の [Mode] は [LPM-Auto] に、[RX Termination]
の [Voltage] は [Programmable] に、 [Trim Value (mV)] は [800mV] に設定 し ます。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
28
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
[Optional Ports] のポー ト は、 アプ リ ケーシ ョ ンの要件に応 じ て有効 (オン ) ま たは無効 (オ フ ) にで き ま
す。 [TXDIFFCTRL] ポー ト は一般に有効に し ます。 こ れに よ り 、 TX の出力範囲が外部の SDI ケーブ
ル ド ラ イ バーの入力電圧要件を満たす よ う にアプ リ ケーシ ョ ン で設定 さ れ ます。 外部の SDI ケーブル
ド ラ イ バ ー に 対 し て TX か ら の 信号 の 整合性 を 向上 さ せ る た め に [TXPOSTCURSOR] お よ び
[TXPRECURSOR] ポー ト が必要な場合、 こ れ ら のポー ト を オンにで き ます。
X-Ref Target - Figure 17
;BB
図 17 : 7 Series FPGAs Transceivers Wizard – [Comma Alignment and Equalization] タ ブ
図 18 に示す [PCIE、 SATA、 PRBS] タ ブを ク リ ッ ク し ます。 こ のページの多 く のオプシ ョ ンは SDI と
の関連性がないため、 デフ ォ ル ト 値の ま ま 変更 し ないで く だ さ い。 [Optional Ports] には、 SDI アプ リ
ケーシ ョ ンで役立つポー ト がい く つかあ り ます。
[LOOPBACK] ポー ト はデフ ォ ル ト でオンにな っ てい ます。 こ のポー ト を使用す る と 、 GTH TX で送信
さ れたデー タ を同 じ ト ラ ン シーバー内にあ る GTH RX へループ バ ッ ク す る 、 さ ま ざ ま なループバ ッ ク
モー ド を動的に選択で き ま す。 ループバ ッ ク モー ド はデバ ッ グ目的での使用は有用ですが、 プ ロ ダ ク
シ ョ ン アプ リ ケーシ ョ では一般に使用 さ れません。
[TXPOWERDOWN] お よ び [RXPOWERDOWN] ポー ト を使用する と 、消費電力を削減する ために TX
お よ び RX の電源を動的に切断で き ます。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
29
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
X-Ref Target - Figure 18
;BB
図 18 : 7 Series FPGAs Transceivers Wizard – [PCIE、 SATA、 PRBS] タ ブ
こ れで、 SDI アプ リ ケーシ ョ ン用の GTH ラ ッ パー作成に必要なすべての選択が完了です。 [CB and CC
Sequence] タ ブは、 チ ャ ネル ボ ンデ ィ ン グお よ び ク ロ ッ ク コ レ ク シ ョ ン を使用す る プ ロ ト コ ル用です。
SDI では こ れ ら のいずれか を使用 し ます。 [Summary] タ ブは、 ほかの タ ブで設定 し た内容を ま と めてい
ます。 GTH ラ ッ パーを生成す る には、 [OK] を ク リ ッ ク し て、 次の メ ニ ュ ーが開いた ら [Generate] を
ク リ ッ ク し ます。
ウ ィ ザー ド では複数の フ ァ イ ルが生成 さ れますが、 こ れ ら の一部は SDI アプ リ ケーシ ョ ンに必要な フ ァ
イ ルであ り 、 その他の フ ァ イ ルは SDI で使用で き ないサ ン プル フ ァ イ ルです。 使用 さ れ る フ ァ イ ルは
すべて、 ウ ィ ザー ド で GTH ラ ッ パーに割 り 当て た コ ン ポーネ ン ト 名で開始 し ます。 必要な フ ァ イ ルを
次に示 し ます。
<component_name>_gt.v/vhd
最下層の GTH ラ ッ パー
<component_name>_gtrxreset_seq.v/vhd
gtrxreset シーケ ン ス ロ ジ ッ ク
<component_name>_sync_block.v/vhd
同期 ロ ジ ッ ク
<component_name>_common.v/vhd
コ モン ラ ッ パー
Vivado のプ ロ ジ ェ ク ト 名が sdi_wrapper で Verilog がデフ ォ ル ト の言語 と し て選択 さ れ、GTH ラ ッ パー
に割 り 当て ら れた コ ン ポーネ ン ト 名が v7gth_sdi_wrapper の場合、 必要な フ ァ イ ルへのパ ス は次の よ う
にな り ます。
sdi_wrapper/sdi_wrapper.srcs/sources_1/ip/v7gth_sdi_wrapper/v7gth_sdi_wrappe
r_gt.v
sdi_wrapper/sdi_wrapper.srcs/sources_1/ip/v7gth_sdi_wrapper/v7gth_sdi_wrappe
r/example_design/v7gth_sdi_wrapper_gtrxreset_seq.v
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
30
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
sdi_wrapper/sdi_wrapper.srcs/sources_1/ip/v7gth_sdi_wrapper/v7gth_sdi_wrappe
r/example_design/v7gth_sdi_wrapper_sync_block.v
sdi_wrapper/sdi_wrapper.srcs/sources_1/ip/v7gth_sdi_wrapper/v7gth_sdi_wrappe
r/example_design/support/v7gth_sdi_wrapper_common.v
ウ ィ ザー ド を使用 し て GTH ラ ッ パーを生成す る 場合、サポー ト デ ィ レ ク ト リ お よ びそのサポー ト デ ィ
レ ク ト リ にあ る GTH コ モン ラ ッ パーは自動的に作成 さ れません。図 19 に示す よ う に、[Sources] ビ ュ ー
の SDI ラ ッ パーを右 ク リ ッ ク し 、 [Open IP Example Design] を ク リ ッ ク し ます。
X-Ref Target - Figure 19
;BB
図 19 : サポー ト デ ィ レ ク ト リ の生成
GTH ラ ッ パーの変更
バージ ョ ン 3.0 の ウ ィ ザー ド が生成する GTH ラ ッ パーお よ び GTH コ モン ラ ッ パーは、 SDI と 互換性
を持つ よ う に手動で一部を変更す る 必要があ り ます。 こ のセ ク シ ョ ンでは、 こ れ ら ラ ッ パー フ ァ イ ルに
必要な変更について説明 し ます。
バージ ョ ン 3.0 の ウ ィ ザー ド で生成 さ れ る <component_name>_common.v/vhd と い う GTH コ モ ン
ラ ッ パーには不正なパ ラ メ ー タ ーがあ り 、 こ れが原因で QPLL が誤っ た周波数で動作 し ます。 そのパ ラ
メ ー タ ーは QPLL_FBDIV_TOP です。 148.5MHz ま たは 148.5/1.001MHz の基準 ク ロ ッ ク を使用 し て
い る 場合、 SDI 用の こ のパ ラ メ ー タ ーの正 し い値は 80 です。
QPLL_FBDIV_TOP パ ラ メ ー タ ーを使用 し 、 GTHE2_COMMON プ リ ミ テ ィ ブの QPLL_FBDIV パ ラ
メ ー タ ーお よ び QPLL_FBDIV_RATIO パ ラ メ ー タ ーの 正 し い 値 を 算出 し ま す。 148.5MHz ま た は
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
31
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
148.5/1.001MHz 以 外 の 基準 ク ロ ッ ク 周波数 を 使用す る 場合、 QPLL_FBDIV パ ラ メ ー タ ー と
QPLL_FBDIV_RATIO パ ラ メ ー タ ーの正 し い値を判断する 詳細な方法については、 『7 シ リ ーズ FPGA
GTX/GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG476) [参照 1] を参照 し て く だ さ い。バージ ョ ン 3.1 の
ウ ィ ザー ド には、 こ の問題の修正が含まれ る 予定です。
<component_name>_gt.v/.vhd と い う 最下層の GTH ラ ッ パーは、 SD-SDI モー ド で LPM イ コ ラ イ ザー
の自動適応機能がオ フ にな る よ う 一部変更す る 必要があ り ま す。 GTH ラ ッ パーを変更す る には、 こ の
ラ ッ パー内に あ る
rxcdrhold_in 入力信号 を 同 ラ ッ パ ー内 で イ ン ス タ ン シ エ ー ト さ れ て い る
GTHE2_CHANNEL プ リ ミ テ ィ ブの次の 3 つのポー ト に接続 し ます。
• RXOSOVRDEN
• RXLPMHFOVRDEN
• RXLPMLFKLOVRDEN
こ れ ら 3 つのポー ト はデフ ォ ル ト で tied_to_ground_i と い う ネ ッ ト に接続 さ れてい ます。 こ れ ら のポー
ト に接続 さ れてい る 信号を rxcdrhold_in に変更 し ます。こ のアプ リ ケーシ ョ ン ノ ー ト に含まれ る デモン
ス ト レーシ ョ ン アプ リ ケーシ ョ ンで提供 さ れ る GTH ラ ッ パーは、 例 と し て使用で き る よ う に こ れ ら の
変更が加え ら れてい ます。
SMPTE SD/HD/3G-SDI IP LogicCORE の生成
Vivado IP カ タ ロ グ を使用 し て SMPTE SD/HD/3G-SDI コ ア を生成 し ます。SMPTE SD/HD/3G-SDI コ
アは、 IP カ タ ロ グの [Video and Image Processing] フ ォ ルダーにあ り ます。
SDI コ アは ソ ース コ ー ド で提供 さ れ、 コ ンパ イ ル済みの コ アではあ り ません。 SDI コ ア を生成する と 、
SDI コ ア用の Verilog ソ ース コ ー ド フ ァ イ ルを含むフ ォ ルダーが作成 さ れます。
SDI コ アの生成時に利用で き る 唯一のオプシ ョ ンは、 RX 部分に EDH ( エ ラ ー検出 と 処理) プ ロ セ ッ サ
を含め る か否かです。
GTH および SDI ラ ッ パーのイ ン ス タ ン シ エー ト
GTH ラ ッ パー と SDI ラ ッ パーは、ユーザー デザ イ ンで イ ン ス タ ンシエー ト し て接続する必要があ り ます。
こ のアプ リ ケーシ ョ ン ノ ー ト で提供する SDI ラ ッ パーを使用せずに SDI イ ン ターフ ェ イ ス を実装する こ
と も 可能ですが、 ラ ッ パーは SDI 制御モジ ュール と SDI コ ア を相互接続する ため、 こ れを使用し た方が
簡単にな り ます。 ラ ッ パーを使用し ない場合は、 ユーザーが こ れ ら をすべて接続し ます。 標準の SDI ラ ッ
パー フ ァ イルは、 v7gth_sdi_rxtx_wrapper.v と 呼ばれます。 RX EDH プ ロ セ ッ サな し の SDI コ ア を
生成す る 場合に使用 し な ければな ら な い v7gth_sdi_rxtx_noedh_wrapper.v と い う 代替 SDI ラ ッ
パー フ ァ イル も あ り ます。
SDI コ アのほかに、 SDI ラ ッ パーは次の フ ァ イ ルを イ ン ス タ ン シエー ト し ます。
•
v7gth_sdi_control.v
•
v7gth_tx_control.v
•
v7gth_tx_control_sequence.v
•
v7gth_tx_control_fsm.v
•
v7gth_sdi_drp_control.v
•
v7gth_sdi_drp_arbit.v
•
v7gth_sdi_rx_reset_control.v
•
sdi_rate_detect.v
•
sdi_control_sync_block.v
•
dru_bshift10to10.v
•
dru_maskencoder.v
•
dru_control.v
•
dru_rot20.v
•
dru.v
dru.v フ ァ イ ルは空のモジ ュ ールで、 Verilog で コ ンパ イ ル済みの dru.ngc フ ァ イ ルでポー ト を指定
し ま す。 SDI ラ ッ パーを使用す る 場合は、 プ ロ ジ ェ ク ト に dru.v を追加す る 必要が あ り ま す。 Verilog
フ ァ イ ルの追加 と 同 じ よ う に、 コ ン パ イ ル さ れた実際の DRU モ ジ ュ ールで あ る dru.ngc も ソ ー ス
フ ァ イ ル と し てプ ロ ジ ェ ク ト に追加す る 必要があ り ます。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
32
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
注記 : こ のアプ リ ケーシ ョ ン ノ ー ト に含まれ る dru_sim.v フ ァ イ ルは、実際の FPGA で使用す る デザ
イ ンに使用で き ません。 こ の フ ァ イ ルは、 シ ミ ュ レーシ ョ ン で使用す る こ と を目的 と し てい ます。 実際
のハー ド ウ ェ ア イ ンプ リ メ ン テーシ ョ ンで使用す る と 、SDI レ シーバーで SD-SDI 信号を正 し く 受信で
き ない こ と があ り ま す。 シ ミ ュ レーシ ョ ン用には、 dru.v フ ァ イ ル と dru.ngc フ ァ イ ルの代わ り に、
dru_sim.v フ ァ イ ルをデザ イ ンに追加で き ます。
重要 : SDI ラ ッ パーには、 SMPTE SD/HD/3G-SDI コ ア の イ ン ス タ ン ス が含 ま れてい ま す。 SDI ラ ッ
パーに コ アが イ ン ス タ ン シエー ト さ れてい る 場所で SDI コ アの生成時 コ アに与え ら れた名前が使用 さ
れ る よ う に、 SDI ラ ッ パーを変更す る 必要があ り ます。 こ れは、 SMPTE SDI コ ア を生成する 際に コ ン
ポーネ ン ト 名 smpte_sdi を使用する こ と で解決で き ます。
表 1 で、 SDI ラ ッ パーのすべてのポー ト について説明 し てい ます。 こ れは SDI コ アのポー ト リ ス ト と
類似 し てい ますが、 い く つか相違点があ り ます。 ま た、 GTH と SDI ラ ッ パーの接続方法の例は、 こ の
アプ リ ケーシ ョ ン ノ ー ト で提供す る SDI アプ リ ケーシ ョ ン例を参照 し て く だ さ い。
一部の信号は、 ビデオ サンプル周期の数サ イ ク ル間アサー ト さ れ る も の と し て説明 さ れてい ます。 ビデ
オ サンプル周期は、SDI モー ド に応 じ た適切な ク ロ ッ ク (tx_usrclk ま たは rx_usrclk) のサ イ ク ル数 と な
り ます。 HD-SDI お よ び 3G-SDI level A モー ド の場合、 サンプル周期は 1 ク ロ ッ ク サ イ ク ル続 き ます。
SD-SDI level B の場合、サンプル周期は 5 ま たは 6 ク ロ ッ ク サ イ ク ル続き 、ク ロ ッ ク イ ネーブル (tx_ce
ま た は rx_ce_sd) が ア サー ト さ れ る ク ロ ッ ク の立ち上が り エ ッ ジ で開始お よ び終了 し ま す。 3G-SDI
level B モー ド の場合、3G-SDI デー タ レデ ィ 信号 (tx_din_rdy ま たは rx_dout_rdy_3G) のアサー ト で制
御 さ れ、 サンプル周期は 2 ク ロ ッ ク サ イ ク ル間 と な り ます。
こ の リ ス ト にあ る ほ と ん ど の RX お よ び TX ポー ト は、 SDI ラ ッ パーに イ ン ス タ ン シエー ト さ れた SDI
コ ア と 同 じ 名前のポー ト へ直接接続 さ れます。 ビデオ信号お よ びビデオ タ イ ミ ン グ信号の タ イ ミ ン グ図
は、 『SMPTE SD/HD/3G-SDI 製品ガ イ ド 』 (PG071) [参照 4] を参照 し て く だ さ い。
表 1 : SDI ラ ッ パーのポー ト リ ス ト
ポー ト 名
clk
I/O
入力
幅
説明
1
固定周波数の フ リ ー ラ ン ニ ン グ ク ロ ッ ク へ接続す る 必要があ り ます。 こ の ク ロ ッ ク は、 さ
ま ざ ま な タ イ ミ ン グ目的 と し て SDI ラ ッ パーで使用 さ れ ま す。 こ の ク ロ ッ ク の周波数は、
FXDCLK_FREQ パ ラ メ ー タ ーで指定 さ れ ま す。 こ の ク ロ ッ ク 周波数が FXDCLK_FREQ
で指定 し た周波数 と 正確に一致 し ない場合は、ラ ッ パーで生成 さ れた タ イ ミ ン グ遅延が不正
で、 RX ビ ッ ト レー ト 検出回路が機能 し ていない可能性があ り ます。
多 く の SDI イ ンプ リ メ ン テーシ ョ ンは、 同 じ グ ロ ーバル ク ロ ッ ク を使用 し 、 SDI ラ ッ パー
の clk ポー ト と gth_drpclk ポー ト お よ び GTH ラ ッ パーの drpclk ポー ト を駆動 し ます。 た
だ し 、 clk と drpclk は同 じ clk と な る 必要はあ り ません。
1
こ の同期 リ セ ッ ト 入力は SDI コ アの レ シーバー部を リ セ ッ ト し ます。 リ セ ッ ト は必要ない
ため、通常は Low に接続で き ます。 FPGA の コ ン フ ィ ギ ュ レーシ ョ ンが完了 し てか ら GTH
RX が完全に初期化 さ れ る ま で、 SDI ラ ッ パーは SDI コ アの RX 部を リ セ ッ ト 状態に保持
し ま す。 rx_change_done 出力が ア サー ト さ れ る と GTH RX の初期化が完了 し 、 SMPTE
SDI コ アは完全な動作モー ド と な る ため、 リ セ ッ ト は必要あ り ません。
こ の入力は SDI コ アの レ シーバー部のみを リ セ ッ ト し ます。 GTH ト ラ ン シーバーのいずれ
の部分 も リ セ ッ ト さ れ る こ と はあ り ません。
レ シーバー を 完全に リ セ ッ ト す る た めに rx_rst を High に設定す る 場合は、 rx_ce_sd と
rx_din_rdy_3g の両方を High に し ます。
rx_rst を アサー ト する と 、 自動 SDI モー ド ロ ッ ク 検出機能を制御す る ス テー ト マシ ン も リ
セ ッ ト さ れます。SDI RX が単に ロ ッ ク さ れていない と い う 理由で rx_rst を アサー ト し ない
で く だ さ い。 アサー ト す る と 、 SDI RX は ロ ッ ク し な く な り ます。
1
GTH の rxusrclk 入力を駆動する 同 じ ク ロ ッ ク で こ の入力を駆動す る 必要があ り ます (一般
にグ ロ ーバル ク ロ ッ ク バ ッ フ ァ ーを介す る GTH の rxoutclk)。 ク ロ ッ ク 周波数は、 3G-SDI
お よ び SD-SDI モ ー ド で は 148.5MHz ( ま た は 148.5/1.001MHz)、 HD-SDI モ ー ド で は
74.25MHz ( ま たは 74.25/1.001MHz) と し ます。 特記のない限 り 、 名前の先頭に rx_ があ る
SDI ラ ッ パーのすべての入力お よ び出力は、 こ の ク ロ ッ ク と 同期 し ます。
受信ポー ト
rx_rst
rx_usrclk
入力
入力
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
33
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
表 1 : SDI ラ ッ パーのポー ト リ ス ト (続き )
ポー ト 名
rx_gth_full_reset
rx_gth_reset
rx_fabric_reset_out
I/O
入力
入力
出力
幅
説明
1
こ の入力が High にアサー ト さ れ る と 、 GTH RX の完全な リ セ ッ ト シーケ ン ス が開始 し ま
す。 まず、 こ のモジ ュ ールの gth_rxpllreset 出力が GTH PLL リ セ ッ ト 入力に接続 さ れ る と 、
PLL が リ セ ッ ト さ れ ます。 PLL が基準 ク ロ ッ ク 入力に ロ ッ ク さ れた後、 GTH ト ラ ン シー
バーの gtrxreset を使用 し て GTH RX が リ セ ッ ト さ れます。 rx_change_done 出力がアサー
ト さ れ る と 、 こ の リ セ ッ ト シーケ ン ス は完了 し ます。 GTH RX と 関連す る PLL を リ セ ッ
ト す る には、 こ の入力を High にアサー ト し 、 SDI ラ ッ パーが gth_gtrxreset を High にア
サ ー ト す る ま で High に 保持す る 必要 が あ り ま す。 gth_gtrxreset が High に な っ た ら 、
rx_gth_full_reset を Low に駆動 し ます。 rx_gth_full_reset が High の間 リ セ ッ ト シーケ ン
ス は継続 し ません。
こ の入力に接続 さ れ る 信号は gth_drpclk ク ロ ッ ク と 同期する 必要があ り ます。
1
こ の入力が High にアサー ト さ れ る と 、 GTH の gtrxreset を使用 し て GTH RX が リ セ ッ ト
さ れます。 GTH RX にシ リ アル ク ロ ッ ク を供給す る PLL が ロ ッ ク さ れていない場合は、 こ
の PLL が ロ ッ ク さ れ る ま で gtrxreset シーケ ン ス は完了 し ません。 rx_change_done 出力が
アサー ト さ れ る と 、 こ の リ セ ッ ト シーケ ン ス は完了 し ます。 GTH RX を リ セ ッ ト す る には、
こ の入力を High にアサー ト し 、 SDI ラ ッ パーが gth_gtrxreset を High にアサー ト す る ま で
High に保持す る 必要があ り ます。 gth_gtrxreset が High にな っ た ら 、 rx_gth_reset を Low
に駆動 し ます。 rx_gth_reset が High の間 リ セ ッ ト シーケ ン ス は継続 し ません。
こ の入力に接続 さ れ る 信号は gth_drpclk ク ロ ッ ク と 同期する 必要があ り ます。
1
FPGA コ ン フ ィ ギ ュ レーシ ョ ン完了後に こ の出力は High にアサー ト さ れ、 GTH RX が完
全に初期化 さ れ る ま で High の ま ま です。 こ の初期化の一部期間で GTH rxoutclk に問題が
発生す る 可能性があ り ます。rxoutclk に よ っ て ク ロ ッ ク 供給 さ れ る モジ ュ ールに問題が発生
し ない よ う 、 rx_fabric_reset_out 信号を使用 し て初期化の該当す る 期間に こ れ ら のモジ ュ ー
ルを リ セ ッ ト 状態に保持で き ます。
rx_refclk_stable
入力
1
RX 初期化 ロ ジ ッ ク は こ の入力を使用 し 、 PLL の基準 ク ロ ッ ク が安定す る ま で、 GTH RX
にシ リ アル ク ロ ッ ク を供給す る PLL を リ セ ッ ト 状態に保持 し ます。 こ の SDI ラ ッ パーが
PLL リ セ ッ ト を制御 し てい る 場合は、 PLL 基準 ク ロ ッ ク が安定す る ま で、 rx_refclk_stable
入力を Low に保持す る 必要があ り ます。こ の入力に よ っ て PLL リ セ ッ ト が開始す る こ と は
あ り ません。 rx_refclk_stable 入力が High にな る ま で、 rx_gth_full_reset 入力に よ っ て開始
さ れた PLL リ セ ッ ト シーケ ン ス の完了を遅延 さ せる だけです。
こ の入力は非同期入力 と し て処理 さ れます。
rx_frame_en
rx_mode_en
rx_mode
入力
入力
出力
XAPP1187 (v1.0) 2014 年 2 月 21 日
1
SDI フ レーマー機能を有効に し ます。 High の と き に、 自動的に出力ワー ド ア ラ イ メ ン ト を
EAV ま たは SAV と い う それぞれの タ イ ミ ン グ基準信号 (TRS) のア ラ イ メ ン ト と 一致す る
よ う に フ レーマーが再調整 し ます。 通常は、 常に High と な り ます。 ただ し 、 正 し く 制御 さ
れてい る 場合は、 こ の入力を使用 し て TRS ア ラ イ メ ン ト の フ ィ ル タ リ ン グが可能です。 た
と えば、 rx_nsp 出力を rx_frame_en 入力に接続 し た場合、 フ レーマーは揃っ ていない 1 つ
の TRS 信号を無視 し 、 2 つ目に一致 し た TRS に よ っ て新たな ワ ー ド ア ラ イ メ ン ト が確認
さ れ る ま で、 現在の ワ ー ド ア ラ イ メ ン ト を保持 し ます。 TRS ア ラ イ メ ン ト フ ィ ル タ リ ン グ
機能を用い る 場合は、 同期ス イ ッ チン グ ラ イ ン で rx_frame_en 入力を High に し 、 それ ら
の ラ イ ン上の TRS フ ィ ル タ リ ン グ機能をすべて無効にする 必要があ り ます。
3
こ のポー ト には、 3 つの SDI モー ド の受信を それぞれ有効にす る ためのビ ッ ト があ り ます。
• ビ ッ ト 0 は HD-SDI モー ド を有効にする
• ビ ッ ト 1 は SD-SDI モー ド を有効にす る
• ビ ッ ト 2 は 3G-SDI モー ド を有効にする
あ る ビ ッ ト が High の場合、 SDI RX が入力信号に ロ ッ ク し てい ない と き に、 正 し い SDI
モー ド の検出に対応する SDI モー ド が含まれます。 あ る ビ ッ ト が Low の場合、 SDI RX は
そのモー ド の入力 SDI 信号を検出 し ません。 こ れ ら のビ ッ ト で未使用 SDI モー ド を無効に
す る こ と で、 モー ド 変更時に SDI RX が入力信号へ ロ ッ ク す る ま での時間を短縮で き ます。
2
レ シーバーが ロ ッ ク さ れていない場合は、 SDI RX が正 し い SDI モー ド を検索 し 、 こ のポー
ト の値が変更 さ れます。 こ の際、 x_mode_locked 出力は Low にな り ます。 SDI RX が正 し
い SDI モー ド を検出す る と 、 rx_mode_locked 出力が High に遷移 し 、 こ のポー ト が入力
SDI 信号のモー ド を示 し ます。
japan.xilinx.com
34
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
表 1 : SDI ラ ッ パーのポー ト リ ス ト (続き )
ポー ト 名
rx_mode_hd
rx_mode_sd
rx_mode_3g
rx_mode_locked
I/O
出力
出力
幅
説明
1
こ れ ら 3 つの出力ポー ト は、 rx_mode ポー ト のデ コ ー ド さ れたバージ ョ ン です。 SDI RX が
入力信号を検出 し て ロ ッ ク す る ま で次々 と 変更 さ れ る rx_mode ポー ト と は異な り 、SDI RX
が ロ ッ ク さ れていない場合、 こ れ ら の出力はすべて Low にな り ます。 rx_mode_locked が
High に遷移する と 、 SDI RX の現在の SDI モー ド と 一致 し た出力が Hgih にな り ます。
1
こ の出力が Low の場合、SDI RX は入力デー タ ス ト リ ーム と 一致す る SDI モー ド を積極的
に検索 し ま す。 こ の際、 rx_mode 出力ポー ト の値は頻繁に変化 し ます。 SDI RX が現在の
SDI モー ド に ロ ッ ク す る と 、 こ のポー ト の出力が High にな り ます。 こ れは、 SDI モー ド 検
出 ロ ジ ッ ク 内で何が起 こ っ てい る か を示す低レベルの ロ ッ ク 信号です。 入力 SDI 信号が未
接続の場合、 ラ ン ダ ム ノ イ ズが有効なデー タ と 解釈 さ れ る ため、 時折 こ の信号を一時的に
High にアサー ト する のが一般的です。
rx_bit_rate
出力
1
次に示す と お り 、 こ の出力ポー ト は HD-SDI お よ び 3G-SDI モー ド で受信 さ れてい る ビ ッ
ト レー ト を示 し ます。 こ の出力は SD-SDI モー ド では無効です。
HD-SDI モー ド の場合 :
• rx_bit_rate = 0 : ビ ッ ト レー ト = 1.485Gb/s
• rx_bit_rate = 1 : ビ ッ ト レー ト = 1.485/1.001Gb/s
3G-SDI モー ド の場合 :
• rx_bit_rate = 0 : ビ ッ ト レー ト = 2.97Gb/s
• rx_bit_rate = 1 : ビ ッ ト レー ト = 2.97/1.001Gb/s
rx_t_locked
出力
1
SDI RX で伝送検出機能が SDI 信号の伝送フ ォーマ ッ ト を認識する と High にな り ます。
SDI イ ン タ ーフ ェ イ ス で転送信号 と し て使用 さ れてい る ビデオ信号の フ ァ ミ リ を示 し ます。
こ の出力は、 rx_t_locked が High の場合のみ有効です。 こ のポー ト は、 転送 さ れてい る 画
rx_t_family
出力
4
rx_t_rate
出力
4
rx_t_scan
出力
1
rx_level_b_3g
出力
1
入力 3G-SDI 信号が level B の場合 High にアサー ト さ れ、 3G-SDI level A の場合 Low に
ア サ ー ト さ れ ま す。 こ の 出力 は、 SDI RX が 3G-SDI 信号 に ロ ッ ク し て い る 場合
(rx_mode_3g が High) のみ有効です。
1
SD-SDI モー ド で使用 さ れ る ク ロ ッ ク イ ネーブル信号です。 こ の出力は、 SD-SDI モー ド の
場合 に 平均 し て 5.5 サ イ ク ル 中 に 1 サ イ ク ル (rx_usclk) の 割合 で ア サ ー ト さ れ ま す。
rx_ds1a ポー ト の SD-SDI デー タ ス ト リ ー ム お よ び rx ビ デオ タ イ ミ ン グ 信号 (rx_trs、
rx_eav、 お よ び rx_sav) は、 SD-SDI モー ド で rx_ce_sd が High の場合のみ有効です。 その
他の SDI モー ド では常に High と な り ます。
1
こ の出力が High の場合、 SDI フ レーマーが新 し い ワー ド ア ラ イ メ ン ト で TRS (EAV ま た
は SAV) を検出 し た こ と を示 し ます。 rx_frame_en が High の場合、 こ の出力はビデオ サン
プル周期の 1 サ イ ク ル間のみアサー ト さ れます。 rx_frame_en が Low の場合、 こ の出力は
フ レーマーが新 し い TRS ア ラ イ メ ン ト に再調整で き る よ う にな る ま で (TRS が生 じ てい る
間に rx_frame_en を アサー ト す る ) High を保持 し ます。
rx_ce_sd
rx_nsp
rx_line_a
出力
出力
出力
11
像のビデオ フ ォーマ ッ ト を必ず し も 認識す る と は限 ら ず、転送の特性のみを認識 し ます。 こ
のポー ト のエン コ ー ド については、 表 3 を参照 し て く だ さ い。
SDI 転送信号の フ レーム レー ト を示 し ます。 こ れは、 実際の画像の フ レーム レー ト と 同 じ
にな る と は限 り ません。 こ のポー ト のエン コ ー ド については、 表 4 を参照 し て く だ さ い。 こ
の出力は、 rx_t_locked が High の場合のみ有効です。
SDI 転送信号が イ ン タ ー レ ー ス (Low) ま たはプ ロ グ レ ッ シブ (High) のいずれか を示 し ま
す。 こ れは、 実際の画像の ス キ ャ ン モー ド と 同 じ に な る と は限 り ま せん。 こ の出力は、
rx_t_locked が High の場合のみ有効です。
SDI 入力信号の Y デー タ ス ト リ ームの LN ワー ド か ら キ ャ プチ ャ し た現在の ラ イ ン番号が
こ のポー ト に出力 さ れ ま す。 こ の出力は、 HD-SDI お よ び 3G-SDI モー ド で有効 と な り 、
SD-SDI モー ド では無効 と な り ます。 3G-SDI level B-DL の場合、 出力値は リ ン ク A の Y
デー タ ス ト リ ームか ら キ ャ プチ ャ し た ラ イ ン番号 と な り ます。 3G-SDi level B-DS の場合、
出力値は HD-SDI 信号 1 か ら キ ャ プチ ャ し た ラ イ ン番号 と な り ます。 3G-SDI level B-DL
ま たはデュ アル リ ン ク HD-SDI での1080p 60Hz 転送な ど、 イ ン タ ーフ ェ イ ス の ラ イ ン番
号が画像の ラ イ ン番号 と 同 じ ではない場合は、こ のポー ト の出力値は常に イ ン タ ーフ ェ イ ス
の ラ イ ン番号 と な り ます (画像の ラ イ ン番号ではない)。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
35
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
表 1 : SDI ラ ッ パーのポー ト リ ス ト (続き )
ポー ト 名
I/O
幅
説明
rx_a_vpid
出力
32
デー タ ス ト リ ーム 1 か ら の SMPTE ST 352 ペ イ ロ ー ド ID パケ ッ ト の 4 つすべてのデー タ
バ イ ト が こ のポー ト に出力 さ れます。 フ ォーマ ッ ト は次の と お り です。 MS バ イ ト か ら LS
バ イ ト : バ イ ト 4、 バ イ ト 3、 バ イ ト 2、 バ イ ト 1。 こ の出力は、 rx_a_vpid_valid が High の
場合のみ有効です。 こ のポー ト は、 すべての SDI モー ド で有効にな る 可能性があ り ますが、
SDI 信号で ST 352 パケ ッ ト が統合 さ れてい る 場合のみ該当 し ます。 3G-SDI level A では、
出力デー タ はデー タ ス ト リ ーム 1 (luma) か ら キ ャ プチ ャ し た ST 352 デー タ バ イ ト と な り
ま す。 3G-SDI level B-DL の場合、 出力デー タ は、 リ ン ク A のデー タ ス ト リ ーム 1 か ら
キ ャ プチ ャ さ れた ST 352 デー タ バ イ ト と な り ます。 3G-SDI level B-DS の場合、 出力デー
タ は、 HD-SDI 信号 1 か ら キ ャ プチ ャ さ れた ST 352 デー タ バ イ ト と な り ます。
rx_a_vpid_valid
出力
1
rx_a_vpid が有効の場合に High と な り ます。 SDI RX が ロ ッ ク さ れていない場合は、 有効
な出力 と し てみな さ れません。
rx_b_vpid
出力
32
デー タ ス ト リ ーム 2 か ら の SMPTE ST 352 ペ イ ロ ー ド ID パケ ッ ト の 4 つすべてのデー タ
バ イ ト が こ のポー ト に出力 さ れます。 フ ォーマ ッ ト は次の と お り です。 MS バ イ ト か ら LS
バ イ ト : バ イ ト 4、 バ イ ト 3、 バ イ ト 2、 バ イ ト 1。 こ の 出 力 は、 3G-SDI モ ー ド で、
rx_b_vpid_valid が High の場合のみ有効です。 3G-SDI level A では、 出力デー タ はデー タ
ス ト リ ーム 2 (chroma) か ら キ ャ プチ ャ し た ST 352 デー タ バ イ ト と な り ます。3G-SDI level
B-DL の場合、 出力デー タ は、 リ ン ク B のデー タ ス ト リ ーム 1 か ら キ ャ プチ ャ さ れた ST
352 デー タ バ イ ト と な り ます。 3G-SDI level B-DS の場合、 出力デー タ は、 HD-SDI 信号 2
か ら キ ャ プチ ャ さ れた ST 352 デー タ バ イ ト と な り ます。
rx_b_vpid_valid
出力
1
rx_b_vpid が有効の場合に High と な り ます。 SDI RX が ロ ッ ク さ れていない場合は、 有効
1
前画像の ラ イ ンで CRC エ ラ ーが検出 さ れた と き に High を 1 ビデオ サンプル周期間アサー
ト し ま す。 3G-SDI level B では、 こ の出力は リ ン ク A のみの CRC エ ラ ー を 示 し ま す。
3G-SDI level B では、 こ の出力は HD-SDI ス ト リ ーム 1 のみの CRC エ ラ ーを示 し ます。
3G-SDI level B-DL モー ド の リ ン ク B お よ び 3G-SDI level B-DS モー ド の HD-SDI ス ト
リ ーム 2 に CRC エ ラ ーが発生 し た こ と を示す、rx_crc_err_b と い う も う 1 つの出力があ り
ます。 こ の出力は SD-SDI モー ド では無効です。
CRC エ ラ ー出力は、 前画像の ラ イ ンで CRC エ ラ ーが検出 さ れ る と 、 1 ビデオ ラ イ ン周期
間 High にアサー ト さ れ ます。 rx_eav 信号がアサー ト さ れ る ビデオ サンプルの タ イ ミ ン グ
か ら rx_crc_err_a 信号の値が変更 さ れ る ま での レ イ テ ン シは SDI モー ド に よ っ て異な り 、6
ま たは 7 ビデオ サンプル周期 と な り ます。
10
回復 さ れた SDI デー タ ス ト リ ーム 1 が こ のポー ト に出力 さ れます。こ のデー タ ス ト リ ーム
の内容は、 SDI モー ド に よ っ て異な り ます。
• SD-SDI : 多重化 Y/CB/CR コ ン ポーテ ン ト
• HD-SDI : Y コ ン ポーネ ン ト
• 3G-SDI level A : デー タ ス ト リ ーム 1
• 3G-SDI level B-DL : リ ン ク A のデー タ ス ト リ ーム 1
• 3G-SDI level B-DS : HD-SDI 信号 1 の Y コ ン ポーネ ン ト
rx_crc_err_a
rx_ds1a
出力
出力
な出力 と し てみな さ れません。
rx_ds2a
出力
10
回復 さ れた SDI デー タ ス ト リ ーム 2 が こ のポー ト に出力 さ れます。こ のデー タ ス ト リ ーム
の内容は、 SDI モー ド に よ っ て異な り ます。
• SD-SDI : 使用 し ない
• HD-SDI : イ ン タ ー リ ーブ さ れた CB お よ び CR コ ン ポーネ ン ト
• 3G-SDI level A : デー タ ス ト リ ーム 2
• 3G-SDI level B-DL : リ ン ク A のデー タ ス ト リ ーム 2
• 3G-SDI level B-DS : HD-SDI 信号 1 の イ ン タ ー リ ーブ さ れた CB お よ び CR コ ン ポーネ
ント
rx_eav
出力
1
デー タ ス ト リ ーム出力ポー ト (rx_ds1a、rx_ds2a、rx_ds1b、お よ び/ ま たは rx_ds2b) に EAV
の XYZ ワー ド が現れ る と 、こ の出力が 1 ビデオ サンプル周期間 High にアサー ト さ れます。
rx_sav
出力
1
デー タ ス ト リ ーム出力ポー ト に SAV の XYZ ワー ド が現れ る と 、こ の出力が 1 ビデオ サン
プル周期間 High にアサー ト さ れます。
rx_trs
出力
1
連続 し て 4 ビデオ サンプル周期間 High にアサー ト さ れ、 EAV ま たは SAV の 4 ワー ド す
べてがデー タ ス ト リ ーム ポー ト に出力 さ れます。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
36
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
表 1 : SDI ラ ッ パーのポー ト リ ス ト (続き )
ポー ト 名
rx_line_b
rx_dout_rdy_3g
I/O
出力
出力
幅
説明
11
3G-SDI level B の場合のみ有効で、 出力値は、 リ ン ク B (level B-DL) ま たは HD-SDI 信号
2 (level B-DS) の Y デー タ ス ト リ ームの ラ イ ン番号です。 イ ン タ ーフ ェ イ ス の ラ イ ン番号
1
が画像の ラ イ ン番号 と 同 じ でない場合は、こ のポー ト の出力値は常に イ ン タ ーフ ェ イ ス の ラ
イ ン番号 と な り ます (画像の ラ イ ン番号ではない)。
3G-SDI level B モー ド の場合、 出力デー タ レー ト は 74.25MHz ですが、 rx_usrclk 周波数
は 148.5MHz です。 こ の出力は、 3G-SDI level B モー ド で rx_usrclk の 1 サ イ ク ルお き に
アサー ト さ れます。 こ の出力が High の と き にデー タ ス ト リ ーム と ビデオ タ イ ミ ン グ出力
が有効にな り ます。 その他の SDI モー ド の場合は常に High に設定 さ れ、 ダ ウ ン ス ト リ ーム
モジ ュ ール用の ク ロ ッ ク イ ネーブル と し て利用で き ます。
3G-SDI level B モー ド でのみ有効な CRC エ ラ ー イ ン ジ ケー タ ーです。 3G-SDI B-DL 信号
の リ ン ク B お よ び 3G-SDI level B-DS 信号の HD-SDI 信号 2 で CRC エ ラ ーが検出 さ れた
rx_crc_err_b
出力
1
こ と を示 し ます。
rx_crc_err_a 信号 と 同 じ タ イ ミ ン グです。
3G-SDI B-DL と B-DS を区別する には、 rx_a_vpid ま たは rx_b_vpid ポー ト に出力 さ れ る
値をデ コ ー ド する 必要があ り ます。
3G-SDI level B モー ド の場合のみ有効です。 こ のポー ト に出力 さ れ る デー タ ス ト リ ームは
rx_ds1b
出力
10
次の と お り です。
• 3G-SDI level B-DL : リ ン ク B のデー タ ス ト リ ーム 1
• 3G-SDI level B-DS : HD-SDI 信号 2 の Y コ ン ポーネ ン ト
3G-SDI level B モー ド の場合のみ有効です。 こ のポー ト に出力 さ れ る デー タ ス ト リ ームは
次の と お り です。
rx_ds2b
出力
10
• 3G-SDI level B-DL : リ ン ク B のデー タ ス ト リ ーム 2
• 3G-SDI level B-DS : HD-SDI 信号 2 の イ ン タ ー リ ーブ さ れた CB お よ び CR コ ン ポーネ
ント
rx_edh_errcnt_en
入力
16
EDH カ ウ ン タ ーを イ ン ク リ メ ン ト す る EDH エ ラ ー条件を制御 し ます。 詳細は、 表 5 を参
rx_edh_clr_errcnt
入力
1
こ の入力が High に遷移する と EDH エ ラ ー カ ウ ン タ ーが ク リ ア さ れます。 EDH エ ラ ー カ
ウ ン タ ーは、 x_edh_clr_errcnt と rx_ce_sd の両方が High の場合に rx_usrclk の立ち上が り
エ ッ ジで ク リ ア さ れます。 (1)
rx_edh_ap
出力
1
前の フ ィ ール ド 用に計算 さ れた ア ク テ ィ ブ画像 (AP) CRC が EDH パケ ッ ト の AP CRC 値
と 一致 し ていない場合に High にアサー ト さ れます。 (1)
rx_edh_ff
出力
1
前の フ ィ ール ド 用に計算 さ れた フル フ ィ ール ド (FF) CRC が EDH パケ ッ ト の AP CRC 値
と 一致 し ていない場合に High にアサー ト さ れます。 (1)
rx_edh_anc
出力
1
補助デー タ パケ ッ ト チ ェ ッ ク サム エ ラ ーが検出 さ れ る と High にアサー ト さ れます。 (1)
rx_edh_ap_flags
出力
5
こ のポー ト には、 最 も 間近に受信 し た EDH パケ ッ ト か ら のア ク テ ィ ブ画像エ ラ ー フ ラ グ
ビ ッ ト が出力 さ れます。 詳細は、 表 6 を参照 し て く だ さ い。 (1)
rx_edh_ff_flags
出力
5
こ のポー ト には、 最 も 間近に受信 し た EDH パケ ッ ト か ら の フル フ ィ ール ド エ ラ ー フ ラ グ
ビ ッ ト が出力 さ れます。 詳細は、 表 6 を参照 し て く だ さ い。 (1)
rx_edh_anc_flags
出力
5
こ のポー ト には、 最 も 間近に受信 し た EDH パケ ッ ト か ら の補助デー タ エ ラ ー フ ラ グ ビ ッ
ト が出力 さ れます。 詳細は、 表 6 を参照 し て く だ さ い。 (1)
rx_edh_packet_flags
出力
4
こ のポー ト には、 最 も 間近に受信 し た EDH パケ ッ ト に関連す る 4 つのエ ラ ー フ ラ グが出
力 さ れます。 詳細は、 表 7 を参照 し て く だ さ い。 (1)
16
SD-SDI EDH エ ラ ー カ ウ ン タ ーです。 rx_edh_err_en ポー ト に よ っ て有効にな っ たエ ラ ー
条件が生 じ る と 、 各フ ィ ール ド を イ ン ク リ メ ン ト し ます。 その フ ィ ール ド 間に複数のエ ラ ー
が発生 し て も 、 カ ウ ン タ ーはフ ィ ール ド につ き 多 く て も 1 回 し か イ ン ク リ メ ン ト し ません。
rx_edh_errcnt
出力
照 し て く だ さ い。 (1)
(1)
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
37
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
表 1 : SDI ラ ッ パーのポー ト リ ス ト (続き )
ポー ト 名
rx_change_done
I/O
出力
幅
説明
1
GTH RX が初期化 さ れてい る 間、 リ セ ッ ト さ れてい る 間、 ま たは SDI モー ド 間で動的に切
り 替え ら れてい る 間、 こ の出力は Low にな り ます。 初期化、 リ セ ッ ト 、 ま たは動的な変更
シーケ ン ス が問題な く 完了す る と 、 rx_change_done 出力は High にアサー ト さ れます。
こ の出力は gth_drpclk に同期 し ます。
rx_change_fail
出力
1
通常モー ド では、 こ の出力は常に Low です。 制御モジ ュ ールが GTH RX の初期化、 リ セ ッ
ト 、 ま たは SDI モー ド の変更を正常に終了で き なかっ た場合にのみ High にな り ます。 こ の
ポー ト が
High
に ア サ ー ト さ れ、
よ う な エ ラ ー が 発生す る と 、 rx_change_fail
rx_change_fail_code ポー ト に よ っ て こ のエ ラ ーの種類が示 さ れます。
エ ラ ーが発生 し た場合、 rx_gth_full_reset 入力を使用 し て GTH RX を リ セ ッ ト す る 必要が
あ り ます。
こ の出力は gth_drpclk に同期 し ます。
rx_change_fail_code
出力
3
rx_change_fail ポー ト が High にな る と 、 rx_change_fail_code ポー ト はシーケ ン ス エ ラ ー
の種類を示 し ます。 こ のポー ト のエン コ ー ド については、 表 8 を参照 し て く だ さ い。
こ の出力は gth_drpclk に同期 し ます。
1
こ の同期 リ セ ッ ト 入力は SDI コ アの ト ラ ン ス ミ ッ タ ー部を リ セ ッ ト し ます。 ま た、 一般に
リ セ ッ ト が必要ないため、 通常は Low にハー ド 接続で き ま す。 FPGA コ ン フ ィ ギ ュ レ ー
シ ョ ン完了後、 SDI コ アの ト ラ ン ス ミ ッ タ ーは完全な動作モー ド と な る ため、 リ セ ッ ト は必
要あ り ません。
こ の入力は SDI コ アの ト ラ ン ス ミ ッ タ ー部のみを リ セ ッ ト し ます。 GTH ト ラ ン シーバーの
リ セ ッ ト は開始 し ません。
SDI コ アの ト ラ ン ス ミ ッ タ ー部を完全に リ セ ッ ト する ために tx_rst を High に設定す る 場合
は、 tx_ce と tx_din_rdy の両方を High に し ます。
送信ポー ト
tx_rst
tx_usrclk
入力
入力
1
GTH ト ラ ン シーバーの txusrclk ポー ト を駆動す る 同 じ ク ロ ッ ク で こ の入力を駆動す る 必要
があ り ます (一般にグ ロ ーバル ク ロ ッ ク バ ッ フ ァ ーを介す る GTH の txoutclk)。 HD-SDI
モー ド の場合の周波数は 74.25MHz ま たは 74.25/1.001MHz、 3G-SDI モー ド の周波数は
148.5MHz ま たは 148.5/1.001MHz、 そ し て SD-SDI モー ド の周波数は 148.5MHz に し ま
す。 SD-SDI モー ド の場合、 tx_usrclk 周波数 と tx_ce の組み合わせで 27MHz デー タ レー
ト を得 る 必要があ り ます。
特記のない限 り 、 名前の先頭に tx_ があ る SDI ラ ッ パーのすべての入力お よ び出力は、 こ
の ク ロ ッ ク と 同期 し ます。
tx_gth_full_reset
tx_gth_reset
入力
入力
1
1
こ の入力が High にアサー ト さ れ る と 、 GTH TX の完全な リ セ ッ ト シーケ ン ス が開始 し ま
す。 まず、 こ のモジ ュ ールの gth_txpllreset 出力が GTH PLL リ セ ッ ト 入力に接続 さ れ る と 、
PLL が リ セ ッ ト さ れ ます。 PLL が基準 ク ロ ッ ク 入力に ロ ッ ク さ れた後、 GTH ト ラ ン シー
バーの gttxreset を使用 し て GTH TX が リ セ ッ ト さ れます。 tx_change_done 出力がアサー
ト さ れ る と 、 こ の リ セ ッ ト シーケ ン スは完了 し ます。 GTH TX と それに関連する PLL を リ
セ ッ ト す る には、 こ の入力を High にアサー ト し 、 SDI ラ ッ パーが gth_gttxreset を High に
ア サー ト す る ま で High に保持す る 必要が あ り ま す。 gth_gttxreset が High に な っ た ら 、
tx_gth_full_reset を Low に駆動 し ます。 tx_gth_full_reset が High の間 リ セ ッ ト シーケ ン
ス は継続 し ません。
こ の入力に接続 さ れ る 信号は gth_drpclk ク ロ ッ ク と 同期する 必要があ り ます。
こ の入力が High にアサー ト さ れ る と 、 GTH ト ラ ン シーバーの gttxreset を使用 し て GTH
TX が リ セ ッ ト さ れます。 GTH TX にシ リ アル ク ロ ッ ク を供給する PLL が ロ ッ ク さ れてい
な い 場合 は、 こ の PLL が ロ ッ ク さ れ る ま で gttxreset シ ー ケ ン ス は 完 了 し ま せ ん。
tx_change_done 出力がアサー ト さ れ る と 、 こ の リ セ ッ ト シーケ ン ス は完了 し ま す。 GTH
TX を リ セ ッ ト する には、 こ の入力を High にアサー ト し 、 SDI ラ ッ パーが gth_gttxreset を
High にアサー ト する ま で High に保持する 必要があ り ます。gth_gttxreset が High にな っ た
ら 、 tx_gth_reset を Low に駆動 し ます。 tx_gth_reset が High の間 リ セ ッ ト シーケ ン ス は継
続 し ません。
こ の入力に接続 さ れ る 信号は gth_drpclk ク ロ ッ ク と 同期する 必要があ り ます。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
38
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
表 1 : SDI ラ ッ パーのポー ト リ ス ト (続き )
ポー ト 名
tx_refclk_stable
I/O
入力
幅
1
説明
TX 初期化 ロ ジ ッ ク は こ の入力を使用 し 、PLL の基準 ク ロ ッ ク が安定する ま で、GTH TX に
シ リ アル ク ロ ッ ク を供給する PLL を リ セ ッ ト 状態に保持 し ます。こ の SDI ラ ッ パーが PLL
リ セ ッ ト を制御 し てい る 場合は、 PLL 基準 ク ロ ッ ク が安定す る ま で、 tx_refclk_stable 入力
を Low に保持す る 必要があ り ます。こ の入力に よ っ て PLL リ セ ッ ト が開始す る こ と はあ り
ません。 tx_refclk_stable 入力が High にな る ま で、 tx_gth_full_reset 入力に よ っ て開始 さ れ
た PLL リ セ ッ ト シーケ ン ス の完了を遅延 さ せる だけです。
こ の入力は非同期入力 と し て処理 さ れます。
tx_ce
入力
3
SDI コ アの ト ラ ン ス ミ ッ タ ー部の ク ロ ッ ク イ ネーブル入力です。 HD-SDI お よ び 3G-SDI
モー ド の場合は常に High にな り ます。 SD-SDI モー ド の場合は必ず 5/6/5/6 と い う ク ロ ッ
ク サ イ ク ル リ ズ ムで 27MHz でアサー ト さ れ る 必要があ り ます。
こ のポー ト の 3 つの ビ ッ ト には、 3 つの同 じ ク ロ ッ ク イ ネーブル信号の複製を供給す る 必
要があ り ます。 こ れ ら の 3 つの入力ビ ッ ト は、 タ イ ミ ン グ を容易に満たすために供給 さ れま
す。 こ れ ら の入力がすべて同 じ フ リ ッ プ フ リ ッ プで駆動 さ れ る 場合は、 1 つの ク ロ ッ ク イ
ネーブル信号にかか る 負荷が大 き すぎ る ため、タ イ ミ ン グ を満たす こ と がで き ない可能性が
あ り ます。 こ の よ う な場合は、 複数の フ リ ッ プ フ ロ ッ プ を使用 し て ク ロ ッ ク イ ネーブル信
号の複製を作成 し 、 それぞれが tx_ce 入力ポー ト の異な る ビ ッ ト を駆動す る よ う に し ます。
tx_din_rdy
入力
1
SD-SDI、 HD-SDI、 お よ び 3G-SDI level A の場合は、 常に High を維持 し ま す。 3G-SDI
level B モー ド の場合は、 1 ク ロ ッ ク サ イ ク ルおき にアサー ト さ れ る 必要があ り ます。
tx_mode
入力
2
SDI ト ラ ン ス ミ ッ タ ー モー ド を選択 し ます。
• 00 = HD-SDI (デュ アル リ ン ク HD-SDI を含む)
• 01 = SD-SDI
• 10 = 3G-SDI
• 11 = 無効
tx_level_b_3g
入力
1
3G-SDI モー ド の場合、 こ の入力で SDI ト ラ ン ス ミ ッ タ ーを level A (Low) ま たは level B
(High) のいずれに コ ン フ ィ ギ ュ レーシ ョ ンする かを指定 し ます。
1
GTH TX で用い ら れ る PLL シ リ アル ク ロ ッ ク の選択に使用 し ます。 こ の入力に よ り 、 SDI
ラ ッ パーの gth_txsysclksel 出力ポー ト が GTH ト ラ ン シーバーの TX PLL ク ロ ッ ク セ レ ク
ト MUX を変更 し ます。
通常、 tx_m が Low の場合は 1/1 ビ ッ ト レー ト を選択し、 High の場合は 1/1.001 ビ ッ ト レー
ト を選択し ます。 ただ し、 こ の区別は、 QPLL と CPLL の周波数および TX_CLK0_QPLL と
TX_CLK1_QPLL
パ ラ メ ー タ ー に よ っ て の み決 ま り ま す。 TX_CLK0_QPLL
と
TX_CLK1_QPLL パ ラ メ ーターについては、 表 2 の説明で示し、 表 2 の下の本文で詳細に解
tx_m
入力
説し ます。
tx_insert_crc
入力
1
こ の入力が High の場合、 HD-SDI お よ び 3G-SDI モー ド で SDI TX が各ビデオ ラ イ ンに
CRC 値を生成 し て挿入 し ます。 Low の場合は、 CRC 値の生成お よ び挿入は行われません。
SD-SDI モー ド の場合、 こ の入力は無視 さ れます。 CRC 値は、 HD-SDI 規格 と 3G-SDI 規
格の両方で必要です。SDI TX 入力ポー ト に入る デー タ ス ト リ ームには CRC 値がないため、
こ の入力は High にアサー ト さ れ る 必要があ り ます。 SDI TX 入力ポー ト に入 る デー タ ス ト
リ ームには、 すでに CRC 値が含まれてい る ため、 既存の CRC 値は、 tx_insert_crc が High
にな る と 新たに計算 さ れた CRC 値で上書 き さ れ、 tx_insert_crc が Low の場合は変更 さ れ
ずにその ま ま渡 さ れます。
tx_insert_ln
入力
XAPP1187 (v1.0) 2014 年 2 月 21 日
1
こ の入力が High の場合、 SDI TX が各ビデオ ラ イ ンの EAV の後に ラ イ ン番号ワー ド を挿
入 し ます。 ラ イ ン番号は、 tx_line_a お よ び tx_line_b 入力ポー ト に供給 さ れます。 SD-SDI
モー ド の場合、 こ の入力は無視 さ れます。 ラ イ ン番号は、 HD-SDI 規格 と 3G-SDI 規格の両
方で必要です。 SDI TX 入力ポー ト に入 る デー タ ス ト リ ームに ラ イ ン番号 ワー ド が含まれ
ていない場合は、 こ の入力を アサー ト し て tx_line_a お よ び tx_line_b ポー ト に有効な ラ イ
ン番号を供給 し ます。SDI TX 入力ポー ト に入る デー タ ス ト リ ームにすでに ラ イ ン番号が含
ま れ て い る 場 合 は、 tx_insert_ln が High の と き は 既存 の ラ イ ン 番号 が 上書 き さ れ、
tx_insert_ln が Low の と き は変更 さ れずにその ま ま渡 さ れます。
japan.xilinx.com
39
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
表 1 : SDI ラ ッ パーのポー ト リ ス ト (続き )
ポー ト 名
tx_insert_edh
I/O
入力
幅
説明
1
こ の入力が High の場合、 SD-SDI モー ド で SDI TX がすべての フ ィ ール ド に EDH パケ ッ
ト を挿入 し ます。 Low の場合は EDH パケ ッ ト は挿入 さ れません。 HD-SDI お よ び 3G-SDI
モー ド では、 こ の入力は無視 さ れます。 EDH パケ ッ ト はオプシ ョ ン ですが、 SD-SDI モー
ド では一般に使用 さ れ ま す。 HD-SDI お よ び 3G-SDI モー ド で使用 さ れ る こ と はあ り ませ
ん。SDI TX へ入 る SD-SDI デー タ ス ト リ ームにすでに EDH パケ ッ ト が含まれてい る 場合
は、 tx_insert_edh が High の と き は新 し いパケ ッ ト で上書 き さ れ、 tx_insert_edh が Low の
と き は変更 さ れずにその ま ま渡 さ れます。
tx_insert_vpid
入力
1
こ の入力が High の場合、 SMPTE ST 352 パケ ッ ト が TX デー タ ス ト リ ーム内に挿入 さ れ
ます。 Low の場合は、 パケ ッ ト は挿入 さ れません。 3G-SDI お よ びデュ アル リ ン ク HD-SDI
モー ド では、 ST 352 パケ ッ ト が必ず必要ですが、 HD-SDI お よ び SD-SDI モー ド ではオプ
シ ョ ンです。
tx_overwrite_vpid
入力
1
こ の入力が High で tx_insert_vpid が High の場合、 TX デー タ ス ト リ ーム内にすでに存在
す る SMPTE ST 352 パケ ッ ト が新 し い ST 352 パケ ッ ト で上書 き さ れます。Low の場合は、
既存の ST 352 パケ ッ ト は上書 き さ れません。
SDI TX へ入 る SDI デー タ ス ト リ ーム AY 入力です。 こ のポー ト のデー タ は、 SDI モー ド
tx_video_a_y_in
入力
10
に依存 し ます。
SD-SDI : 多重化 Y/C デー タ ス ト リ ーム
HD-SDI : Y コ ン ポーネ ン ト
3G-SDI level A : デー タ ス ト リ ーム 1
デ ュ アル リ ン ク HD-SDI ま たは 3G-SDI level B-DL : リ ン ク A のデー タ ス ト リ ーム 1
3G-SDI level B-DS : HD-SDI 信号 1 の Y コ ン ポーネ ン ト
•
•
•
•
•
SDI TX へ入る SDI デー タ ス ト リ ーム AC 入力です。 こ のポー ト のデー タ は、 SDI モー ド
tx_video_a_c_in
tx_video_ b_y_in
tx_video_b_c_in
入力
入力
入力
10
10
10
に依存 し ます。
SD-SDI : 未使用
HD-SDI : イ ン タ ー リ ーブ さ れた CB お よ び CR コ ン ポーネ ン ト
3G-SDI level A : デー タ ス ト リ ーム 2
デ ュ アル リ ン ク HD-SDI ま たは 3G-SDI level B-DL : リ ン ク A のデー タ ス ト リ ーム 2
3G-SDI level B-DS : HD-SDI 信号 1 の イ ン タ ー リ ーブ さ れた CB お よ び CR コ ン ポーネ
ント
•
•
•
•
•
SDI TX へ入る SDI デー タ ス ト リ ーム BY 入力です。 こ のポー ト のデー タ ス ト リ ームは、
SDI モー ド に依存 し ます。
• デ ュ アル リ ン ク HD-SDI ま たは 3G-SDI level B-DL : リ ン ク B のデー タ ス ト リ ーム 1
• 3G-SDI level B-DS : HD-SDI 信号 2 の Y コ ン ポーネ ン ト
• その他の SDI モー ド の場合、 こ の入力ポー ト は未使用 と な り ます。
SDI TX へ入 る SDI デー タ ス ト リ ーム BC 入力です。 こ のポー ト のデー タ ス ト リ ームは、
SDI モー ド に依存 し ます。
• デ ュ アル リ ン ク HD-SDI ま たは 3G-SDI level B-DL : リ ン ク B のデー タ ス ト リ ーム 2
• 3G-SDI level B-DS : HD-SDI 信号 2 の イ ン タ ー リ ーブ さ れた CB お よ び CR コ ン ポーネ
ント
• その他の SDI モー ド の場合、 こ の入力ポー ト は未使用 と な り ます。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
40
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
表 1 : SDI ラ ッ パーのポー ト リ ス ト (続き )
ポー ト 名
I/O
幅
説明
ST 352 VPID パケ ッ ト の挿入が有効 (tx_insert_vpid = High) の場合、 ま たは HD-SDI お よ
び 3G-SDI ラ イ ン番号の挿入が有効 (tx_insert_ln = High) の場合は、 こ のポー ト を介 し てモ
ジ ュ ールへ現在の ラ イ ン番号が提供 さ れなければな り ません。
tx_line_a
入力
11
SD-SDI は 10 ビ ッ ト の ラ イ ン番号のみを使用す る ため、 SD-SDI モー ド で ST 352 VPID パ
ケ ッ ト の挿入が有効の場合は、 こ のポー ト の ビ ッ ト 10 が 0 にな り ます。 ラ イ ン番号の挿入
は、 SD-SDI モー ド では実行 さ れないため、 SD-SDI モー ド の場合、 こ のポー ト は ST 352
VPID パケ ッ ト の挿入のためだけに使用 さ れます。
こ のポー ト の値は、 HANC (Horizontal Ancillary Data Space : 水平副デー タ 空間) の開始 よ
り 少な く と も 1 ク ロ ッ ク サ イ ク ル前に有効 (EAV の XYZ ワ ー ド で ) の必要があ り 、HANC
イ ン タ ーバル中は有効に保持す る 必要があ り ます。
こ の入力は、 SD-SDI、 HD-SDI、 お よ び 3G-SDI level A モー ド で使用 さ れ る 唯一の ラ イ ン
番号入力です。3G-SDI level B モー ド の場合は、も う 1 つの ラ イ ン番号入力ポー ト tx_line_b
があ り ます。
画像 ラ イ ン番号 と 伝送 ラ イ ン番号が異な る ビデオ フ ォーマ ッ ト の場合、 こ のポー ト に供給
さ れ る 値は必ず伝送 ラ イ ン番号 と な り ます。
tx_line_b
入力
11
tx_vpid_byte1
入力
8
3G-SDI level B モー ド でのみ使用 さ れ る 2 つ目の ラ イ ン番号入力ポー ト です。 こ の追加の
ラ イ ン 番号 ポ ー ト を 使用す る こ と に よ っ て、 level B-DS モー ド の場合に 2 つの異 な る
HD-SDI 信号を垂直非同期 さ せる こ と がで き ます。3G-SDI level B-DL ま たは B-DS のいず
れか を使用す る 場合、 こ のポー ト には有効な ラ イ ン番号入力が与え ら れな ければな り ま せ
ん。 3G-SDI level B-DL モー ド の場合、 こ の入力ポー ト の値は tx_line_a ポー ト の値 と 一致
す る 必要があ り ます。 こ の入力ポー ト は、 tx_line_a と 同 じ タ イ ミ ン グで、 異な る 要件があ
り ます。
こ のポー ト の値は、 ST 352 パケ ッ ト の最初のユーザー デー タ ワー ド と し て挿入 さ れます。
ST 352 パケ ッ ト が挿入ま たは上書き さ れ る 場合、ST 352 パケ ッ ト を含め る ラ イ ンの HANC
イ ン タ ーバル中、 こ の値は常に有効の必要があ り ます。
tx_vpid_byte2
入力
8
こ のポー ト の値は、 ST 352 パケ ッ ト の 2 番目のユーザー デー タ ワー ド と し て挿入 さ れま
す。 ST 352 パケ ッ ト が挿入 ま たは上書 き さ れ る 場合、 ST 352 パケ ッ ト を含め る ラ イ ン の
HANC イ ン タ ーバル中、 こ の値は常に有効の必要があ り ます。
tx_vpid_byte3
入力
8
こ のポー ト の値は、 ST 352 パケ ッ ト の 3 番目のユーザー デー タ ワー ド と し て挿入 さ れま
す。 ST 352 パケ ッ ト が挿入 ま たは上書 き さ れ る 場合、 ST 352 パケ ッ ト を含め る ラ イ ン の
HANC イ ン タ ーバル中、 こ の値は常に有効の必要があ り ます。
8
こ のポー ト の値は、 ST 352 パケ ッ ト の 4 番目のユーザー デー タ ワー ド と し て挿入 さ れま
す。 こ の ワ ー ド は、 SD-SDI、 HD-SDI、 お よ び 3G-SDI level A のデー タ ス ト リ ームに挿入
さ れ る ST 352 パ ケ ッ ト に対 し て使用 さ れ ま す。 3G-SDI level B お よ びデ ュ ア ル リ ン ク
HD-SDI モー ド の場合、こ の値は リ ン ク A のデー タ ス ト リ ーム 1 へのみ挿入 さ れ る ST 352
パケ ッ ト に使用 さ れます。 ST 352 パケ ッ ト が挿入ま たは上書き さ れ る 場合、 ST 352 パケ ッ
ト を含め る ラ イ ンの HANC イ ン タ ーバル中、 こ の入力値は常に有効の必要があ り ます。
リ ン ク A と リ ン ク B のバ イ ト 4 には、 異な る 値が可能です (3G-SDI level B-DL モー ド で
は、 こ のバ イ ト は リ ン ク A と リ ン ク B で異な る リ ン ク ID ビ ッ ト を持つため)。
8
こ のポー ト の値は、 3G-SDI level B お よ びデ ュ アル リ ン ク HD-SDI モー ド の場合、 リ ン ク
B のデー タ ス ト リ ーム 1 に挿入 さ れ る ST 352 パケ ッ ト の 4 つ目のユーザー デー タ ワー ド
と し て挿入 さ れます。 こ の入力値は、 SD-SDI、 HD-SDI、 ま たは 3G-SDI level A モー ド に
は使用 さ れません。 ST 352 パケ ッ ト が挿入ま たは上書き さ れ る 場合、 ST 352 パケ ッ ト を含
め る ラ イ ンの HANC イ ン タ ーバル中、 こ の入力値は常に有効の必要があ り ます。
tx_vpid_byte4a
tx_vpid_byte4b
tx_vpid_line_f1
入力
入力
入力
XAPP1187 (v1.0) 2014 年 2 月 21 日
11
ST 352 パケ ッ ト は、 こ の入力ポー ト で指定 し た ラ イ ン番号の HANC に挿入 さ れ ます。 イ
ン タ ーレー ス さ れた伝送の場合、 こ の入力ポー ト は フ ィ ール ド 1 の ラ イ ン番号を指定 し ま
す。 プ ロ グ レ ッ シブ伝送の場合、 こ の入力はパケ ッ ト が挿入 さ れ る フ レーム内の ラ イ ンのみ
イ ン タ ー バ ル 中、 こ の 入力値 は 常 に 有効 の 必要 が あ り ま す。
指 定 し ま す。 HANC
tx_insert_vpid が Low の場合、 こ の入力は無視 さ れます。
japan.xilinx.com
41
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
表 1 : SDI ラ ッ パーのポー ト リ ス ト (続き )
ポー ト 名
tx_vpid_line_f2
tx_vpid_line_f2_en
I/O
入力
入力
幅
説明
11
イ ン タ ーレ ー ス さ れた伝送の場合、 こ の値が示すフ ィ ール ド 2 の ラ イ ン番号に ST 352 パ
ケ ッ ト が挿入 さ れます。 プ ロ グ レ ッ シブ伝送の場合、 こ の入力ポー ト で指定 し た ラ イ ンへの
ST 352 パケ ッ ト の挿入は、tx_vpid_line_f2_en ポー ト を Low に保持 し て無効にす る 必要が
あ り ます。HANC イ ン タ ーバル中、こ の入力値は常に有効の必要があ り ます。tx_insert_vpid
ま たは tx_vpid_line_f2_en のいずれかが Low の場合、 こ の入力は無視 さ れます。
1
こ の入力は、 tx_vpid_line_f2 で指定 し た ラ イ ンへの ST 352 パケ ッ ト の挿入を制御 し ます。
イ ン タ ーレース さ れた伝送の場合、 こ の入力は High に し ます。プ ロ グ レ ッ シブ伝送の場合、
こ の入力は Low に し ます。
イ ン タ ーレース伝送でプ ロ グ レ ッ シブ ビデオが伝送 さ れ る 場合 (例 : 3G-SDI level B-DL ま
たはデ ュ アル リ ン ク HD-SDI のいずれかで伝送 さ れ る 1080p 50Hz)、 ST 352 パケ ッ ト は、
イ ン タ ーレース伝送の両フ ィ ール ド に挿入 さ れなければな り ません。 し たがっ て、 こ の よ う
な場合には tx_vpid_line_f2_en 入力は High の必要があ り ます。
HANC イ ン タ ーバル中、 こ の入力値は常に有効の必要があ り ます。 tx_insert_vpid が Low
の場合、 こ の入力は無視 さ れます。
リ ン ク A デー タ ス ト リ ーム 1 の出力です。 こ のポー ト に出力 さ れ る デー タ ス ト リ ームは、
ST 352 パケ ッ ト 挿入モジ ュ ールか ら 送信 さ れます。 アプ リ ケーシ ョ ンが補助デー タ パケ ッ
tx_ds1a_out
出力
10
ト を挿入す る 必要があ る 場合は、 こ のポー ト のデー タ ス ト リ ーム出力にそれ ら を挿入 し ま
す。 こ れに よ り 、 高優先度の ST 352 パケ ッ ト がほかの補助デー タ よ り も 先にデー タ ス ト
リ ーム に挿入 さ れ ます。 アプ リ ケーシ ョ ン に よ る 補助デー タ の挿入が完了 し たデー タ ス ト
リ ームは、 tx_ds1a_in ポー ト へ送 ら れます。
こ のポー ト のデー タ は、 SDI モー ド に依存 し ます。
• SD-SDI : イ ン タ ー リ ーブ さ れた Y/C デー タ ス ト リ ーム
• HD-SDI : Y コ ン ポーネ ン ト
• 3G-SDI level A : デー タ ス ト リ ーム 1
• デ ュ アル リ ン ク HD-SDI ま たは 3G-SDI level B-DL : リ ン ク A のデー タ ス ト リ ーム 1
• 3G-SDI level B-DS : HD-SDI 信号 1 の Y コ ン ポーネ ン ト
リ ン ク A デー タ ス ト リ ーム 2 の出力です。 こ のポー ト に出力 さ れ る デー タ ス ト リ ームは、
ST 352 パケ ッ ト 挿入モジ ュ ールか ら 送信 さ れます。 アプ リ ケーシ ョ ンが補助デー タ パケ ッ
tx_ds2a_out
出力
10
ト を挿入す る 必要があ る 場合は、 こ のポー ト のデー タ ス ト リ ーム出力にそれ ら を挿入 し ま
す。 こ れに よ り 、 高優先度の ST 352 パケ ッ ト がほかの補助デー タ よ り も 先にデー タ ス ト
リ ーム に挿入 さ れ ます。 アプ リ ケーシ ョ ン に よ る 補助デー タ の挿入が完了 し たデー タ ス ト
リ ームは、 tx_ds2a_in ポー ト へ送 ら れます。
こ のポー ト のデー タ は、 SDI モー ド に依存 し ます。
• SD-SDI : 未使用
• HD-SDI : イ ン タ ー リ ーブ さ れた CB/CR コ ン ポーネ ン ト
• デ ュ アル リ ン ク HD-SDI ま たは 3G-SDI level B-DL : リ ン ク A のデー タ ス ト リ ーム 2
• 3G-SDI level B-DS : HD-SDI 信号 1 の イ ン タ ー リ ーブ さ れた CB/CR コ ン ポーネ ン ト
デー タ ス ト リ ーム
リ ン ク B デー タ ス ト リ ーム 1 の出力です。 こ のポー ト に出力 さ れ る デー タ ス ト リ ームは、
ST 352 パケ ッ ト 挿入モジ ュ ールか ら 送信 さ れます。 アプ リ ケーシ ョ ンが補助デー タ パケ ッ
tx_ds1b_out
出力
XAPP1187 (v1.0) 2014 年 2 月 21 日
10
ト を挿入す る 必要があ る 場合は、 こ のポー ト のデー タ ス ト リ ーム出力にそれ ら を挿入 し ま
す。 こ れに よ り 、 高優先度の ST 352 パケ ッ ト がほかの補助デー タ よ り も 先にデー タ ス ト
リ ーム に挿入 さ れ ます。 アプ リ ケーシ ョ ン に よ る 補助デー タ の挿入が完了 し たデー タ ス ト
リ ームは、 tx_ds1b_in ポー ト へ送 ら れます。
こ のポー ト のデー タ は、 SDI モー ド に依存 し ます。
• デ ュ アル リ ン ク HD-SDI ま たは 3G-SDI level B-DL : リ ン ク B のデー タ ス ト リ ーム 1
• 3G-SDI level B-DS : HD-SDI 信号 2 の Y コ ン ポーネ ン ト
• 3G-SDI level B-DS : HD-SDI 信号 2 の Y コ ン ポーネ ン ト
• その他の SDI モー ド の場合、 こ の入力ポー ト は未使用 と な り ます。
japan.xilinx.com
42
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
表 1 : SDI ラ ッ パーのポー ト リ ス ト (続き )
ポー ト 名
I/O
幅
説明
リ ン ク B デー タ ス ト リ ーム 2 の出力です。 こ のポー ト に出力 さ れ る デー タ ス ト リ ームは、
ST 352 パケ ッ ト 挿入モジ ュ ールか ら 送信 さ れます。 アプ リ ケーシ ョ ンが補助デー タ パケ ッ
tx_ds2b_out
tx_use_dsin
出力
入力
10
1
ト を挿入す る 必要があ る 場合は、 こ のポー ト のデー タ ス ト リ ーム出力にそれ ら を挿入 し ま
す。 こ れに よ り 、 高優先度の ST 352 パケ ッ ト がほかの補助デー タ よ り も 先にデー タ ス ト
リ ーム に挿入 さ れ ます。 アプ リ ケーシ ョ ン に よ る 補助デー タ の挿入が完了 し たデー タ ス ト
リ ームは、 tx_ds2b_in ポー ト へ送 ら れます。
• デ ュ アル リ ン ク HD-SDI ま たは 3G-SDI level B-DL : リ ン ク B のデー タ ス ト リ ーム 2
• 3G-SDI level B-DS : HD-SDI 信号 2 の イ ン タ ー リ ーブ さ れた CB/CR コ ン ポーネ ン ト
• その他の SDI モー ド の場合、 こ の入力ポー ト は未使用 と な り ます。
こ の入力は、 SDI TX か ら 送 ら れ る デー タ ス ト リ ーム の ソ ー ス を制御 し ま す。 こ の入力が
High の場合、送信 さ れ る デー タ ス ト リ ームの ソ ース は tx_ds1a_in、tx_ds2a_in、tx_ds1b_in、
お よ び tx_ds2b_in です。 こ の入力が Low の場合、 送信 さ れ る デー タ ス ト リ ームの ソ ース
は コ ア内部 と な り 、 ST 352 パケ ッ ト イ ンサー タ ーか ら 直接送信 さ れます。 アプ リ ケーシ ョ
ンが補助デー タ を挿入する 必要があ る 場合は、tx_use_dsin ポー ト を High に設定す る と 、ア
プ リ ケーシ ョ ン はデー タ ス ト リ ーム を変更で き 、 変更 し たデー タ ス ト リ ーム を ト ラ ン ス
ミ ッ タ ーの tx_dsxx_in ポー ト へ渡す こ と がで き ま す。 補助デー タ の挿入が不要な場合は、
tx_use_dsin 入力を Low に設定す る と 、 tx_dsxx_in ポー ト は無視 さ れます。
tx_ds1a_in
tx_ds2a_in
tx_ds1b_in
tx_ds2b_in
入力
入力
入力
入力
10
リ ン ク A デー タ ス ト リ ーム 1 の入力です。 tx_use_dsin が Low の場合、 こ のポー ト は無視
さ れます。 tx_use_dsin が High の場合、 送信 さ れ る デー タ ス ト リ ームが こ のポー ト に供給
さ れます。 こ の入力ポー ト に供給 さ れ る デー タ ス ト リ ームは、 SDI モー ド に依存 し ます。
• SD-SDI : イ ン タ ー リ ーブ さ れた Y/C デー タ ス ト リ ーム
• HD-SDI : Y コ ン ポーネ ン ト
• 3G-SDI level A : デー タ ス ト リ ーム 1
• デ ュ アル リ ン ク HD-SDI ま たは 3G-SDI level B-DL : リ ン ク A のデー タ ス ト リ ーム 1
• 3G-SDI level B-DS : HD-SDI 信号 1 の Y コ ン ポーネ ン ト
10
リ ン ク A デー タ ス ト リ ーム 2 の入力です。 tx_use_dsin が Low の場合、 こ のポー ト は無視
さ れます。 tx_use_dsin が High の場合、 送信 さ れ る デー タ ス ト リ ームが こ のポー ト に供給
さ れます。 こ の入力ポー ト に供給 さ れ る デー タ ス ト リ ームは、 SDI モー ド に依存 し ます。
• SD-SDI : 未使用
• HD-SDI : イ ン タ ー リ ーブ さ れた CB/CR コ ン ポーネ ン ト
• デ ュ アル リ ン ク HD-SDI ま たは 3G-SDI level B-DL : リ ン ク A のデー タ ス ト リ ーム 2
• 3G-SDI level B-DS : HD-SDI 信号 1 の イ ン タ ー リ ーブ さ れた CB/CR コ ン ポーネ ン ト
デー タ ス ト リ ーム
10
リ ン ク B デー タ ス ト リ ーム 1 の入力です。 tx_use_dsin が Low の場合、 こ のポー ト は無視
さ れます。 tx_use_dsin が High の場合、 送信 さ れ る デー タ ス ト リ ームが こ のポー ト に供給
さ れます。 こ の入力ポー ト に供給 さ れ る デー タ ス ト リ ームは、 SDI モー ド に依存 し ます。
• デ ュ アル リ ン ク HD-SDI ま たは 3G-SDI level B-DL : リ ン ク B のデー タ ス ト リ ーム 1
• 3G-SDI level B-DS : HD-SDI 信号 2 の Y コ ン ポーネ ン ト
• その他の SDI モー ド の場合、 こ の入力ポー ト は未使用 と な り ます。
10
リ ン ク B デー タ ス ト リ ーム 2 の入力です。 tx_use_dsin が Low の場合、 こ のポー ト は無視
さ れます。 tx_use_dsin が High の場合、 送信 さ れ る デー タ ス ト リ ームが こ のポー ト に供給
さ れます。 こ の入力ポー ト に供給 さ れ る デー タ ス ト リ ームは、 SDI モー ド に依存 し ます。
• デ ュ アル リ ン ク HD-SDI ま たは 3G-SDI level B-DL : リ ン ク B のデー タ ス ト リ ーム 2
• 3G-SDI level B-DS : HD-SDI 信号 2 の イ ン タ ー リ ーブ さ れた CB/CR コ ン ポーネ ン ト
• その他の SDI モー ド の場合、 こ の入力ポー ト は未使用 と な り ます。
tx_ce_align_err
出力
1
こ の出力は、SD-SDI モー ド の場合に tx_ce ク ロ ッ ク イ ネーブル入力の 5/6/5/6 ク ロ ッ ク サ
イ ク ル リ ズ ム に問題が あ る こ と を 示 し ま す。 SD-SDI モー ド で は、 tx_ce 信号は一定の
5/6/5/6 ク ロ ッ ク サ イ ク ル リ ズ ムに従 う 必要があ り ます。こ の リ ズ ムか ら 外れ る と 、SD-SDI
ビ ッ ト ス ト リ ー ム が 不正 に 構成 さ れ て し ま い ま す。 こ の リ ズ ム が 正 し く な い 場合 は、
tx_ce_align_err 信号が High に遷移 し ます。 こ の出力は SD-SDI モー ド でのみ有効です。
tx_slew
出力
1
外部 SDI ケーブル イ コ ラ イ ザーの ス ルー レ ー ト 信号を制御す る ための信号です。 TX の
モー ド が SD-SDI の場合に High と な り ます。 その他のモー ド では Low にな り ます。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
43
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
表 1 : SDI ラ ッ パーのポー ト リ ス ト (続き )
ポー ト 名
tx_change_done
I/O
出力
幅
説明
1
GTH TX が初期化 さ れ て い る 間 ま た は リ セ ッ ト さ れ て い る 間、 あ る いは GTH txrate や
txsysclksel ポー ト が動的に変更 さ れてい る 間、 こ の出力は Low にな り ます。 シーケ ン ス が
問題な く 完了する と 、 tx_change_done 出力が High にアサー ト さ れます。
こ の出力は gth_drpclk に同期 し ます。
tx_change_fail
出力
1
通常モー ド では、 こ の出力は常に Low です。 制御モジ ュ ールが GTH TX の初期化シーケ
ン ス、 リ セ ッ ト シーケ ン ス、 ま たは GTH txrate や txsysclksel ポー ト の動的な変更シーケ
ン ス を正常に終了で き なか っ た場合にのみ High にな り ます。 こ の よ う なエ ラ ーが発生す る
と 、 tx_change_fail ポー ト が High にアサー ト さ れ、 tx_change_fail_code ポー ト に よ っ て こ
のエ ラ ーの種類が示 さ れます。
tx_change_fail が High にな っ て示すエ ラ ーが発生 し た場合、 tx_gth_full_reset 入力を使用
し て完全な リ セ ッ ト を実行す る 必要があ り ます。
こ の出力は gth_drpclk に同期 し ます。
tx_change_fail_code
出力
3
tx_change_fail ポー ト が High にな る と 、tx_change_fail_code ポー ト はエ ラ ーの種類を示 し
ます。 こ のポー ト のエン コ ー ド については、 表 9 を参照 し て く だ さ い。
こ の出力は gth_drpclk に同期 し ます。
20
GTH ト ラ ン シーバーの rxdata ポー ト へ接続 さ れます。
1
GTH RX へシ リ アル ク ロ ッ ク を供給する PLL の リ セ ッ ト に使用 し ます。 SDI ラ ッ パーが
PLL マ ス タ ー と し て動作 し てい る 場合は、 RX シ リ アル ク ロ ッ ク を供給 し てい る PLL の種
類に応 じ て、 GTH コ モン ラ ッ パーの qpllreset 入力ま たは GTH ラ ッ パーの cpllreset 入力
に こ の出力を接続 し ます。 詳細は、 「SDI アプ リ ケーシ ョ ンの GTH PLL の使用例」 を参照
GTH RX へ接続するポー ト
gth_rxdata
gtp_rxpllreset
入力
入力
し て く だ さ い。
gth_rxplllock
入力
1
GTH RX へ ク ロ ッ ク を 供給 し て い る PLL の PLL ロ ッ ク 信号 (GTH コ モ ン ラ ッ パーの
qplllock 出力 ま たは GTH ラ ッ パーの cplllock 出力) に こ のポー ト を接続 し ます。 詳細は、
「SDI アプ リ ケーシ ョ ンの GTH PLL の使用例」 を参照 し て く だ さ い。
gth_rxresetdone
入力
1
GTH ラ ッ パーの rxresetdone ポー ト へ接続 さ れます。
gth_gtrxreset
出力
1
GTH ラ ッ パーの gtrxreset ポー ト へ接続 さ れます。
gth_rxuserrdy
出力
1
GTH ラ ッ パーの rxuserrdy ポー ト へ接続 さ れます。
gth_rxcdrhold
出力
1
GTH ラ ッ パーの rxcdrhold ポー ト へ接続 さ れます。
gth_drpclk
入力
1
GTH ラ ッ パーの drpclk ポー ト を駆動す る ク ロ ッ ク へ接続 さ れます。
gth_drprdy
入力
1
GTH ラ ッ パーの drprdy ポー ト へ接続 さ れます。
gth_drpbusy
入力
1
GTH ラ ッ パーの drp_busy ポー ト へ接続 さ れます。
gth_drpaddr
出力
10
GTH ラ ッ パーの drpaddr ポー ト へ接続 さ れます。
gth_drpdi
出力
16
GTH ラ ッ パーの drpdi ポー ト へ接続 さ れます。
gth_drpdo
入力
16
GTH ラ ッ パーの drpdo ポー ト へ接続 さ れます。
gth_drpen
出力
1
GTH ラ ッ パーの drpen ポー ト へ接続 さ れます。
gth_drpwe
出力
1
GTH ラ ッ パーの drpwe ポー ト へ接続 さ れます。
20
GTH ラ ッ パーの txdata ポー ト へ接続 さ れます。
1
GTH TX へシ リ アル ク ロ ッ ク を供給す る PLL の リ セ ッ ト に使用 し ます。 SDI ラ ッ パーが
PLL マ ス タ ー と し て動作 し てい る 場合は、 GTH TX にシ リ アル ク ロ ッ ク を供給 し てい る
PLL の 種類 に 応 じ て、 GTH コ モ ン ラ ッ パー の qpllreset 入力 ま た は GTH ラ ッ パーの
cpllreset 入力に こ の出力を接続 し ます。GTH が QPLL と CPLL 間で動的に切 り 替え ら れ る
場合は一般に、 gth_rxpllreset が GTH コ モン ラ ッ パーの qpllreset 入力に、 gth_txpllreset が
GTH ラ ッ パーの cpllreset 入力に接続 さ れ ま す。 詳細は、 「SDI アプ リ ケーシ ョ ン の GTH
PLL の使用例」 を参照 し て く だ さ い。
GTH TX へ接続するポー ト
gth_txdata
gth_txpllreset
入力
入力
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
44
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
表 1 : SDI ラ ッ パーのポー ト リ ス ト (続き )
ポー ト 名
I/O
幅
説明
gth_txplllock
入力
1
GTH TX へ ク ロ ッ ク を 供給 し て い る PLL の PLL ロ ッ ク 信号 (GTH コ モ ン ラ ッ パーの
qplllock 出力ま たは GTH ラ ッ パーの cplllock 出力) に こ のポー ト を接続 し ます。 GTH TX
が QPLL と CPLL 間で動的に切 り 替 え ら れ る 場合は、 こ の入力 を qplllock 信号お よ び
cplllock 信号の ロ ジ ッ ク OR に よ っ て駆動す る 必要があ り ます。 詳細は、 「SDI アプ リ ケー
シ ョ ンの GTH PLL の使用例」 を参照 し て く だ さ い。
gth_gttxreset
出力
1
GTH ラ ッ パーの gttxreset ポー ト へ接続 さ れます。
gth_txresetdone
入力
1
GTH ラ ッ パーの txresetdone ポー ト へ接続 さ れます。
gth_txratedone
入力
1
GTH ラ ッ パーの txratedone ポー ト へ接続 さ れます。
gth_txuserrdy
出力
1
GTH ラ ッ パーの txuserrdy ポー ト へ接続 さ れます。
gth_txrate
出力
3
GTH ラ ッ パーの txrate ポー ト へ接続 さ れます。
gth_txsysclksel
出力
2
GTH TX の ク ロ ッ ク ソ ース は 2 つの PLL 間で動的に切 り 替え ら れ る 必要があ る ため、 こ
のポー ト は GTH ラ ッ パーの txsysclksel ポー ト へ接続 さ れます。
注記 :
1.
SMPTE コ アが RX EDH プ ロ セ ッ サな し で生成 さ れ る と ( こ のオプシ ョ ンは SDI コ アの GUI で選択可能)、 こ の コ アに EDH プ ロ セ ッ サに関連す
る RX ポー ト はあ り ません。 RX EDH プ ロ セ ッ サが SDI コ アに含ま れていない場合、 SDI ラ ッ パー フ ァ イ ルの v7gth_sdi_rxtx_wrapper.v
には RX EDH プ ロ セ ッ サ を サポー ト す る ためのポー ト がすべて含ま れてい る ため、 こ の フ ァ イ ルを使用す る こ と はで き ま せん。 代わ り に、 SDI
ラ ッ パー フ ァ イ ルの v7gth_sdi_rxtx_noedh_wrapper.v を使用 し て く だ さ い。
表 2 に、 SDI ラ ッ パーへ適用で き る パ ラ メ ー タ ーを示 し ます。
表 2 : SDI ラ ッ パーのパラ メ ー タ ー リ ス ト
名前
FXDCLK_FREQ
DRPCLK_PERIOD
PLLLOCK_TIMEOUT_PERIOD
RESET_TIMEOUT_PERIOD
タ イプ
デ フ ォル ト
整数
27,000,000
整数
整数
整数
37
説明
SDI ラ ッ パーの clk ポー ト の固定周波数 ク ロ ッ ク を指定 (Hz) し ます。こ
の ク ロ ッ ク の公称周波数は、 タ イ ミ ン グ を満たすため、 こ の ク ロ ッ ク に
依存する 制御モジ ュ ールが正 し く 動作する よ う に適切に指定 し ます。
GTH ラ ッ パーの drpclk ポー ト お よ び SDI ラ ッ パーの gth_drpclk ポー
ト を駆動 し てい る ク ロ ッ ク の周期 (ns) を指定 し ます。非整数値を最 も 近
い整数に丸め ます。 こ の公称 ク ロ ッ ク 周期は、 制御モジ ュ ールが こ の ク
ロ ッ ク の周期に基づいて GTH 初期化シーケ ン ス中に遅延を生成で き る
よ う に適切に指定 し ます。
2,000,000
PLL ロ ッ ク タ イ ム ア ウ ト 時間の長 さ (ns) を指定 し ます。 リ セ ッ ト 後に
PLL が lock 信号 を こ の 時間内 に ア サ ー ト し な か っ た 場合、 制御 モ
ジ ュ ールが中断 し て PLL リ セ ッ ト シーケ ン ス を再実行 し ます。 デフ ォ
ル ト 値は、 2ms に相当 し ます。
500,000
GTH ト ラ ン シーバー リ セ ッ ト タ イ ム ア ウ ト 時間の長 さ (ns) を指定 し
ま す。 リ セ ッ ト 後 に GTH ト ラ ン シ ー バ ー が rxresetdone ま た は
txresetdone を こ の時間内にアサー ト し なか っ た場合、制御モジ ュ ールが
中断 し て GTH ト ラ ン シーバー リ セ ッ ト シーケ ン ス を再実行 し ます。デ
フ ォ ル ト 値は、 500μs に相当 し ます。
PLL お よ び GTH ト ラ ン シーバーの リ セ ッ ト シーケ ン ス実行中に使用
TIMEOUT_CNTR_BITWIDTH
XAPP1187 (v1.0) 2014 年 2 月 21 日
整数
16
さ れ る タ イ ム ア ウ ト カ ウ ン タ ーの ビ ッ ト 幅を指定 し ま す。 こ の カ ウ ン
タ ーのビ ッ ト 幅は、 DRPCLK_PERIOD で指定 し た ク ロ ッ ク 周期に基づ
いて
PLLLOCK_TIMEOUT_PERIOD
および
RESET_TIMEOUT_PERIOD で設定 し た タ イ ム ア ウ ト の最大値ま でカ
ウ ン ト ア ッ プで き る 値にす る 必要が あ り ま す。 た と えばデフ ォ ル ト 値
(16 ビ ッ ト ) は、 DRPCLK_PERIOD がデフ ォ ル ト 値 37 の と き の最長約
2.4ms の タ イ ム ア ウ ト 時間に対応で き る 十分 な 長 さ で あ り 、 そ れ は
PLLOCK_TIMEOUT_PERIOD お よ び RESET_TIMEOUT_PERIOD
の両デフ ォ ル ト 値 よ り も 大 き な値です。
japan.xilinx.com
45
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
表 2 : SDI ラ ッ パーのパラ メ ー タ ー リ ス ト (続き )
名前
タ イプ
デ フ ォル ト
説明
リ ト ラ イ カ ウ ン タ ーのビ ッ ト 幅を指定 し ます。 リ ト ラ イ カ ウ ン タ ーは、
GTH RX ま たは TX の初期化シーケ ン ス、 リ セ ッ ト シーケ ン ス、 あ る
いは GTH ト ラ ン シーバーの txrate ま たは txsysclksel ポー ト の動的な変
RETRY_CNTR_BITWIDTH
TX_CLK0_QPLL
更を完了する ために使用 さ れ る リ ト ラ イ サ イ ク ル数を カ ウ ン ト し ます。
リ ト ラ イ カ ウ ン タ ーがすべて 1 の最大値に到達する と 、シーケ ン ス にエ
ラ ーが発生 し た と みな さ れます。 こ のため、 こ のパ ラ メ ー タ ーでは、 制
御モ ジ ュ ールが シーケ ン ス を 中断す る 前に実行可能な リ ト ラ イ 数 を指
定 し ます。 デフ ォ ル ト 値 8 では、 255 リ ト ラ イ サ イ ク ルが可能です。
整数
8
整数
1
tx_m ポー ト が Low の と き に QPLL が GTH TX へのシ リ アル ク ロ ッ ク
ソ ース であ る 場合、こ のパ ラ メ ー タ ーの値を 1 に指定 し ます。tx_m ポー
ト が Low の と き に CPLL が GTH TX へのシ リ アル ク ロ ッ ク ソ ース で
あ る 場合、 こ のパ ラ メ ー タ ーの値を 0 に指定 し ます。
TX_CLK1_QPLL
整数
0
tx_m ポー ト が High の と き に QPLL が GTH TX へのシ リ アル ク ロ ッ
ク ソ ース であ る 場合、 こ のパ ラ メ ー タ ーの値を 1 に指定 し ます。 tx_m
ポー ト が High の と き に CPLL が GTH TX へのシ リ アル ク ロ ッ ク ソ ー
ス であ る 場合、 こ のパ ラ メ ー タ ーの値を 0 に指定 し ます。
RX_CLK_QPLL
整数
1
QPLL が GTH RX へのシ リ アル ク ロ ッ ク ソ ース であ る 場合、 こ のパ ラ
メ ー タ ーの値 を 1 に指定 し ま す。 CPLL が GTH RX へのシ リ アル ク
ロ ッ ク ソ ース であ る 場合、 こ のパ ラ メ ー タ ーの値を 0 に指定 し ます。
GTH TX シ リ ア ル ク ロ ッ ク ソ ー ス の 動的 な 切 り 替 え が 必要 な 場合 に、 TX_CLK0_QPLL お よ び
TX_CLK1_QPLL パ ラ メ ー タ ーを SDI ラ ッ パーの tx_m ポー ト と 組み合わせて使用 し て GTH ト ラ ン
シーバーの txsysclksel ポー ト を制御 し ます。 txsysclksel ポー ト は、 CPLL を選択す る と き は 2’b00 値
で駆動 し 、 QPLL を選択す る と き は 2’b11 で駆動 し ます。 tx_m が Low の と き 、 TX_CLK0_QPLL が 0
の場合は txsysclksel を 2’b00 で駆動 し ま す。 た だ し 、 TX_CLK0_QPLL が 1 の場合は txsysclksel を
2’b11 値で駆動 し ます。 同様に、 TX_CLK1_QPLL に よ っ て、 tx_m が High の と き の txsysclksel を駆
動す る 値を決定 し ます。
ま た、 TX_CLK0_QPLL お よ び TX_CLK1_QPLL パ ラ メ ー タ ーを使用 し て TX シ リ アル ク ロ ッ ク 分周
期の値 も 決定 し ます。 TX シ リ アル ク ロ ッ ク ソ ース が QPLL ま たは CPLL かに よ っ て分周期の値が異
な り ます。 TX シ リ アル ク ロ ッ ク 分周器は、 TX を実行 し てい る SDI モー ド に よ っ て も 異な り ます。
RX シ リ アル ク ロ ッ ク ソ ース が QPLL ま たは CPLL かに よ っ て、 RX_CLK_QPLL パ ラ メ ー タ ーを使
用 し てシ リ アル ク ロ ッ ク 分周器の値を決定 し ます。 RX シ リ アル ク ロ ッ ク 分周器は、 RX を実行 し てい
る SDI モー ド に よ っ て も 異な り ます。
TX_CLK0_QPLL お よ び TX_CLK1_QPLL パ ラ メ ー タ ーは固定で、動的に変更す る こ と はで き ません。
TX シ リ アル ク ロ ッ ク ソ ース が動的に切 り 替え ら れ る よ う に、TX には 2 つのパ ラ メ ー タ ーがあ り ます。
RX_CLK_QPLL パ ラ メ ー タ ー も 固定ですが、 SDI アプ リ ケーシ ョ ン では RX シ リ アル ク ロ ッ ク ソ ー
ス の動的な切 り 替えが不要なため、 こ のパ ラ メ ー タ ー し か指定 さ れません。
ビデオ伝送検出ポー ト
SDI コ アの RX 部分には SDI 転送フ ォーマ ッ ト 検出回路があ り ます。こ の回路は、SDI デー タ ス ト リ ー
ムにおけ る ビデオ転送の タ イ ミ ン グ を分析 し 、 受信 し てい る ビデオ フ ォーマ ッ ト を判断 し ます。 こ の回
路の動作は、 ST 352 ペ イ ロ ー ド ID パケ ッ ト の有無に依存 し ません。 こ の回路は、 画像フ ォーマ ッ ト で
はな く 転送フ ォーマ ッ ト を判断 し ます。 こ れ ら は通常同 じ ですが、 必ず し も そ う と は限 り ません。 た と
えば、 3G-SDI level B-DL で 1080p 50Hz の ビデオ転送が行われ る 場合、 実際の ビデオ転送は 1080i
50Hz と な り ます (転送は イ ン タ ーレース方式で、 画像はプ ロ グ レ ッ シブ方式)。
rx_t_family 出力ポー ト では、 SDI 信号の転送におけ る ビデオ フ ォーマ ッ ト フ ァ ミ リ を示す 4 ビ ッ ト の
コ ー ド を提供 し ます。 表 3 に、 こ の出力ポー ト のエン コ ー ド を示 し ます。 ま た転送検出ユニ ッ ト は、 SDI
転送の方式 ( イ ン タ ーレース ま たはプ ロ グ レ ッ シブ ) も 判断 し 、rx_t_scan 出力ポー ト へレ ポー ト し ます。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
46
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
表 3 : rx_t_family のエ ン コ ー ド
rx_t_family
転送ビデオ フ ォ ーマ ッ ト
アクテ ィ ブ ピク
セル
0000
SMPTE ST 274
1920 x 1080
0001
SMPTE ST 296
1280 x 720
0010
SMPTE 2048-2
2048 x 1080
0011
SMPTE 295
1920 x 1080
1000
NTSC
720 x 486
1001
PAL
720 x 576
1111
不明
-
その他
予約
-
転送検出回路は SDI 信号の転送レー ト も 判断 し ます。 rx_t_rate ポー ト は転送フ レーム レー ト を示 し ま
す (表 4 を参照)。 フ レーム レー ト のエン コ ー ド は、 SMPTE ST 352 ビデオ ペ イ ロ ー ド ID パケ ッ ト の
画像レー ト フ ィ ール ド で使用 さ れ る エン コ ー ド と 同 じ です。 ただ し 、 rx_t_rate は画像レー ト ではな く 、
転送フ レーム レ ー ト を示 し ま す。 rx_t_rate ポー ト の値は、 イ ン タ ーレ ー ス転送であ っ て も 常に フ レー
ム レー ト を示 し ます。
表 4 : rx_t_rate のエ ン コ ー ド
rx_t_rate
フ レーム レー ト
0000
なし
0010
23.98Hz
0011
24Hz
0100
47.95Hz
0101
25Hz
0110
29.97Hz
0111
30Hz
1000
48Hz
1001
50Hz
1010
59.94Hz
1011
60Hz
その他
予約
注記 : SDI RX が SDI 信号に ロ ッ ク し た後、 転送フ ォーマ ッ ト 検出回路が転送フ ォーマ ッ ト を認識す る
ま でには、 最大で 2 ビデオ フ レーム必要です。
SD-SDI RX EDH プ ロ セ ッ サ
SDI レ シーバーには、 SD-SDI モー ド での レ シーバー エ ラ ーを検出す る ために、 EDH プ ロ セ ッ サ を オ
プシ ョ ンで含め る こ と がで き ます。 EDH プ ロ セ ッ サは、 SD-SDI デー タ ス ト リ ーム内の EDH パケ ッ ト
を ア ッ プデー ト し ません。 エ ラ ーを レ ポー ト し 、 そ し て各 EDH パケ ッ ト か ら のエ ラ ー フ ラ グ を キ ャ プ
チ ャ し ます。
EDH プ ロ セ ッ サには、 エ ラ ーが あ る フ ィ ール ド の数を カ ウ ン ト す る 16 ビ ッ ト の カ ウ ン タ ーが あ り ま
す。 現在 の エ ラ ー 数 は、 SDI ラ ッ パ ー の rx_edh_errcnt ポ ー ト に 出 力 さ れ ま す。 カ ウ ン タ ー値 は、
rx_edh_clr_errcnt が High にアサー ト さ れ る と ク リ ア さ れます。 rx_edh_errcnt_en ポー ト を使用 し 、 こ
のカ ウ ン タ ーで カ ウ ン ト さ れ る エ ラ ーの種類を指定で き ま す。 こ のポー ト には、 16 個の異な る エ ラ ー
タ イ プ を有効/無効に設定する ための 16 ビ ッ ト があ り ます。 High に設定 さ れた ビ ッ ト に基づいて、 対応
す る エ ラ ー タ イ プがカ ウ ン タ ーでカ ウ ン ト さ れ る よ う にな り ま す。 Low に設定 さ れた ビ ッ ト は、 対応
す る エ ラ ー タ イ プ を無効に し ます。 同 じ フ ィ ール ド に複数のエ ラ ーあ る 場合、 EDH エ ラ ー カ ウ ン タ ー
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
47
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
は 1 つ し か イ ン ク リ メ ン ト し ません。 表 5 に、 rx_edh_errcnt_en ポー ト のビ ッ ト のエン コ ー ド を示 し ま
す。
表 5 : rx_edh_errcnt_en のビ ッ ト
ビ ッ ト 番号
エラー
0
ANC EDH エ ラ ー (1)
1
ANC EDA エ ラ ー (1)
2
ANC IDH エ ラ ー (1)
3
ANC IDA エ ラ ー (1)
4
ANC UES エ ラ ー (1)
5
FF EDH エ ラ ー (2)
6
FF EDA エ ラ ー (2)
7
FF IDH エ ラ ー (2)
8
FF IDA エ ラ ー (2)
9
FF UES エ ラ ー (2)
10
AP EDH エ ラ ー (3)
11
AP EDA エ ラ ー (3)
12
AP IDH エ ラ ー (3)
13
AP IDA エ ラ ー (3)
14
AP UES エ ラ ー (3)
15
EDH パケ ッ ト チ ェ ッ ク サム エ ラ ー (4)
注記 :
1.
2.
3.
4.
ANC エ ラ ーは補助デー タ パケ ッ ト 内のエ ラ ーを示 し ます。
FF エ ラ ーはフル フ ィ ール ド (Full Field) CRC で検出 さ れ る エ ラ ーを示 し ます。
AP エ ラ ーはア ク テ ィ ブ画像 (Active Picture) CRC で検出 さ れ る エ ラ ーを示 し ます。
EDH パケ ッ ト チ ェ ッ ク サム エ ラ ーは、 EDH パケ ッ ト 内でチ ェ ッ ク サム エ ラ ーが生 じ
た こ と を示 し ます。
ANC、 FF、 AP それぞれのエ ラ ー条件には、 5 つの個々のエ ラ ー フ ラ グがあ り ます。 こ れ ら の フ ラ グが
High にアサー ト さ れ る こ と で、 エ ラ ー条件を示 し ます。 EDH パケ ッ ト の EDH、 EDA、 IDH、 IDA、 お
よ び UES エ ラ ー フ ラ グの詳細は、 SMPTE RP 165 の資料 [参照 5] を参照 し て く だ さ い。
•
•
EDH エ ラ ー : EDH プ ロ セ ッ サがフ ィ ール ド で CRC エ ラ ー (ANC パケ ッ ト のチ ェ ッ ク サム エ ラ ー )
を検出する と 、 こ のエ ラ ー条件が生 じ ます。 た と えば、 FF EDH エ ラ ー フ ラ グは、 フル フ ィ ール ド
CRC でエ ラ ーが検出 し た こ と を示 し ます。
EDA エ ラ ー : 受信 し た EDH パケ ッ ト の EDA ま たは EDH フ ラ グがアサー ト さ れ る と 、 こ のエ
•
•
IDH エ ラ ー : こ のエ ラ ー条件は、 RX EDH プ ロ セ ッ サでサポー ト さ れてい ません。
IDA エ ラ ー : 受信 し た EDH パケ ッ ト の IDA ま たは IDH フ ラ グがアサー ト さ れ る と 、 こ のエ ラ ー
•
UES エ ラ ー : 受信 し た EDH パケ ッ ト の UES フ ラ グがアサー ト さ れ る と 、 こ のエ ラ ー条件が生 じ
ラ ー条件が生 じ ます。
条件が生 じ ます。
ます。
エ ラ ー カ ウ ン タ ーが有効の場合、 こ れ ら が カ ウ ン ト さ れ る のに加え て、 検出 さ れた ANC EDH、 AP
EDH、 お よ び FF EDH エ ラ ー も rx_edh_anc、 rx_edh_ap、 お よ び rx_edh_ff ポー ト のそれぞれのアサー
ト に よ っ て示 さ れます。 つま り 、 rx_edh_anc ポー ト は、 補助デー タ パケ ッ ト にチ ェ ッ ク サム エ ラ ーが
検出 さ れ る と アサー ト さ れます。 rx_edh_ap ポー ト は、 計算 さ れた ア ク テ ィ ブ画像 CRC が EDH パケ ッ
ト 内の AP CRC と 一致 し ていない場合にアサー ト さ れます。 そ し て、 rx_edh_ff ポー ト は、 計算 さ れた
フル フ ィ ール ド CRC が EDH パケ ッ ト 内の FF CRC と 一致 し ていない場合にアサー ト さ れます。
RX EDH プ ロ セ ッ サ も 、 EDH パ ケ ッ ト か ら の ANC、 AP、 お よ び FF フ ラ グ を rx_edh_anc_flags、
rx_edh_ap_flags、 お よ び rx_edh_ff_flags ポー ト にそれぞれ出力 し ます。 こ れ ら の出力ポー ト では、 最
後に受信 し た EDH パケ ッ ト で生 じ た フ ラ グ を正確に反映 し ます。 し たがっ て、 こ れ ら は、 エ ラ ー カ ウ
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
48
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
ン タ ーを イ ン ク リ メ ン ト す る ための検出 さ れたエ ラ ーや rx_edh_anc、rx_edh_ap、お よ び rx_edh_ff ポー
ト の出力 と は異な り ま す。 た と えば、 rx_edh_ap_flags ポー ト の EDH フ ラ グ ( ビ ッ ト 0) は、 最後に受
信 し た EDH パケ ッ ト で AP EDH フ ラ グがセ ッ ト さ れた こ と を示 し ますが、 rx_edh_ap ポー ト は、 EDH
プ ロ セ ッ サで ロ ーカルに計算 さ れた ア ク テ ィ ブ画像 CRC が EDH パケ ッ ト の AP CRC と 一致 し ていな
い こ と を示 し ま す。 rx_edh_anc_flags、 rx_edh_ap_flags、 お よ び rx_edh_ff_flags ポー ト はそれぞれ 5
ビ ッ ト 幅です。 こ れ ら 3つすべてのエン コ ー ド は同 じ です (表 6 を参照)。
表 6 : Encoding of rx_edh_anc_flags、
rx_edh_ap_flags、 お よび rx_edh_ff_flags ポー ト のエ ン コ ー ド
ビ ッ ト 番号
フ ラグ
0
EDH
1
EDA
2
IDH
3
IDA
4
UES
ま た、 RX EDH プ ロ セ ッ サは、 EDH パケ ッ ト の フ ォーマ ッ ト や内容に応 じ て 4 つのエ ラ ー フ ラ グ を生
成 し ます。 こ れ ら のエ ラ ー フ ラ グは、 rx_edh_packet_flags ポー ト に出力 さ れ ます。 表 7 に、 こ のポー
ト のエン コ ー ド を示 し ます。
表 7 : rx_edh_packet_flags ポー ト のエ ン コ ー ド
ビ ッ ト 番号
エラー
0
EDH パケ ッ ト を確認で き ない
1
EDH パケ ッ ト のユーザー デー タ ワー ド にパ リ テ ィ エ ラ ーがあ る
2
EDH パケ ッ ト にチ ェ ッ ク サム エ ラ ーがあ る
3
EDH パケ ッ ト に フ ォーマ ッ ト エ ラ ーがあ る
(無効なデー タ 数な ど )
GTH の初期化 と リ セ ッ ト 、 および変更シーケ ン スのエ ラ ー コ ー ド
GTH RX の初期化シーケ ン ス ま たは リ セ ッ ト シーケ ン ス、 あ る いは RX SDI モー ド の動的変更を実行
中 に エ ラ ー が 発 生す る と 、 rx_change_fail ポ ー ト が High に ア サ ー ト さ れ て エ ラ ー コ ー ド が
rx_change_fail_code ポー ト に出力 さ れ ます。 各シーケ ン ス は、 リ ト ラ イ カ ウ ン タ ーで許容 さ れ る 最大
回 数 ま で 再 実 行 さ れ る と エ ラ ー で 終 了 し ま す。 リ ト ラ イ 可 能 な 最 大 回 数 は、
RETRY_CNTR_BITWIDTH パ ラ メ ー タ ーま たはジ ェ ネ リ ッ ク で指定 し た リ ト ラ イ カ ウ ン タ ーの ビ ッ
ト 幅で制御 さ れます。 リ ト ラ イ の回数は次の式で求め ら れます。
リ ト ラ イ = 2RETRY_CNTR_BITWIDTH – 1
表 8 に、 rx_change_fail ポー ト のエン コ ー ド を示 し ます。
表 8 : rx_change_fail_code ポー ト のエ ン コ ー ド
コー ド
説明
0
PLL が許容時間内に基準 ク ロ ッ ク へ ロ ッ ク で き なか っ た、 ま たは GTH ト ラ ン シーバーが
gtrxrese 後の許容時間内に rxresetdone を アサー ト で き なか っ た こ と を示 し ます。
1
DRP が常時ビ ジー状態であ っ たため、 DRP アービ タ が gtrxreset シーケ ン ス を実行する た
めに DRP の制御を GTH ラ ッ パーの gtrxreset ス テー ト マシ ンへ移す こ と がで き なかっ た
こ と を示 し ます。 こ の よ う なエ ラ ーは、 a7gth_sdi_drp_control モジ ュ ールに DRP の使用
を中断 さ せない問題があ る と き にのみ発生 し ます。
2
XAPP1187 (v1.0) 2014 年 2 月 21 日
RX SDI モー ド の変更シーケ ン ス が開始 さ れ る 場合は、 v7gth_sdi_drp_control モジ ュ ール
が DRP アービ タ か ら DRP を要求 し てそのシーケ ン ス に着手 し ます。 DRP 要求が リ ト ラ
イ を含む許容時間内に許可 さ れなか っ た場合、 こ のエ ラ ー コ ー ド でシーケ ン ス にエ ラ ーが
発生 し ます。
japan.xilinx.com
49
Virtex-7 に SDI イ ン タ ー フ ェ イ ス を実装
表 8 : rx_change_fail_code ポー ト のエ ン コ ー ド (続き )
コー ド
説明
3
GTH ト ラ ン シーバーの RXCDR_CFG 属性を変更する こ と が必要 と な る RX SDI モー ド の
変更が要求 さ れ る と 、 v7gth_sdi_drp_control モジ ュ ールが こ の属性を変更する ために一連
の DRP 書 き 込みサ イ ク ルを実行 し よ う と し ます。 こ れ ら 書 き 込みサ イ ク ルのいずれかが、
drprdy ポー ト を アサー ト し て GTH ト ラ ン シーバーに よ っ て許容時間内に肯定応答 さ れな
い場合は、 シーケ ン ス全体を中止 し 、 許容 さ れ る 最大回数ま で再実行 し ます。 リ ト ラ イ が
最大回数ま で再実行 さ れた後に RXCDR_CFG 属性が正 し く 変更 さ れなか っ た場合、 こ の
エ ラ ー コ ー ド がアサー ト さ れます。
4
RX シ リ アル ク ロ ッ ク 分周器を変更す る こ と が必要 と な る RX SDI モー ド の変更が要求 さ
れ る と 、 v7gth_sdi_drp_control モジ ュ ールが こ のシ リ アル ク ロ ッ ク 分周器を変更す る た
めに DRP 読みだ し サ イ ク ルを実行 し た後に DRP 書き 込みサ イ ク ルを実行 し ます。 こ れ ら
DRP サ イ ク ルのど ち ら かが、 drprdy ポー ト を アサー ト し て GTH ト ラ ン シーバーに よ っ て
許容時間内に肯定応答 さ れない場合は、 シーケ ン ス全体を中止 し 、 許容 さ れ る 最大回数ま
で再実行 し ます。 リ ト ラ イ が最大回数ま で再実行 さ れた後にシ リ アル ク ロ ッ ク 分周器が正
し く 変更 さ れなか っ た場合、 こ のエ ラ ー コ ー ド がアサー ト さ れます。
5
RXCDR_CFG 属性、 RX シ リ アル ク ロ ッ ク 分周期、 お よ び/ ま たは GTH ト ラ ン シーバー
の rxcdrhold ポー ト を動的に変更 し た後、 gtrxreset を アサー ト し て v7gth_sdi_drp_control
モジ ュ ールが GTH RX を リ セ ッ ト し ます。 GTH ト ラ ン シーバーが リ ト ラ イ を含む許容時
間内に gtrxreset の要求に応 じ なか っ た場合、 こ のエ ラ ー コ ー ド がアサー ト さ れます。
6
予約
7
予約
rx_change_fail ポー ト を High に遷移 さ せ る シーケ ン ス エ ラ ーが原因で、 SDI ラ ッ パーの GTH RX 制
御 ロ ジ ッ ク がエ ラ ーで停止 し ます。 それで も 、 GTH RX は SDI 信号を受信す る か も し れ ませんが、 こ
れ ま で どお り に SDI モー ド が動的に切 り 替え ら れな く な り ま す。 こ の よ う なエ ラ ー状態を解決す る に
は、 rx_gth_full_reset を High にアサー ト し て GTH RX を完全に リ セ ッ ト する 必要があ り ます。 繰 り 返
し 発生す る エ ラ ーは、 アプ リ ケーシ ョ ンのデザ イ ンに問題があ る と 考え ら れます。
GTH TX の初期化シーケ ン ス ま たは リ セ ッ ト シーケ ン ス の実行中、 あ る いは GTH ト ラ ン シーバーの
txrate ま たは txsysclksel ポー ト を動的に変更 し てい る と き にエ ラ ーが発生する と 、 tx_change_fail ポー
ト が High にアサー ト さ れてエ ラ ー コ ー ド が rx_change_fail ポー ト に出力 さ れ ま す。 RX 側 と 同様に、
に、
リ ト ラ イ 可 能 な 最 大 回数再実行 さ れ た 後 に の み シ ー ケ ン ス に エ ラ ー が 発生 し ま す。 表 9
tx_change_fail_code ポー ト のエン コ ー ド を示 し ます。
表 9 : tx_change_fail_code ポー ト のエ ン コ ー ド
値
説明
0
予約
1
フル リ セ ッ ト シーケ ン ス ま たは GTH 初期化シーケ ン ス の実行中、 GTH TX にシ リ アル
ク ロ ッ ク を供給す る PLL が リ セ ッ ト 後 リ ト ラ イ を含む許容時間内に lock 信号を アサー
ト で き なか っ た こ と を示 し ます。
2
tx_gth_full_reset ま たは tx_gth_reset ポー ト のアサー ト に よ っ て ア プ リ ケーシ ョ ン で要
求 さ れた GTH ト ラ ン シーバーの初期化シーケ ン ス、 GTH ト ラ ン シーバーの フル リ セ ッ
ト シーケ ン ス、 ま たは gttxreset シーケ ン ス を実行中、 GTH ト ラ ン シーバーが gttxreset
のア サー ト 後 リ ト ラ イ を含む許容時間内に txresetdone 信号を ネゲー ト で き なか っ た こ
と を示 し ます。GTH ト ラ ン シーバーが リ セ ッ ト シーケ ン ス を開始で き なか っ た こ と を示
し ます。
3
tx_gth_full_reset ま たは tx_gth_reset ポー ト のアサー ト に よ っ て ア プ リ ケーシ ョ ン で要
求 さ れた GTH 初期化シーケ ン ス、GTH フル リ セ ッ ト シーケ ン ス、ま たは gttxreset シー
ケ ン ス を 実行中、 GTH ト ラ ン シ ーバー が gttxreset 後 リ ト ラ イ を 含む許容時間内 に
txresetdone 信号を アサー ト で き なか っ た こ と を示 し ます。GTH ト ラ ン シーバーが リ セ ッ
ト シーケ ン ス を完了で き なかっ た こ と を示 し ます。
4
XAPP1187 (v1.0) 2014 年 2 月 21 日
GTH ト ラ ン シーバーが、 リ ト ラ イ を含む許容時間内に txratedone 出力を アサー ト し て
txrate が問題な く 完了 し た こ と を示す こ と がで き なか っ た こ と を示 し ます。
japan.xilinx.com
50
SDI デモ ン ス ト レーシ ョ ンの例
表 9 : tx_change_fail_code ポー ト のエ ン コ ー ド (続き )
値
説明
5
アプ リ ケーシ ョ ンが、 SDI ラ ッ パーの tx_m 入力を変更 し て txsysclksel の動的な変更を
要求す る 場合、 txsysclksel の変更前に gttxreset がアサー ト さ れ ます。 GTH が リ ト ラ イ
を 含む許容時間内に gttxreset の ア サー ト に応 じ て txresetdone 出力 を ネ ゲー ト で き な
か っ た場合、 こ のエ ラ ー コ ー ド で txsysclksel 変更シーケ ン ス にエ ラ ーが発生 し ます。
6
txsysclksel の動的変更実行中に gttxreset がアサー ト さ れます。 txsysclksel が変更 さ れ る
と 、 gttxreset がネゲー ト さ れます。 GTH ト ラ ン シーバーが、 gttxreset のネゲー ト 後 リ ト
ラ イ を含む許容時間内に txresetdone 出力を アサー ト で き なかっ た場合、こ のエ ラ ー コ ー
ド で txsysclksel 変更シーケ ン ス にエ ラ ーが発生 し ます。
7
予約
SDI の タ イ ミ ン グ制約
SDI ラ ッ パーお よ び SDI コ アについては、 ク ロ ッ ク の周期にのみ制約を適用す る 必要があ り ます。 こ こ
でい う ク ロ ッ ク と は、 SDI ラ ッ パーの clk、 rx_usrclk、 tx_usrclk、 お よ び gth_drpclk ポー ト へ適用 さ れ
る ク ロ ッ ク です。
rx_usrclk お よ び tx_userclk ク ロ ッ ク は通常 148.5MHz に制約 さ れ、 150MHz に繰 り 上げ ら れ る こ と も
あ り ます。
特記のない限 り 、 Vivado ツールではすべての ク ロ ッ ク に関連性があ る と さ れます。 SDI ラ ッ パーの さ ま
ざ ま な ク ロ ッ ク には一般に関連性がないため、 こ れ ら の ク ロ ッ ク に関連性がない と い う こ と を指定す る
制約が必要です。
こ れ ら の制約の設定例は、 こ こ で提供す る SDI デモン ス ト レーシ ョ ン例の タ イ ミ ン グ制約フ ァ イ ルを参
照 し て く だ さ い。
SDI デモ ン ス ト
レーシ ョ ンの例
こ のアプ リ ケーシ ョ ン ノ ー ト では、 2 つの SDI デモン ス ト レーシ ョ ン アプ リ ケーシ ョ ン を紹介 し ます。
こ れ ら のデモ ン ス ト レーシ ョ ン の ソ ー ス コ ー ド は Verilog のみで提供 し てい ます。 Vivado ツールを使
用 し て、 こ れ ら のデモ ン ス ト レ ーシ ョ ン を 構築す る 手順につい て は、 ソ ー ス コ ー ド が含 ま れて い る
xapp1187.zip フ ァ イ ル内の readme.txt フ ァ イ ルをお読み く だ さ い。 生成済みの FPGA コ ン フ ィ
ギ ュ レ ーシ ョ ン フ ァ イ ル も 両デモ ン ス ト レ ーシ ョ ン で提供 さ れてお り 、 Virtex-7 FPGA VC709 評価
社製
ボ ー ド に ロ ー ド で き ま す。 こ れ ら の デ モ ン ス ト レ ー シ ョ ン を 実行す る に は、 Inrevium
TB-FMCH-3GSDI2A FMC が必要です。こ れを VC709 ボー ド の FMC コ ネ ク タ へ接続する こ と で、SDI
ケーブル ド ラ イ バー と SDI ケーブル イ コ ラ イ ザーが提供 さ れ ま す。 ま た こ の FMC は、 GTH ト ラ ン
シーバーの基準 ク ロ ッ ク と し て使用 さ れ る SDI 特有の ク ロ ッ ク ソ ース も 提供 し ます。
ク ワ ッ ド SDI デモ ン ス ト レーシ ョ ン
こ のデモ アプ リ ケーシ ョ ンには、4 つの SDI RX イ ン タ ーフ ェ イ ス と 4 つの SDI TX イ ン タ ーフ ェ イ ス
があ り 、こ れ ら はすべて独立 し てい ます。こ のアプ リ ケーシ ョ ンで使用す る 4 つの GTH ト ラ ン シーバー
はすべて同 じ GTH ク ワ ッ ド にあ り ます。
各 SDI TX は、 ビ デオ パ タ ーン ジ ェ ネ レ ー タ ーで駆動 さ れ ま す。 各 SDI TX の SDI モー ド 、 ビ デオ
フ ォーマ ッ ト 、 お よ びビデオ パ タ ーンは、 ChipScope™ Pro Analyzer の VIO ウ ィ ン ド ウ で個別に選択
で き ます。 各 SDI RX の ス テー タ スは、 ChipScope Pro Analyzer の VIO ウ イ ン ド ウ でモニ タ ーで き ま
す。 ま た、 各 SDI RX で受信 し た ビデオ デー タ は、 ChipScope Pro Analyzer の ILA ウ ィ ン ド ウ に表示
で き ます。
ChipScope Pro Analyzer の代わ り に Vivado ロ ジ ッ ク 解析を使用 し てデモン ス ト レーシ ョ ン を制御お よ
び監視で き ますが、ChipScope Pro Analyzer の方が SDI デモン ス ト レーシ ョ ンに よ り 対応 し たユーザー
イ ン タ ーフ ェ イ ス が提供 さ れます。 し たがっ て、 ChipScope Pro Analyzer の使用を推奨 し てお り 、 こ の
アプ リ ケーシ ョ ン ノ ー ト で提供 さ れ る 手順は ChipScope Pro Analyzer にのみ対応 し てい ます。 アプ リ
ケーシ ョ ンは ChipScope Pro Analyzer ま たは Vivado ロ ジ ッ ク 解析のいずれか を使用 し て構築可能で、
こ れ ら の両ツールでデモ を構築す る 手順が readme.txt フ ァ イ ルに含ま れてい ます。 xapp1187.zip
フ ァ イ ルに含まれ る FPGA コ ン フ ィ ギ ュ レーシ ョ ン フ ァ イ ルは ChipScope Pro Analyzer 向けに構築 さ
れてい ます。
Inrevium 社製 SDI FMC ボー ド には、 SDI イ ン タ ーフ ェ イ ス用の コ ネ ク タ が 6 つあ り ます。 CH0-RX お
よ び CH0-TX と 表示 さ れてい る コ ネ ク タ は、 最初の SDI イ ン タ ーフ ェ イ ス の SDI RX と TX コ ネ ク タ
で、 CH1-RX お よ び CH1-TX と 表示 さ れてい る コ ネ ク タ は 2 番目の SDI イ ン タ ーフ ェ イ ス の SDI RX
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
51
SDI デモ ン ス ト レーシ ョ ンの例
お よ び TX コ ネ ク タ です。3 番目 と 4 番目の SDI イ ン タ ーフ ェ イ ス にはそれぞれに コ ネ ク タ が 1 つ し か
な く 、 CH2 お よ び CH3 と 表示 さ れてい ます。 こ れ ら は双方向 イ ン タ ーフ ェ イ ス と な り ます。 ChipScope
Pro Analyzer の TX2 VIO ビ ュ ーお よ び TX3 VIO ビ ュ ーには、 双方向 イ ン タ ーフ ェ イ ス の入出力方向
を制御す る ボ タ ンが 1 つずつあ り ます。
図 20 のデモン ス ト レーシ ョ ン ブ ロ ッ ク 図では、 ク ワ ッ ド の最初の GTH ト ラ ン シーバーへ接続 さ れ る
SDI チ ャ ネル 0 を示 し てい ます。 CH0 SDI ラ ッ パーは QPLL マ ス タ ーであ り 、 Inrevium FMC に双方
向 SDI イ ン タ ーフ ェ イ ス が備わ る よ う QPLL、 CH2、 お よ び CH3 の リ セ ッ ト を行い ますが、 こ のデモ
ン ス ト レーシ ョ ンでは 4 つの SDI チ ャ ネルは CH0 SDI ラ ッ パーを除いてすべて同 じ です。
X-Ref Target - Figure 20
%8)*
0+]
%8)*
GUSFON
W[RXWFON
0+]
W[BYLGHRBDB\BLQ
W[XVUFON
,%8)'6B
*7(
JWKBGUSFON
FON
W[XVUFON
43//
W[BYLGHRBDBFBLQ
W[BOLQHBD
0+]
W[BXVUFON
,%8)'6B
*7(
&3//
7;93,''DWD
W[BPRGH
JWKBW[V\VFONVHO
W[V\VFONVHO
'LYLGHU
W[BFH
W[UDWH
JWKBW[UDWH
9LGHR
3DWWHUQ
*HQHUDWRU
V
93,
'
*HQ
9,
2
&ORFN
(QDEOH
*HQHUDWRU
6',:UDSSHU
W[S
6',2XW
W[Q
7;
W[GDWD
JWKBW[GDWD
5;(UURUV
5;6WDWXV
5;93,'
'LYLGHU
5;9LGHR7LPLQJ
U[S
6',,Q
&DEOH(4
U[Q
5;
U[GDWD
JWKBU[GDWD
U[BGVD
U[BGVD
*7+5HVHWV
*7+6WDWXV
*7+5HVHWV U[BGVE
*7+6WDWXV U[BGVE
'53
*7+:UDSSHU
9,
2
,/$
'53
%8)*
U[RXWFON
U[BXVUFON
U[XVUFON
U[XVUFON
YJWKBVGLBU[W[0RGXOH
;BB
図 20 : ク ワ ッ ド SDI のブ ロ ッ ク図
Inrevium SDI FMC ボー ド には 148.5MHz お よ び 148.5/1.001MHz のオシ レー タ ーがあ り 、 こ のデモン
ス ト レーシ ョ ン では各 ト ラ ン シーバーの QPLL お よ び CPLL に基準 ク ロ ッ ク を供給す る ためにそれぞ
れを使用 し てい ます。 148.5MHz の基準 ク ロ ッ ク は QPLL で使用 さ れ、 148.5/1.001MHz の基準 ク ロ ッ
ク は CPLL で使用 さ れます。 GTH ト ラ ン ス ミ ッ タ ーは、 すべての SDI ビ ッ ト レー ト に対応で き る よ う
に QPLL か ら のシ リ アル ク ロ ッ ク と CPLL か ら のシ リ アル ク ロ ッ ク を動的に動的に切 り 替え ます。
Inrevium のボー ド 上にあ る LMH1983 デバ イ ス は、 制御モジ ュ ールが必要 と す る DRP ク ロ ッ ク と 固定
周波数 ク ロ ッ ク に使用 さ れ る 27MHz ク ロ ッ ク を Virtex-7 FPGA に提供 し ます。
こ のデモン ス ト レーシ ョ ンでは、 SDI イ ン タ ーフ ェ イ ス を簡単に 4 回複製で き る よ う に、 1 つの SDI イ
ン タ ー フ ェ イ ス に対応す る SDI ラ ッ パー、 GTH ラ ッ パー、 ビデオ パ タ ーン ジ ェ ネ レ ー タ ー、 TX ク
ロ ッ ク イ ネーブル ジ ェ ネ レー タ ー、 ChipScope VIO/ILA モジ ュ ール、 そ し てその他の ロ ジ ッ ク を 1 つ
のモジ ュ ール (v7gth_sdi_rxtx) に含めてい ます。 こ のモジ ュ ールは、 デザ イ ン の最上位モジ ュ ールに 4
回 イ ン ス タ ン シエー ト さ れます。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
52
SDI デモ ン ス ト レーシ ョ ンの例
ク ワ ッ ド SDI デモン ス ト レーシ ョ ンの実行に必要な も のは次の と お り です。
•
•
•
•
•
•
ザ イ リ ン ク ス の Virtex-7 FPGA VC709 評価キ ッ ト
Inrevium 社製 TB-FMCH-3GSDI2A SDI FMC
DIN 1.0/2.3 か ら BNC への コ ンバー タ ー ケーブル (TB-FMCH-3GSDI2A に付属)
SDI 信号 ソ ース
SDI 信号シ ン ク (SDI ト ラ ン ス ミ ッ タ ーか ら の信号を表示す る ための波形モニ タ ーま たはその他の
デバ イ ス )
ChipScope Pro Analyzer を イ ン ス ト ール し た PC
Inrevium SDI FMC ボー ド は、 VC709 ボー ド 上の FMC コ ネ ク タ へ接続 し ます (図 20 を参照)。
X-Ref Target - Figure 21
86%&DEOH
IRU-7$*
6',&RQQHFWRUV
7%)0&+*6',$
9&%RDUG
;BB
図 21 : VC709 ボー ド と TB-FMCH-3GSDI2A ボー ド の接続
こ の デ モ ン ス ト レ ー シ ョ ン を 実行す る に は、 ChipScope Pro Analyzer が 必要 で す。 ChipScope Pro
Analyzer を使用 し て SDI ト ラ ン ス ミ ッ タ ーを 制御 し た り 、 ス テー タ ス や SDI レ シーバーか ら の受信
デー タ を分析 し ます。 VC709 ボー ド は、 付属の USB JTAG ケーブルを用いて ChipScopePro Analyzer
を イ ン ス ト ール し た PC へ接続 し ます。
こ のアプ リ ケーシ ョ ン ノ ー ト で提供する vc709_sdi_demo.bit と い う コ ン フ ィ ギ ュ レーシ ョ ン フ ァ
イ ルは、 ChipScope Pro Analyzer を使用す る VC709 ボー ド 上の Virtex-7 FPGA へ ロ ー ド し ます。 こ の
コ ン フ ィ ギ ュ レ ー シ ョ ン フ ァ イ ル を FPGA へ ロ ー ド し た 後、 ChipScope Pro Analyzer で
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
53
SDI デモ ン ス ト レーシ ョ ンの例
vc709_sdi_demo.cpj と い う ChipScope Analyzer のプ ロ ジ ェ ク ト フ ァ イ ルを開いて く だ さ い。 こ の
プ ロ ジ ェ ク ト フ ァ イ ルを開 く と 、 図 22 の よ う な画面が表示 さ れ ま す。 9 つの VIO ビ ュ ーがあ り 、 各
RX お よ び TX を示すビ ュ ーが 1 つずつ (合計 8 つ)、 そ し て PLL の ロ ッ ク ス テー タ ス を示すビ ュ ーが
1 つです。 ま た、 4 つの ILA 波形ビ ュ ーがあ り 、 それぞれがデモン ス ト レーシ ョ ンの各レ シーバーを示
し てい ます (図 22 の 「Minimized (最小化)」 を参照)。 ChipScope Pro プ ロ ジ ェ ク ト を開いて も 、 PLL ス
テー タ ス VIO が表示 さ れない こ と があ り ます。 こ の ビ ュ ーは、 ChipScope Pro Analyzer ウ ィ ン ド ウ の
右上にあ る [Project] パネルで [UNIT:0 MyVIO0] を ク リ ッ ク す る と 開 き ます。
X-Ref Target - Figure 22
7;9,2 5;9,2
7;9,2 5;9,2
7;9,2 5;9,2
7;9,2 5;9,2
3//6WDWXV
9,2
;BB
図 22 : ChipScopePro Analyzer と ク ワ ッ ド SDI プ ロ ジ ェ ク ト
SDI ト ラ ン ス ミ ッ タ ーで生成 さ れ る 信号を観察する ために、SDI 波形モニ タ ーま たはその他の SDI デバ
イ ス を SDI TX の出力へ接続 し ま す。 ま たは逆に、 ケーブルを用いて SDI ト ラ ン ス ミ ッ タ ーの出力を
Inrevium FMC の SDI 入力の 1 つに接続す る こ と がで き ます。 Inrevium SDI FMC ボー ド の SDI コ ネ
ク タ は、 標準 BNC コ ネ ク タ ではないため、 こ れ ら の DIN 1.0/2.3 コ ネ ク タ を標準 BNC コ ネ ク タ へ接続
す る にはア ダプ タ ー ケーブルが必要です。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
54
SDI デモ ン ス ト レーシ ョ ンの例
各 SDI ト ラ ン ス ミ ッ タ ーには VIO 制御ビ ュ ーが 1 つあ り ます。 図 23 に TX2 の VIO 制御ビ ュ ーを示
し ます。
X-Ref Target - Figure 23
7;&KDQJH'RQH6WDWXV
7;&KDQJH)DLO6WDWXV
7;&KDQJH)DLOXUH&RGH
7;5(6(7'21(6WDWXV
7;%LW5DWH7RJJOH%XWWRQ
7;9LGHR)RUPDW6HOHFWLRQ
7;7HVW3DWWHUQ6HOHFWLRQ
7;6',0RGH6HOHFWLRQ
7;2XWSXW(QDEOH
7;*73)XOO5HVHW%XWWRQ
7;*735HVHW%XWWRQ
;BB
図 23 : ク ワ ッ ド SDI デモ ン ス ト レーシ ョ ンの TX VIO 制御ビ ュ ー
TX VIO ビ ュ ーにあ る 最初の 3 つのア イ テ ムは、 それぞれ最後に実行 さ れた GTH TX 初期化シーケ ン
ス ま た は動的変更シー ケ ン ス の ス テー タ ス を 示 し ま す。 最後の シー ケ ン ス が正常に完了 し た場合は、
[Change Done] イ ン ジ ケー タ ーが緑色にな り ます。シーケ ン ス にエ ラ ーが発生 し た場合は、[Change Fail]
イ ン ジ ケー タ ーが赤色にな り 、 [Change Failure Code] にエ ラ ーの原因が示 さ れます (表 9 を参照)。
TXRESETDONE イ ン ジ ケー タ ーは、 GTH ト ラ ン シーバーの TXRESETDONE 信号の ス テー タ ス を示
し ます。 通常の動作中は、 こ の イ ン ジ ケー タ ーは緑色です。
表 10 に示す よ う に、 TX の [Bit Rate]、 [Video Format] お よ び [SDI Mode] の組み合わせに よ っ て、 SDI
ト ラ ン ス ミ ッ タ ーで生成 さ れ る SDI 信号の フ ォーマ ッ ト を選択 し ます。
表 10 : ク ワ ッ ド SDI デモ ン ス ト レーシ ョ ンの TX ビデオ フ ォ ーマ ッ ト の選択
TX のビデオ
フ ォ ーマ ッ ト
HD-SDI (SDI モー ド = 0)
3G-SDI (SDI モー ド = 2)
TX ビ ッ ト レー ト = 0 TX ビ ッ ト レー ト = 1 TX ビ ッ ト レー ト = 0 TX ビ ッ ト レー ト = 1
SD-SDI
(SDI モー ド = 1)
0
720p 50Hz
無効
無効
無効
NTSC
1
1080pSF 24Hz
1080pSF 23.98Hz
無効
無効
PAL
2
1080i 60Hz
1080i 59.94Hz
無効
無効
NTSC
3
1080i 50Hz
無効
無効
無効
PAL
4
1080p 30Hz
1080p 29.97Hz
1080p 60Hz
1080p 59.94Hz
NTSC
5
1080p 25Hz
無効
1080p 50Hz
無効
PAL
6
1080p 24Hz
1080p 23.98Hz
無効
無効
NTSC
7
720p 60Hz
720p 59.94Hz
無効
無効
PAL
TX のビデオ パ タ ーン値には、SDI TX を駆動する ビデオ パ タ ーン ジ ェ ネ レー タ ーで生成 さ れ る ビデオ
テ ス ト パ タ ーン を選択 し ます。 HD-SDI お よ び 3G-SDI モー ド の場合は、 3 つのテ ス ト パ タ ーンがあ り
ます。
•
XAPP1187 (v1.0) 2014 年 2 月 21 日
0 = SMPTE RP 219 カ ラ ー バー
japan.xilinx.com
55
SDI デモ ン ス ト レーシ ョ ンの例
•
•
1 お よ び 3 = SDI パ ソ ロ ジ カル チ ェ ッ ク フ ィ ール ド
2 = 75% カ ラ ー バー
SD-SDI モー ド の場合は、 2 つのテ ス ト パ タ ーンがあ り ます。
•
•
0 お よ び 2 = SMPTE EG 1 カ ラ ー バー
1 お よ び 3 = SDI パ ソ ロ ジ カル チ ェ ッ ク フ ィ ール ド
TX2 お よ び TX3 の VIO ウ ィ ン ド ウ には、 [TX Enable] と い う 追加の ト グル ボ タ ンがあ り ます。 こ れ ら
のボ タ ンに よ っ て、 双方向 SDI イ ン タ ーフ ェ イ ス が送信 ([TX Enable] = 1) ま たは受信 ([TX Enable] =
0) に設定 さ れてい る かを判断 し ます。 [TX Enable] が 1 の場合、 イ ン タ ーフ ェ イ ス は送信に設定 さ れて
お り 、 SDI レ シーバーは無効ではな く 、 ト ラ ン ス ミ ッ タ ーが送信す る 信号を受信 し ます。 た と えば、 TX2
が有効 ([TX Enable] = 1) の場合、 RX2 は TX2 が送信す る 信号を受信 し ます。 Inrevium FMC 上にあ る
双方向 SDI ケーブル ド ラ イ バーお よ び イ コ ラ イ ザー デバ イ ス で、TX2 に よ っ て送信 さ れた SDI 信号が
RX2 の入力に内部ループバ ッ ク さ れ ま す。 TX0 お よ び TX1 には Inrevium FMC 上に TX コ ネ ク タ と
RX コ ネ ク タ が個別に用意 さ れてい る ため、 こ れ ら チ ャ ネルの VIO ビ ュ ーには [TX Enable] ト グル ボ
タ ンはあ り ません。
TX VIO ビ ュ ーの下方部分には、GTH TX を リ セ ッ ト する ボ タ ンが 2 つあ り ます。[TX GTH Full Reset]
は CPLL お よ び GTH TX ユニ ッ ト の両方を リ セ ッ ト し ます。 [TX GTH Reset] は GTH TX ユニ ッ ト の
みを リ セ ッ ト し 、 CPLL は リ セ ッ ト し ません。
それぞれの SDI レ シーバーには、 レ シーバーの ス テー タ ス を モニ タ ーす る ための VIO ビ ュ ー と 、 SDI
RX で受信 し た ビデオ デー タ を表示で き る ILA ビ ュ ーがあ り ます。 図 24 に、 レ シーバーの 1 つの VIO
ビ ュ ーを示 し ます。
X-Ref Target - Figure 24
5;/RFNHG6WDWXV
5;6',6LJQDO7\SH
5;%LW5DWH
6',7UDQVSRUW9LGHR)RUPDW
6',7UDQVSRUW)UDPH5DWH
673D\ORDG,''DWD%\WHV
673D\ORDG,'3DFNHW9DOLG
5;(UURU,QGLFDWRU
5;(UURU&RXQW
5;(UURU&OHDU%XWWRQ
5;&KDQJH'RQH6WDWXV
5;&KDQJH)DLO6WDWXV
5;&KDQJH)DLOXUH&RGH
;BB
図 24 : ク ワ ッ ド SDI デモ ン ス ト レーシ ョ ンの RX ス テー タ ス ウ ィ ン ド ウ
SDI RX が入力 さ れ る SDI 信号に ロ ッ ク さ れ る と 、 [RX Locked] イ ン ジ ケー タ ーが緑色にな り 、 ロ ッ ク
さ れていない場合は灰色にな り ます。
[RX SDI Mode] には、 受信する SDI 信号の タ イ プ(SD-SDI、 HD-SDI、 3G-SDI level A ま たは 3G-SDI
level B) が示 さ れます。
[RX Bit Rate] には、 受信する SDI 信号のビ ッ ト レー ト が示 さ れます。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
56
SDI デモ ン ス ト レーシ ョ ンの例
[SDI Transport Video Format] には SDI 信号で検出 さ れた ビデオ転送に関す る 情報が、 [SDI Transport
Frame Rate] には SDI 信号で検出 さ れた ビデオ転送の フ レーム レー ト が示 さ れます。 こ れ ら は共に、 転
送 ス ト ラ ク チ ャ を 基準 と し てお り 、 画像 フ ォ ーマ ッ ト と は関係あ り ま せん。 た と えば、 3G-SDI level
B-DL イ ン タ ーフ ェ イ ス で信号 1080p 50Hz が転送 さ れ る 場合、こ の転送は イ ン タ ーレース さ れて 25Hz
の フ レーム レー ト と な る ため、 1080i 25Hz と し て検出 さ れて レ ポー ト さ れます。
[ST 352 PID] (ST 352 ペ イ ロ ー ド ID デー タ バ イ ト ) は、 4 デー タ バ イ ト の ST 352 ペ イ ロ ー ド ID パ
ケ ッ ト です。 こ れ ら はバ イ ト 1 が左側、 バ イ ト 4 が右側にあ り 、 [ST 352 PID Valid] が緑色の場合のみ
有効です。
[RX Error] イ ン ジ ケー タ ーは、 CRC ま たは EDH エ ラ ーが検出 さ れた場合は赤色にな り 、 エ ラ ーが検出
さ れていない場合は灰色にな り ます。 エ ラ ーの検出後、 [RX Error Clear] を ク リ ッ ク し て手動で リ セ ッ
ト さ れ る ま で、 こ の イ ン ジ ケー タ ーは赤色の ま ま と な る 可能性があ り ます。 [RX Error Count] は、 カ ウ
ン タ ーが最後に ク リ ア さ れてか ら 受信 し た CRC の数 (HD-SDI お よ び 3G-SDI モー ド の場合) ま たは
EDH エ ラ ーの数 (SD-SDI モー ド の場合) を整数値で示 し ます。 エ ラ ー カ ウ ン タ ーは、 [RX Error Clear]
を ク リ ッ ク し て手動で ク リ アで き ます。 ま た、 入力 さ れ る SDI 信号がビ ッ ト レー ト を変更 し て SDI RX
が信号へ再 ロ ッ ク す る 必要が あ る 場合は、 エ ラ ー カ ウ ン タ ーが自動的に ク リ ア さ れ ま す。 ただ し 、 エ
ラ ー カ ウ ン タ ーは新 し い SDI 信号へ ロ ッ ク す る プ ロ セ ス の早い段階で自動 ク リ ア さ れ る ため、 SDI RX
が新 し い SDI 信号へ完全に ロ ッ ク し た時点でエ ラ ー数は通常 0 にな り ません。
図 25 では、 ChipScope Pro Analyzer ILA を使用 し て SDI レ シーバーで受信 し たデー タ を表示 さ せ る 方
法を示 し てい ます。 各レ シーバーには、 出力へ接続 さ れた ILA があ り ます。 こ れ ら の ILA を使用す る
には、ChipScope Pro Analyzer の最前面に ト リ ガー セ ッ ト ア ッ プ と 波形ビ ュ ーを表示 さ せ る 必要があ り
ます。それには、図 25 で示す画面の左上部にあ る [Project] パネル内の適切な UNIT の下にあ る [Trigger
Setup] と [ Waveform] を ク リ ッ ク し ま す。 UNIT 3 は RX0 の ILA、 UNIT 6 は RX1 の ILA、 UNIT9
は RX2 の ILA、 そ し て UNIT12 は RX3 の ILA と な り ます。
[Trigger Setup] ビ ュ ーでは、 ト リ ガー ポ イ ン ト やス ト レージ ク オ リ フ ィ ケーシ ョ ン を変更で き ます。比
較ユニ ッ ト は 2 つあ り 、通常は ILA キ ャ プチ ャ を ト リ ガーす る ために比較ユニ ッ ト M0 を使用 し 、デー
タ ス ト レージに条件を与え る ために比較ユニ ッ ト M1 を使用 し ます。 こ のため、 通常 ク ロ ッ ク イ ネー
ブルが High の と き 、 SD-SDI モー ド では、 有効なデー タ ワ ー ド のみキ ャ プチ ャ さ れ ます。 ChipScope
プ ロ ジ ェ ク ト フ ァ イ ルの vc709_sdi_demo.cpj には、 EAV を ト リ ガーす る よ う に コ ン フ ィ ギ ュ レー
シ ョ ン さ れてい る M0、 そ し て ク ロ ッ ク イ ネーブルが High の場合にのみデー タ を キ ャ プチ ャ す る よ う
に構成 さ れてい る M1 が含まれてい ます。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
57
SDI デモ ン ス ト レーシ ョ ンの例
選択 し た レ シーバーの、 ト リ ガーの設定ビ ュ ーま たは波形エ リ アのいずれかで、 三角の [play] を ク リ ッ
ク し て ILA に よ る キ ャ プチ ャ を開始 し ます (図 25 を参照)。 キ ャ プチ ャ バ ッ フ ァ ーは、 複数 ラ イ ンのビ
デオ デー タ を キ ャ プチ ャ す る のに十分な大 き さ が必要です。
X-Ref Target - Figure 25
8VHWKLVSDQHWRVHOHFWWKHGHVLUHG5;,/$
:DYHIRUPDQG7ULJJHU6HWXSZLQGRZV
,/$7ULJJHU6HWXSZLQGRZ
&OLFNKHUHWRVWDUWFDSWXULQJ
GDWDZLWKWKH,/$
,/$:DYHIRUPZLQGRZ
;BB
図 25 : ChipScope ILA を使用 し て ク ワ ッ ド SDI デモ ン ス ト レーシ ョ ンの RX デー タ を表示
SDI パス スルー デモ ン ス ト レーシ ョ ン
2 つ目の SDI デモン ス ト レーシ ョ ンでは、 RX で受信 し たデー タ を TX が常に再送信す る パス スルー コ
ン フ ィ ギ ュ レーシ ョ ンで、 1 つの SDI RX と 1 つの SDI TX が互いに接続 さ れてい ます。 図 26 に、 こ
のデモン ス ト レーシ ョ ンのブ ロ ッ ク 図を示 し ます。
QPLL は、 148.5MHz の基準 ク ロ ッ ク に ロ ッ ク さ れ、 GTH RX ユニ ッ ト へシ リ アル ク ロ ッ ク を供給 し
ます。 GTHX RX か ら のデー タ は、 SDI RX デー タ パ ス を通っ て非同期 FIFO へ送 ら れます。 FIFO は、
RX ク ロ ッ ク ド メ イ ン (rx_usrclk) か ら TX ク ロ ッ ク ド メ イ ン (tx_usrclk) へデー タ を 移動 し ま す。
HD-SDI お よ び 3G-SDI モー ド の場合、 GTH RX か ら の リ カバ リ ク ロ ッ ク (rxoutclk) は Silicon Labs
Si5324 デジ タ ル PLL を通っ て ジ ッ タ ーを削減 し 、 その後 CPLL の基準 ク ロ ッ ク と し て使用 さ れ ます。
SD-SDI モー ド の場合、 rxoutclk は リ カバ リ ク ロ ッ ク ではないため、 TX の基準 ク ロ ッ ク を生成す る に
は使用で き ません。 代わ り に、 27MHz SD-SDI RX ク ロ ッ ク イ ネーブル信号 (rx_ce_sd) が Si5324 へ送
ら れて 148.5MHz に逓倍 さ れ、 ジ ッ タ ー も 削減 さ れます。 CPLL は、 Si5324 か ら の基準 ク ロ ッ ク に ロ ッ
ク さ れ、 GTH TX ユニ ッ ト へシ リ アル ク ロ ッ ク を供給 し ます。 デー タ は、 TX ク ロ ッ ク ド メ イ ン の非
同期 FIFO か ら 読み出 さ れ、SDI TX デー タ パ スへ送 ら れます。SDI TX デー タ パ ス か ら 出力 さ れた SDI
デー タ は、 GTH TX へ送 ら れてシ リ ア ラ イ ズ さ れます。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
58
SDI デモ ン ス ト レーシ ョ ンの例
X-Ref Target - Figure 26
%8)*
0+]
GUSFON
,%8)'6
B*7(
6L
&3//
'LYLGHU
JWKBGUSFON
FON
JWKBW[UDWH
W[BYLGHRBDB\BLQ
W[UDWH
W[BYLGHRBDBFBLQ
W[BYLGHRBEB\BLQ
W[S
6',2XW
W[BYLGHRBEB\BLQ
W[GDWD
W[Q
7;
W[RXWFON
W[BFH
W[BXVUFON
W[BPRGH
*7+5HVHWV
*7+5HVHWV
*7+6WDWXV
*7+6WDWXV
'53
'53
,%8)'6
B*7(
'LYLGHU
43//
U[BPRGH
U[BGVE
U[UDWH
JWKBU[UDWH
U[BGVE
U[BGVD
U[BGVD
U[S
6',,Q
&DEOH(4
U[Q
U[GDWD
5;
U[RXWFON
&ORFN
(QDEOH
*HQHUDWRU
6',:UDSSHU
W[XVUFON
0+]
JWKBW[GDWD
%8)*
W[XVUFON
*7+:UDSSHU
6\QF
$V\QF
),)2
JWKBU[GDWD
%8)*
U[XVUFON
U[BFHBVG
U[BXVUFON
U[BPRGHB6'
ZUHQ UGHQ
ZFON UGFON
U[XVUFON
YJWKBVGLBSDVV0RGXOH
;BB
図 26 : SDI パススルー デモ ン ス ト レーシ ョ ン
SDI パ ス ス ルー デモン ス ト レーシ ョ ンの実行に必要な も のは次の と お り です。
•
•
•
•
•
•
ザ イ リ ン ク ス の Virtex-7 FPGA VC709 評価キ ッ ト
Inrevium 社製 TB-FMCH-3GSDI2A SDI FMC
DIN 1.0/2.3 か ら BNC への コ ンバー タ ー ケーブル
SDI 信号 ソ ース
SDI 信号シ ン ク (SDI ト ラ ン ス ミ ッ タ ーか ら の信号を表示す る ための波形モニ タ ーま たはその他の
デバ イ ス )
ChipScopePro Analyzer ( オプシ ョ ン ) を イ ン ス ト ール し 、 VC709 ボー ド の JTAG USB コ ネ ク タ へ
接続 さ れた PC
Inrevium SDI FMC は、 VC709 ボー ド 上の FMC コ ネ ク タ へ接続 し ま す (図 21 を参照)。 Inrevium の
ボー ド でア ク テ ィ ブな SDI コ ネ ク タ は、 CH0-RX と CH0-TX のみです。 SDI 信号 ソ ース は、 CH0-RX
コ ネ ク タ へ接続 し ます。 SDI 信号は、 CH0-RX コ ネ ク タ で再送信 さ れます。
こ のアプ リ ケーシ ョ ン ノ ー ト で提供す る vc709_sdi_pass.bit と い う フ ァ イ ルは、 VC709 ボー ド 上
の Virtex-7 FPGA へ ロ ー ド し ま す。 こ の コ ン フ ィ ギ ュ レ ーシ ョ ン フ ァ イ ルを FPGA へ ロ ー ド し た後、
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
59
SDI デモ ン ス ト レーシ ョ ンの例
ChipScopePro Analyzer でプ ロ ジ ェ ク ト フ ァ イ ル (vc709_sdi_pass.cpj) を開いて SDI RX の ス テー
タ ス をモニ タ ー、 ま たは SDI RX か ら のデー タ を キ ャ プチ ャ /モニ タ ーで き ます (図 27 を参照)。
X-Ref Target - Figure 27
5;,/$7ULJJHU
6HWXS
5;DQG7;6WDWXV
3//6WDWXVDQG
5;,/$:DYHIRUP
9,2
*7+5HVHW9,2
;BB
図 27 : パススルー デモ ン ス ト レーシ ョ ンの ChipScope Analyzer ウ ィ ン ド ウ
こ のデザ イ ンには 2 つの ChipScope Pro Analyzer VIO と 1 つの ILA があ り ます。
PLL ス テー タ ス と GTH リ セ ッ ト を示す VIO ビ ュ ーは、 RX PLL (QPLL)、 TX PLL (CPLL)、 お よ び
CPLL の基準 ク ロ ッ ク を生成す る 外部 Si5324 DPLL の ロ ッ ク ス テー タ ス を示 し ます。通常の動作では、
こ れ ら 2 つの PLL ロ ッ ク ス テー タ ス イ ン ジ ケー タ ーは緑色にな り 、[Si5324 Loss of Lock] イ ン ジ ケー
タ ーは灰色にな り ます。 SDI RX の入力時、 ま たは SDI 入力信号がビ ッ ト レー ト を変更 し た後の短期間
に有効な SDI 入力信号がない場合、 Si5324 は GTH RX か ら の リ カバ リ ク ロ ッ ク に ロ ッ ク さ れない可
能性があ り 、 [Si5324 Loss of Lock] イ ン ジ ケー タ ーが赤色にな り ます。 Si5324 が ロ ッ ク さ れなければ、
TX PLL も ロ ッ ク さ れない こ と があ り 、 [TX PLL Locked] イ ン ジ ケー タ ーが灰色にな り ます。 こ れ ら の
PLL ロ ッ ク イ ン ジ ケー タ ーお よ びほかの VIO ビ ュ ーの [RX Locked] イ ン ジ ケー タ ーを観察す る こ と
で、 SDI 入力信号の変更後 SDI 出力が安定する ま でに必要な時間の大部分が Si5324 の ロ ッ ク 時間であ
る こ と がわか り ます。 こ の VIO ビ ュ ーには、 RX お よ び TX それぞれに対応する フル GTH リ セ ッ ト ボ
タ ンがあ り ます。 こ れ ら のボ タ ンは、 関連する PLL の リ セ ッ ト を含む、 GTH RX ま たは TX の フル リ
セ ッ ト を生成 し ます。
RX お よ び TX の ス テー タ ス VIO ビ ュ ーには、 SDI RX お よ び TX の ス テー タ ス が示 さ れ ま す。 こ の
VIO ビ ュ ーにあ る RX ス テー タ ス イ ン ジ ケー タ ー と [RX Clear Errors] は ク ワ ッ ド SDI デモン ス ト レー
シ ョ ン の RX VIO ビ ュ ーに あ る も の と 機能的に同 じ です (図 24 を参照)。 RX ス テー タ ス イ ン ジ ケー
タ ーの説明は、 該当す る セ ク シ ョ ン を参照 し て く だ さ い。 VIO ビ ュ ーの下方部分にあ る 3 つの TX ス
テー タ ス イ ン ジ ケー タ ーは、 ク ワ ッ ド SDI デモン ス ト レーシ ョ ンの TX VIO ビ ュ ーにあ る 同様の名前
の TX ス テー タ ス イ ン ジ ケー タ ー と 機能的に同 じ です (図 23 を参照)。
SDI RX か ら のデー タ を キ ャ プチ ャ お よ びモニ タ ーする ために使用する ILA は 1 つのみです。 ク ワ ッ ド
SDI デモン ス ト レーシ ョ ンの SDI RX ILA と 同 じ よ う に動作 し ます。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
60
FPGA リ ソ ースの使用数
SDI パ ス ス ルー デモ ン ス ト レーシ ョ ンは、 ChipScope Pro な し で も 使用で き ます。 パ ス ス ルー SDI イ
ン タ ーフ ェ イ ス は、 SDI イ ン タ ーフ ェ イ ス の ス テー タ ス を モニ タ ーす る ための ChipScope Pro を使用 し
な く て も 十分に機能 し ます。
FPGA リ ソ ースの
使用数
表 11 に、 Virtex-7 GTH ト ラ ン シーバー と 接続す る SDI イ ン タ ーフ ェ イ ス に必要な FPGA リ ソ ース を
示 し てい ます。 リ ソ ース使用数には、 SDI コ アや SDI ラ ッ パーな ど、 イ ン タ ーフ ェ イ ス の実装に必要な
すべてのモジ ュ ールが含まれます。 こ こ には、 一般的な コ ン フ ィ ギ ュ レーシ ョ ンの リ ソ ース使用数を示
し ます。
こ こ に示す結果は、 Vivado Design Suite 2013.3 を使用 し て得た値です。
SDI レ シーバーお よ び ト ラ ン ス ミ ッ タ ー イ ン タ ーフ ェ イ ス デザ イ ン では、 MMCM ( ミ ッ ク ス ド モー ド
ク ロ ッ ク マネージ ャ ー) を使用 し ません。ま た、ブ ロ ッ ク RAM ま たは DSP ブ ロ ッ ク も 必要あ り ません。
通常、 SDI TX と SDI RX それぞれにグ ロ ーバル ク ロ ッ ク ま たは リ ージ ョ ナル ク ロ ッ ク が 1 つ必要で
す。 ま た、 SDI ラ ッ パーに タ イ ミ ン グ用 と し て固定周波数のグ ロ ーバル ク ロ ッ ク が 1 つ必要です。 こ の
固定周波数 ク ロ ッ ク は、 通常 GTH DRP ク ロ ッ ク と し て も 使用 さ れ ます。 FPGA に実装 さ れた SDI イ
ン タ ーフ ェ イ ス の数にかかわ ら ず、こ の よ う な固定周波数のグ ロ ーバル ク ロ ッ ク は 1 つ し か必要あ り ま
せん。
表 11 : Virtex-7 GTH SDI イ ン タ ー フ ェ イ スに必要な FPGA リ ソ ース数
LUT
フ リ ップフロップ
SDI RX (EDH プ ロ セ ッ サあ り ) と TX
3,781
3,105
SDI RX (EDH プ ロ セ ッ サな し ) と TX
3,106
2,645
SDI RX (EDH プ ロ セ ッ サあ り )
2,253
1,948
SDI RX (EDH プ ロ セ ッ サな し )
1,569
1,488
SDI TX
1,548
1,157
リ フ ァ レ ン ス デザイ ン
制約
制約フ ァ イ ルの例は、 リ フ ァ レ ン ス デザ イ ン と 共に提供 さ れてお り 、 SDI イ ン タ ーフ ェ イ ス に必要な タ
イ ミ ン グお よ び配置の制約例 と し て使用で き ま す。 タ イ ミ ン グに関 し て必要なのは、 GTH ト ラ ン シー
バーか ら の rxoutclk お よ び txoutclk の ク ロ ッ ク 周期制約 と 、 DRPCLK お よ び SDI ラ ッ パーの clk ポー
ト で使用 さ れ る 固定周波数 ク ロ ッ ク の周期制約を適用す る こ と だけです。 rxoutclk お よ び txoutclk 制約
では、 ク ロ ッ ク 周期を 148.5MHz (通常は、 150MHz に繰 り 上げ) に指定 し て く だ さ い。 配置に関 し て
は、 rxp/rxn と txp/txn ピ ン に制約を適用 し た り 、 あ る いは XY 座標シ ス テ ム を使用 し て GTH ト ラ ン
シーバーを実際の位置に制約す る こ と で指定す る だけです。
リ フ ァ レ ン ス デザ
イン
こ のアプ リ ケーシ ョ ン ノ ー ト の リ フ ァ レ ン ス デザ イ ンは、 次の リ ン ク か ら ダ ウ ン ロ ー ド で き ます。
次の リ ン ク か ら ZIP フ ァ イ ルを入手で き ます。
https://secure.xilinx.com/webreg/clickthrough.do?cid=355859
表 12 に、 リ フ ァ レ ン ス デザ イ ンの詳細を示 し ます。
表 12 : リ フ ァ レ ン ス デザイ ンの詳細
パラ メ ー タ ー
説明
全般
開発者
John Snow
タ ーゲ ッ ト デバ イ ス
-2 ス ピー ド グ レー ド ま たはそれ以上の Virtex-7
デバ イ ス (GTH ト ラ ン シーバーを備え る )
ソ ース コ ー ド の提供
あり
ソ ース コ ー ド の形式
Verilog
既存のザ イ リ ン ク ス アプ リ ケーシ ョ ン ノ ー ト /
リ フ ァ レ ン ス デザ イ ン、 IP カ タ ロ グ、 サー ド
パーテ ィ か ら デザ イ ンへの コ ー ド /IP の使用
あ り 。 Vivado IP カ タ ロ グの IP コ ア を使用
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
61
ま とめ
表 12 : リ フ ァ レ ン ス デザイ ンの詳細 (続き )
パラ メ ー タ ー
説明
シ ミ ュ レーシ ョ ン
論理シ ミ ュ レーシ ョ ンの実施
なし
タ イ ミ ン グ シ ミ ュ レーシ ョ ンの実施
なし
論理シ ミ ュ レーシ ョ ンお よ び タ イ ミ ン グ
シ ミ ュ レーシ ョ ンでのテ ス ト ベンチの利用
なし
テ ス ト ベンチの形式
N/A
使用 し たシ ミ ュ レー タ /バージ ョ ン
N/A
SPICE/IBIS シ ミ ュ レーシ ョ ンの実施
N/A
イ ン プ リ メ ン テーシ ョ ン
使用 し た合成ツール/バージ ョ ン
Vivado Design Suite 2013.3
使用 し た イ ンプ リ メ ン テーシ ョ ン ツール/
バージ ョ ン
Vivado Design Suite 2013.3
ス タ テ ィ ッ ク タ イ ミ ン グ解析の実施
あり
ハー ド ウ ェ ア検証
ハー ド ウ ェ ア検証の実施
あり
使用 し たハー ド ウ ェ ア プ ラ ッ ト フ ォーム
VC709 お よ び TB-FMCH-3GSDI2A ボー ド
ZIP フ ァ イ ルに付属す る フ ァ イ ルのデ ィ レ ク ト リ 構造については、 readme.txt フ ァ イ ルで説明 し てい
ます。
ま とめ
付録 A : 用語解説
こ のアプ リ ケーシ ョ ン ノ ー ト では、 SMPTE SD/HD/3G-SDI コ ア と Virtex-7 GTH ト ラ ン シーバーを使
用 し て、 SMPTE SD-SDI、 HD-SDI、 お よ び 3G-SDI 規格に準拠 し た SDI イ ン タ ーフ ェ イ ス を実装す る
方法につい て説明 し て い ま す。 SDI ア プ リ ケ ーシ ョ ン に ト ラ ン シーバー を 使用す る 際に欠かせな い
Virtex-7 GTH デバ イ ス特有の制御 ロ ジ ッ ク について解説 し 、 ま た 2 つの SDI デモ アプ リ ケーシ ョ ン を
用いて Virtex-7 FPGA におけ る SDI の実装について詳 し く 説明 し てい ます。
こ のアプ リ ケーシ ョ ン ノ ー ト では、 表 13 の用語が使用 さ れてい ます。
表 13 : 用語解説
3G-SDI
SMPTE ST 424 規格の一般的な総称で、 3Gb/s シ リ アル デジ タ ル イ ン タ ーフ ェ
イ ス の略です。 3G-SDI は、 ST 425-1 で定義 さ れた 3 つのマ ッ ピ ン グ モー ド
(3G-SDI level A、 level B-DL、 お よ び B-DS) をサポー ト し てい ます。 こ れ ら の
マ ッ ピ ン グ モー ド の詳細は、 ST 425-1 を参照 し て く だ さ い。
補助 (ANC)
デー タ
ア ク テ ィ ブ画像デー タ に使用 さ れない SDI デー タ ス ト リ ームに統合 さ れた ビデ
オ以外のデー タ です。 ANC デー タ と し て非常に一般的 も の と し ては、 エンベ
デ ッ ド オーデ ィ オがあ り ます。 ANC デー タ は、 SMPTE ST 291-1 の定義に基
づいて、 補助デー タ パケ ッ ト にフ ォーマ ッ ト する 必要があ り ます。
デー タ ス ト リ ーム
SDI イ ン タ ーフ ェ イ ス を通 る 実際のデー タ を指 し ます。 デー タ ス ト リ ームは、
SDI イ ン タ ーフ ェ イ ス を通過す る 際、 転送デー タ 構造に従っ て フ ォーマ ッ ト す
る 必要があ り ます。
EDH
SMPTE RP 165 で定義 さ れてい る SD-SDI 用のエ ラ ー訂正お よ びハン ド リ ン グ
プ ロ ト コ ルです。
エンベデ ッ ド
オーデ ィ オ
XAPP1187 (v1.0) 2014 年 2 月 21 日
一般に、 SDI 信号の補助デー タ と し て伝搬 さ れ る デジ タ ル オーデ ィ オの こ と を
指 し ます。
japan.xilinx.com
62
付録 A : 用語解説
表 13 : 用語解説
EAV ( ア ク テ ィ ブ SDI 準拠のデー タ ス ト リ ームの場合、 EAV はデー タ ス ト リ ームに特有な連続
ビデオの終了)
す る 4 ワー ド であ り 、 ラ イ ンのア ク テ ィ ブ部分の終了や垂直帰線区間の開始を
マーキ ン グ し ます。 各ビデオ ラ イ ンは、 EAV の最初の ワー ド で開始す る と 考え
ら れてい ます。
HD-SDI
SMPTE ST 292-1 規格の一般的な総称で、 1.5Gb/s シ リ アル デジ タ ル イ ン タ ー
フ ェ イ ス の略です。
ビデオ フ レームが 2 つのシーケ ン シ ャ ル フ ィ ール ド に分割 さ れた映像の走査方
イ ン タ ーレース
( イ ン タ ー レ ー ス 方 式です。 フ ィ ール ド 1 には奇数 ラ イ ンが含ま れ、 フ ィ ール ド 2 には偶数 ラ イ ン
式)
が含まれます。 フ ィ ール ド 2 は、 フ ィ ール ド 1 の奇数 ラ イ ンの間に表示 さ れま
す。 2 つの フ ィ ール ド は、 半分の フ レーム タ イ ム差で異な る 画を示 し ます。
リ ンク
画像の帯域幅がシ リ アル デジ タ ル イ ン タ ーフ ェ イ ス の最大帯域幅を超え る 場合
は、 シ リ アル デジ タ ル イ ン タ ーフ ェ イ ス を 2 つま たは 3 つ結合 し て画像を転送
す る ために帯域幅を拡大で き ます。 複数 リ ン ク セ ッ ト の各シ リ アル デジ タ ル
イ ン タ ーフ ェ イ ス を リ ン ク と 呼びます。 SMPTE ST 372 では、 2 つの HD-SDI
リ ン ク を使用 し て よ り 高帯域幅の ビデオ フ ォーマ ッ ト を転送する 方法について
説明 し てい ます。 ST 425-x フ ァ ミ リ のマルチ リ ン ク 3G-SDI 規格は、 現在
SMPTE が開発中です。 3G-SDI level B-DL 転送では、 1 つの 3G-SDI イ ン タ ー
フ ェ イ ス上のデ ュ アル リ ン ク HD-SDI (ST 372) ペア を両方転送 し ます。
3G-SDI level B-DL で伝搬 さ れ る 2 つのそれぞれの HD-SDI 信号に関 し て も 依
然 と し て リ ン ク と 呼びます。
ペ イ ロ ー ド ID
ビデオ ペ イ ロ ー ド ID (VPID) と も 呼ばれ る ペ イ ロ ー ド ID は、 SMPTE ST 352
で定義 さ れ る 補助デー タ パケ ッ ト です。 4 つのデー タ ワ ー ド を持つ ST 352 ペ
イ ロ ー ド ID パケ ッ ト は、 ビデオ画像の特性 ( ビデオ フ ォーマ ッ ト 、 フ レーム
レー ト 、 走査構造、 色空間な ど ) お よ びそのペ イ ロ ー ド の転送に使用 さ れ る SDI
イ ン タ ーフ ェ イ ス の種類を識別 し ます。 マルチ リ ン ク イ ン タ ーフ ェ イ ス の場
合、 ペ イ ロ ー ド ID には個々の リ ン ク を区別する ビ ッ ト も 含まれます。
プ ロ グ レ ッ シブ
ノ ン イ ン タ ーレース映像走査方式です。 プ ロ グ レ ッ シブ フ レームのすべての ラ
イ ンは、 同 じ 画に属 し ます。
シ リ アル デジ タ ル
イ ン ターフ ェ イ ス
(SDI)
元来は SMPTE ST 259 規格 と し て定義 さ れていた標準精細のシ リ アル デジ タ ル
イ ン タ ーフ ェ イ ス です。 HD-SDI や 3G-SDI の登場に よ り 、 混乱を避け る ため
に SD-SDI と 呼ばれ る よ う にな り ま し た。 こ こ では、 SD-SDI、 HD-SDI、 お よ
び 3G-SDI の言及には総称的な SDI を用いてい ますが、 具体的に ST 259 につ
いて言及す る 場合は常に SD-SDI を使用 し てい ます。
SD-SDI
SMTPE ST 259 規格の一般的な総称で、標準精細のシ リ アル デジ タ ル イ ン タ ー
フ ェ イ ス の略です。
SMPTE
Society of Motion Picture and Television Engineers (映画テ レ ビ技術者協会) で
す。
SAV ( ア ク テ ィ ブ SDI 準拠のデー タ ス ト リ ームの場合、 SAV はデー タ ス ト リ ームに固有の連続
ビデオの開始)
す る 4 ワー ド であ り 、 垂直帰線区間の終了や ラ イ ンのア ク テ ィ ブ部分の開始を
マーキ ン グ し ます。 通常サンプル 0 と 呼ばれ る 、 ラ イ ンの最初のア ク テ ィ ブ ビ
デオ サンプルは SAV のす ぐ後に続 き ます。
SMPTE RP 168 では、 同期ビデオ ソ ース の切 り 替えが可能な ビデオ フ レーム
同期ス イ ッ チン グ
( ポ イ ン ト 、 イ ン のポ イ ン ト が定義 さ れてい ます。 こ れは通常、 同期ス イ ッ チン グ ポ イ ン ト と 呼
タ ーバル、 ラ イ ン ) ばれてい ますが、 実際は区間 ( ラ イ ン上の正確なポ イ ン ト と い う よ り 、 ラ イ ン
の一部) と し て定義 さ れます。 同期ス イ ッ チン グ区間を含む ラ イ ン を、 同期ス
イ ッ チン グ ラ イ ン と 呼ぶ場合があ り ます。
ト ラ ン ス ポー ト
XAPP1187 (v1.0) 2014 年 2 月 21 日
イ ン タ ーフ ェ イ ス デー タ ス ト リ ームのデー タ 組織です。 ト ラ ン ス ポー ト デー
タ 層では、 ビデオ タ イ ミ ン グ情報の伝送に使用 さ れ る EAV や SAV を定義 し ま
す。
japan.xilinx.com
63
付録 B : 参考資料
表 13 : 用語解説
付録 B : 参考資料
タ イ ミ ング リ フ ァ
レ ン ス信号 (TRS)
EAV シーケ ン ス と SAV シーケ ン ス の両方について言及す る 際に使用す る 一般
的な用語です。
XYZ
EAV と SAV の 4 番目の ワ ー ド を XYZ ワ ー ド と 呼びます。 こ の ワー ド には、 ビ
デオ タ イ ミ ン グ を示す水平 (H) ビ ッ ト と フ ィ ール ド (F) ビ ッ ト が含まれます。
XYZ ワー ド には、 XYZ ワー ド のエ ラ ーを検出で き る 保護ビ ッ ト も 含ま れてい
ます。
次の資料は、 ザ イ リ ン ク ス の ウ ェ ブサ イ ト (japan.xilinx.com) か ら 入手で き ます。
1.
2.
3.
4.
『7 シ リ ーズ FPGA GTX/GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG476)
『高速シ リ アル I/O 向けに動的にプ ロ グ ラ ム可能な DRU』 (XAPP875)
『Virtex-7 T お よ び XT FPGA デー タ シー ト : DC 特性お よ びス イ ッ チ特性』 (DS183)
『SMPTE SD/HD/3G-SDI 製品ガ イ ド 』 (PG071)
次の資料は、 SMPTE (Society of Motion Picture and Television Engineers) のサ イ ト (www.smpte.org)
か ら 入手で き ます。
5.
6.
7.
8.
9.
10.
11.
12.
13.
14.
改訂履歴
RP 165 : 『Error Detection Checkwords and Status Flags for Use in Bit-Serial Digital Interfaces for
Television』
RP 168 : 『Definition of Vertical Switching Point for Synchronous Video Switching』
ST 259 : 『Television – SDTV Digital Signal/Data – Serial Digital Interface』
ST 291-1 : 『Television – Ancillary Data Packet and Space Formatting』
ST 292-1 : 『1.5 Gb/s Signal/Data Serial Interface』
ST 344 : 『Television – 540 Mb/s Serial Digital Interface』
ST 352 : 『Payload Identifier Codes for Serial Digital Interfaces』
ST 372 : 『Dual Link 1.5 Gb/s Digital Interface for 1920 x 1080 and 2048 x 1080 Picture Formats』
ST 424 : 『Television – 3 Gb/s Signal/Data Serial Interface』
ST 425-1 : 『Source Image Format and Ancillary Data Mapping for the 3 Gb/s Serial Interface』
次の表に、 こ の文書の改訂履歴を示 し ます。
日付
バージ ョ ン
2014 年 2 月 21 日
1.0
XAPP1187 (v1.0) 2014 年 2 月 21 日
内容
初版
japan.xilinx.com
64
Notice of Disclaimer
Notice of
Disclaimer
The information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use
of Xilinx products.To the maximum extent permitted by applicable law:(1) Materials are made available
"AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS,
EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF
MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE;
and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other
theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection
with, the Materials (including your use of the Materials), including for any direct, indirect, special,
incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of loss
or damage suffered as a result of any action brought by a third party) even if such damage or loss was
reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no
obligation to correct any errors contained in the Materials or to notify you of updates to the Materials or
to product specifications.You may not reproduce, modify, distribute, or publicly display the Materials
without prior written consent.Certain products are subject to the terms and conditions of the Limited
Warranties which can be viewed at http://www.xilinx.com/warranty.htm; IP cores may be subject to
warranty and support terms contained in a license issued to you by Xilinx.Xilinx products are not
designed or intended to be fail-safe or for use in any application requiring fail-safe performance; you
assume
sole
risk
and
liability
for
use
of
Xilinx
products
in
Critical
Applications:http://www.xilinx.com/warranty.htm#critapps.
本資料は英語版 (v1.0) を翻訳 し た も ので、 内容に相違が生 じ る 場合には原文を優先 し ます。
資料に よ っ ては英語版の更新に対応 し ていない も のがあ り ます。
日本語版は参考用 と し て ご使用の上、 最新情報につ き ま し ては、 必ず最新英語版を ご参照 く だ さ い。
こ の 資 料 に 関 す る フ ィ ー ド バ ッ ク お よ び リ ン ク な ど の 問 題 に つ き ま し て は、
[email protected] ま でお知 ら せ く だ さ い。 いただ き ま し た ご意見を参考に早急に対応 さ
せていただ き ます。 なお、 こ の メ ール ア ド レ スへのお問い合わせは受け付けてお り ません。 あ ら か じ め
ご了承 く だ さ い。
XAPP1187 (v1.0) 2014 年 2 月 21 日
japan.xilinx.com
65
Fly UP