...

リリース ノート

by user

on
Category: Documents
14

views

Report

Comments

Transcript

リリース ノート
Vivado Design Suite
ユーザー ガ イ ド
リ リ ース ノ ー ト 、 イ ン ス ト ールお
よび ラ イ セ ン ス
UG973 (v2013.4) 2013 年 12 月 20 日
Notice of Disclaimer
The information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products.To the maximum
extent permitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES
AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY,
NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including
negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection with,
the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage
(including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such
damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no obligation to correct
any errors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify,
distribute, or publicly display the Materials without prior written consent.Certain products are subject to the terms and conditions of the
Limited Warranties which can be viewed at http://www.xilinx.com/warranty.htm; IP cores may be subject to warranty and support terms
contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any application
requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in Critical
Applications:http://www.xilinx.com/warranty.htm#critapps.
© Copyright 2013 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included
herein are trademarks of Xilinx in the United States and other countries.ARM® is a registered trademark of ARM in the EU and other
countries.CPRI is a trademark of Siemens AG.MATLAB and Simulink are registered trademarks of The MathWorks, Inc. PCI, PCIe and PCI
Express are trademarks of PCI-SIG and used under license.All other trademarks are the property of their respective owners.
本資料は英語版 (v2013.4) を翻訳 し た も ので、 内容に相違が生 じ る 場合には原文を優先 し ます。
資料に よ っ ては英語版の更新に対応 し ていない も のがあ り ます。
日本語版は参考用 と し て ご使用の上、 最新情報につ き ま し ては、 必ず最新英語版を ご参照 く だ さ い。
こ の資料に関す る フ ィ ー ド バ ッ ク お よ び リ ン ク な ど の問題につ き ま し ては、[email protected] ま でお知 ら せ く だ さ
い。 いただ き ま し た ご意見を参考に早急に対応 さ せていただ き ます。 なお、 こ の メ ール ア ド レ スへのお問い合わせは受け付け
てお り ません。 あ ら か じ めご了承 く だ さ い。
改訂履歴
次の表に、 こ の文書の改訂履歴を示 し ます。
日付
バージ ョ ン
改訂内容
2013/03/20
2013.1
初版
2013/04/15
2013.1
第 1 章の 「Vivado XDC の変更」 に詳細を追加、 第 2 章 「互換性のあ る サー ド パーテ ィ ツー
ル」 にザ イ リ ン ク ス IP に対す る Vivado 合成の使用について追記
2013/06/19
2013.2
2013.2 リ リ ース用にア ッ プデー ト
2013/10/2
2013.3
第 1 章 「 リ リ ース ノ ー ト 2013.3」 お よ び 第 6 章 「以前のバージ ョ ンの リ リ ース ノ ー ト 」 に
詳細を追加
2013/12/20
2013.4
第 1 章 「 リ リ ース ノ ー ト 2013.4」 お よ び 第 6 章 「以前のバージ ョ ンの リ リ ース ノ ー ト 」 に
詳細を追加
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
2
目次
改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2
第 1 章 : リ リ ース ノ ー ト 2013.4
新機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
重要な情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
第 2 章 : アーキテ ク チ ャ サポー ト およびシス テム要件
オペレーテ ィ ン グ シ ス テ ム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
アーキ テ ク チ ャ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
互換性のあ る サー ド パーテ ィ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
シ ス テ ム要件 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
第 3 章 : ダウン ロー ド および イ ン ス ト ール
Vivado Design Suite ツールのダ ウ ン ロ ー ド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Vivado Design Suite ツールの イ ン ス ト ール全 OS 共通の手順 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
OS 別 イ ン ス ト ール手順 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
イ ン ス ト ール フ ロ ー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
USB FLEXid ド ン グル ド ラ イ バの イ ン ス ト ール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ネ ッ ト ワー ク イ ン ス ト ール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ア ッ プデー ト の取得 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Vivado Design Suite ツールのア ン イ ン ス ト ール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
14
15
15
17
24
25
26
27
第 4 章 : WebTalk
WebTalk への参加. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
WebTalk イ ン ス ト ールのプ リ フ ァ レ ン ス設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
WebTalk ユーザー プ リ フ ァ レ ン ス の設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
WebTalk イ ン ス ト ールお よ びユーザー プ リ フ ァ レ ン ス の確認 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
収集 さ れ る デー タ の種類 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
デー タ の送信 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
28
28
29
31
31
31
第 5 章 : ラ イ セ ン スの取得および管理 製品 ラ イ セ ン ス サ イ ト へのア ク セ ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ザ イ リ ン ク ス ユーザー ア カ ウ ン ト 情報の変更 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
製品 ラ イ セ ン ス のア カ ウ ン ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ユーザー タ イ プ と ア ク シ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ラ イ セ ン ス キー フ ァ イ ルの作成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ラ イ セ ン ス キー フ ァ イ ルの管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
古い ラ イ セ ン ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ツールお よ び IP の購入情報. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ユーザー ア ク セ ス の管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
32
33
35
35
36
42
45
46
47
3
ラ イ セ ン ス キー フ ァ イ ルの イ ン ス ト ール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48
第 6 章 : 以前のバージ ョ ンの リ リ ース ノ ー ト
Vivado 2013.3. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50
Vivado 2013.2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
重要な情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61
既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61
Vivado 2013.1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
重要な情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67
既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71
付録 A : その他の リ ソ ース
ザ イ リ ン ク ス リ ソ ース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
ソ リ ュ ーシ ョ ン セ ン タ ー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
Xilinx Documentation Navigator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
ラ イ セ ン スお よ びエン ド ユーザー ラ イ セ ン ス契約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
リ フ ァ レ ン ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
4
第 1章
リ リ ース ノ ー ト 2013.4
新機能
Vivado® Design Suite 2013.4 では、 UltraScale™ デバ イ ス がサポー ト さ れてお り 、 IP イ ン テ グ レー タ ー、 Vivado HLS、
Vivado 合成、 お よ び イ ン ク リ メ ン タ ル デザ イ ン フ ロ ーに大幅な改善が加え ら れてい ます。
デバイ ス サポー ト
こ の リ リ ース では、 Kintex® UltraScale™ デバ イ ス が使用可能にな っ てい ます。 Vivado で こ れ ら のデバ イ ス を使用す
る には、 最寄の販売代理店ま たは FAE にご連絡 く だ さ い。
次のデバ イ ス をプ ロ ダ ク シ ョ ンでサポー ト
•
Artix®-7
°
•
XC7A35T お よ び XC7A50T
Zynq®-7000
°
XC7Z015
ザイ リ ン ク ス PCIe IP の Tandem コ ン フ ィ ギ ュ レーシ ョ ン 次のデバ イ ス をプ ロ ダ ク シ ョ ンでサポー ト
•
7K410T
•
7VX550T
Vivado System Edition 製品
Vivado 高位合成
•
デー タ を 8 ビ ッ ト 境界に自動的に揃え る 新 し いデー タ パ ッ キ ン グ オプシ ョ ンに よ り 、 HLS デザ イ ン を AXI4 シ
ス テ ムに よ り ス ムーズに統合
•
オプシ ョ ンでユーザー ポー ト を イ ン タ ーフ ェ イ ス に含め る こ と がで き る よ う に AXI4 マ ス タ ー イ ン タ ーフ ェ イ
ス の機能を向上
•
除算を使用す る デザ イ ンの リ ソ ース使用率を向上。 こ れ ら の演算の イ ンプ リ メ ン テーシ ョ ンが よ り 小 さ く な っ て
い ます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
5
新機能
Vivado Design Edition ツール
Vivado IP イ ン テグ レー タ ー
•
IP イ ン テ グ レー タ ーで次を含む 50 個以上の IP を新規サポー ト
°
接続 IP
-
CPRI™ お よ び JESD204
-
GMII to RGMII
-
Virtex-7 PCIe (Gen2 お よ び Gen3)
-
RXAUI お よ び XAUI
-
Ten Gigabit Ethernet MAC お よ び PCS PMA
-
SelectIO Wizard
•
ブ ロ ッ ク デザ イ ン全体を OOC (Out-Of-Context) モジ ュ ール と し て設定 し 、デザ イ ンの繰 り 返 し 実行で変更のない
ブ ロ ッ ク の合成時間を短縮
•
ユーザー IP を ダ イ ア グ ラ ムに追加 し た後に再パ ッ ケージ化する こ と が可能。 プ ロ ジ ェ ク ト で使用 さ れてい る IP
のすべての イ ン ス タ ン ス が、 変更を反映 し て ア ッ プデー ト さ れます。
•
リ モー ト ソ ース のサポー ト を追加。 一時プ ロ ジ ェ ク ト を作成 し て、 リ モー ト の場所に初期 BD を作成す る 必要が
あ り ます。
•
IP イ ン テ グ レー タ ーで read_bd を使用す る 非プ ロ ジ ェ ク ト フ ロ ーをサポー ト
•
AXI ス レーブ、 ブ ロ ッ ク RAM コ ン ト ロ ー ラ ー、 Zynq ボー ド プ リ セ ッ ト お よ び AXI イ ーサネ ッ ト に関す る 設計
ア シ ス ト を追加
•
IP イ ン テ グ レー タ ーで 32 ~ 64 ビ ッ ト のア ド レ ス幅をサポー ト 。 こ れは、 IP イ ン テ グ レー タ ーでマルチポー ト
メ モ リ コ ン ト ロ ー ラ ーを設計す る 場合に有益です。
•
Ctrl + F キーを押す こ と に よ り 、 IP イ ン テ グ レー タ ー キ ャ ンバ ス上の IP ま たはオブジ ェ ク ト を検索可能
•
複数のオブジ ェ ク ト を同時に接続す る [Make Connection] オプシ ョ ン を追加
•
AXI4 イ ン タ ーフ ェ イ ス タ イ プに基づいて、 ダ イ ア グ ラ ムの AXI4 イ ン タ ーフ ェ イ ス の色を カ ス タ マ イ ズ可能。
デフ ォ ル ト では、 すべての イ ン タ ーフ ェ イ ス が同 じ 色で表示 さ れます。
Vivado 合成
•
DSP の QoR (結果の品質) をい く つかの点で向上
°
積和フ ァ ン ク シ ョ ンでダ イ ナ ミ ッ ク opmode を利用 し 、 完全に 1 つの DSP ブ ロ ッ ク にマ ッ プ
°
複数の DSP ブ ロ ッ ク を使用す る 幅の広い乗算器をパ イ プ ラ イ ン レ ジ ス タ の割 り 当てに よ り 向上
°
UG479 の偶数対称シ ス ト リ ッ ク FIR の RTL 推論 (Virtex®-7 ま たは Kintex®-7 で 741MHz)
Vivado 物理イ ン プ リ メ ン テーシ ョ ン
イ ン ク リ メ ン タ ル コ ンパ イ ル フ ロ ーで、 再利用 さ れ る 配置が Pblock 制約 と 競合 し てお り 、 Pblock 制約に よ り タ イ ミ
ン グ パフ ォ ーマ ン ス が悪化す る 場合、 Pblock 制約は無視 さ れ、 メ ッ セージは表示 さ れ ません。 イ ン ク リ メ ン タ ル コ
ンパ イ ル フ ロ ーでの Pblock の動作の よ り 厳密な制御は、 今後の リ リ ース で対処する 予定です。
•
その他の イ ン ク リ メ ン タ ル コ ンパ イ ル フ ロ ーの変更 :
°
read_checkpoint -incremental の後、 自動的に イ ン ク リ メ ン タ ル再利用を レ ポー ト
°
現在のデザ イ ン におけ る 再利用 さ れた配置 と 物理制約の競合を リ ス ト す る イ ン ク リ メ ン タ ル再利用レ ポー
ト セ ク シ ョ ン を追加
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
6
重要な情報
重要な情報
Vivado デザイ ンの Vivado Design Suite 2013.3 か ら 2013.4 への移行
IP ア ッ プグ レー ド に関する推奨事項
IP を含むデザ イ ン を Vivado Design Suite 2013.3 か ら 2013.34 に移行す る 際の推奨事項は、 次の と お り です。
1.
IP ス テー タ ス レ ポー ト を生成 し 、 各 IP の変更 ロ グ を確認 し ます。
2.
マ イ ナーな変更ま たは リ ビ ジ ョ ン レベルの変更を含む IP か ら ア ッ プグ レー ド し 、 各 IP に生成 さ れた ア ッ プグ
レー ド ロ グ を確認 し ます。
3.
デザ イ ン を再検証 し 、 メ ジ ャ ーなバージ ョ ン変更を含む IP を ア ッ プグ レー ド し ます。
4.
各 IP に生成 さ れた ア ッ プグ レー ド ロ グ を参照 し 、デザ イ ンにア ッ プグ レー ド さ れた IP を組み込むために必要な
変更を加え ます。
5.
デザ イ ン を再検証 し ます。
ヒント :
•
2013.4 で メ ジ ャ ーな リ ビ ジ ョ ン変更があ っ た IP に対 し て 2013.3 でデザ イ ン チ ェ ッ ク ポ イ ン ト を作成 し 、 すぐ に
ア ッ プグ レー ド し な く て も 続行で き る よ う に し ます。
•
マ イ ナーな変更ま たは リ ビ ジ ョ ン レベルの変更を含む IP か ら ア ッ プグ レー ド し 、 デザ イ ン を再検証 し ます。
•
ほかのユーザーお よ びデザ イ ンに影響を与え ない よ う にす る ため、 2013.4 にア ッ プグ レー ド する 前に 2013.3 の
IP 管理プ ロ ジ ェ ク ト ロ ケーシ ョ ンお よ び リ モー ト プ ロ ジ ェ ク ト IP のバ ッ ク ア ッ プ を作成 し ます。
•
Vivado 2013.4 に移行す る 前に、 IP を含む 2013.3 デザ イ ン プ ロ ジ ェ ク ト のアーカ イ ブ を作成 し ます。
既存 IP のア ッ プデー ト
•
10 Gigabit AXI Ethernet (1588 の 1 ス テ ッ プお よ び 2 ス テ ッ プ)
°
•
•
•
Virtex®-7 GTH サポー ト を追加
PCI™ Express (Gen3/Gen2/AXI-PCIe)
°
ト ラ ン シーバー ポー ト デバ ッ グ をサポー ト
°
外部 PIPE イ ン タ ーフ ェ イ ス をサポー ト (Gen3/AXI_PCIe コ ア)
°
Artix-7 35t、 50t、 お よ び 75t デバ イ ス
Aurora
°
ト ラ ン シーバー ポー ト デバ ッ グ をサポー ト
°
IP イ ン テ グ レー タ ーで ク ロ ッ ク 、 リ セ ッ ト 、 お よ び イ ン タ ーフ ェ イ ス定義をサポー ト
SRIO
°
オプシ ョ ンの ト ラ ン シーバー制御お よ びス テー タ ス ポー ト の数を増加
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
7
既知の問題
•
CPRI/JESD204B
°
2013.4 リ リ ース で CPRI™ お よ び JESD204 に次のマ イ ナーな リ ビ ジ ョ ン
°
JESD204 2013.4 の変更 :
°
-
バージ ョ ン : 5.1
-
Kintex® UltraScale プ リ プ ロ ダ ク シ ョ ン サポー ト
-
キ ャ プチ ャ さ れた ILA お よ びその他の レーン特定デー タ の レ ジ ス タ ア ド レ ス 出コ ー ド オ フ セ ッ ト を
修正 (ア ンサー 58089)
-
ト ラ ン シーバー制御お よ びス テー タ ス ポー ト を追加
-
IP イ ン テ グ レー タ ーで、 一貫性のため以前のバ ス イ ン タ ーフ ェ イ ス名を変更 こ の コ ア を使用す る ア ッ
プグ レー ド さ れた IP イ ン テ グ レー タ ー デザ イ ンでは、 バ ス イ ン タ ーフ ェ イ ス を再接続す る 必要があ り
ます。
CPRI™ 2013.4 の変更 :
-
バージ ョ ン : 8.1
-
バージ ョ ン レ ジ ス タ を追加
-
Kintex® UltraScale プ リ プ ロ ダ ク シ ョ ン サポー ト
-
オプシ ョ ンの ト ラ ン シーバー制御お よ びス テー タ ス ポー ト を追加
-
IP イ ン テ グ レー タ ーで、 一貫性のため以前のバ ス イ ン タ ーフ ェ イ ス名を変更 こ の コ ア を使用す る ア ッ
プグ レー ド さ れた IP イ ン テ グ レー タ ー デザ イ ンでは、 バ ス イ ン タ ーフ ェ イ ス を再接続す る 必要があ り
ます。
IP の既知の問題および変更 リ ス ト
•
IP の既知の問題は、 『IP リ リ ース ノ ー ト ガ イ ド 』 (XTP025) [参照 6] を参照 し て く だ さ い。
•
2013.4 でのザ イ リ ン ク ス IP コ アの詳細な変更 リ ス ト は、 ア ンサー 58670 を参照 し て く だ さ い。
高位合成
•
Verlog お よ び VHDL の両方で、 C++ のブール型を シ ン グル ビ ッ ト デー タ 型に合成。 以前の リ リ ース では、 ブー
ル型は HDL でシ ン グル ビ ッ ト ベ ク タ ー (Verilog では [0:0]、VHDL では (0 downto 0)) と し て表現 さ れてい ま し た。
°
HLS か ら の新 し い RTL コ ー ド と 通信す る 既存の RTL デザ イ ンで、 デー タ 型を一致 さ せ る ために変更が必要
な場合があ り ます。
System Generator for DSP
•
AXI4 ス レーブ Lite ド ラ イ バーが Linux シ ス テ ムで生成 さ れ る よ う にな り 、 既存のベア メ タ ル ド ラ イ バー も サ
ポー ト さ れ る ので、 System Generator for DSP ブ ロ ッ ク を シ ス テ ムに よ り 簡単に短期間で統合可能
•
ハー ド ウ ェ ア協調シ ミ ュ レーシ ョ ンで メ モ リ にマ ッ プ さ れない イ ン タ ーフ ェ イ ス のサポー ト に よ り 検証を向上
既知の問題
Vivado Design Suite の既知の問題は、 ア ンサー 55120 を参照 し て く だ さ い。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
8
第 2章
アーキテ ク チ ャ サポー ト およびシステム要件
オペ レーテ ィ ング シ ス テム
ザ イ リ ン ク ス でサポー ト さ れ る OS は、 x86 お よ び x86-64 プ ロ セ ッ サ アーキ テ ク チ ャ の OS のみです。
Microsoft Windows サポー ト
•
Windows XP Professional (32 ビ ッ ト お よ び 64 ビ ッ ト )、 英語版/日本語版
•
Windows 7 Professional (32 ビ ッ ト お よ び 64 ビ ッ ト )、 英語版/日本語版
•
Windows Server 2008 (64 ビ ッ ト )
Linux サポー ト
•
Red Hat Enterprise Workstation 5 (32 ビ ッ ト お よ び 64 ビ ッ ト )
•
Red Hat Enterprise Workstation 6 (32 ビ ッ ト お よ び 64 ビ ッ ト )
•
SUSE Linux Enterprise 11 (32 ビ ッ ト お よ び 64 ビ ッ ト )
アーキテ ク チ ャ
次の表は、 Vivado® Design Suite WebPACK™ ツール と その他の Vivado Design Suite エデ ィ シ ョ ンの コ マーシ ャ ル製品
のアーキ テ ク チ ャ サポー ト を リ ス ト し てい ます。
コ マーシ ャ ル製品以外 :
•
ザ イ リ ン ク ス オー ト モーテ ィ ブ デバ イ ス はすべて Vivado Design Suite WebPACK ツールでサポー ト さ れます。
•
デ ィ フ ェ ン ス グ レー ド のザ イ リ ン ク ス FPGA デバ イ ス は、 同等の コ マーシ ャ ル パーツ サ イ ズのサポー ト さ れ る
エデ ィ シ ョ ンでサポー ト さ れます。
表 2‐1 : アーキテ ク チ ャ サポー ト
Vivado WebPACK ツール
Vivado Design Suite
(全エデ ィ シ ョ ン)
Zynq™ デバ イ ス
Zynq-7000 デバ イ ス
Zynq-7000 デバ イ ス
• XC7Z010、 XC7Z015、 XC7Z020、 XC7Z030 • すべて
Virtex® FPGA
Virtex-7 FPGA
• なし
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
Virtex-7 FPGA
• すべて
japan.xilinx.com
Send Feedback
9
互換性のあるサー ド パーテ ィ ツール
表 2‐1 : アーキテ ク チ ャ サポー ト
Vivado Design Suite
(全エデ ィ シ ョ ン)
Vivado WebPACK ツール
Kintex™ FPGA
Kintex-7 FPGA
• XC7K70T、 XC7K160T
Kintex-7 FPGA
• すべて
Artix™ FPGA
Artix-7 FPGA
• XC7A100T、 XC7A200T、 XC7A75T
Artix-7 FPGA
• すべて
互換性のあ るサー ド パーテ ィ ツール
表 2‐2 : 互換性のあるサー ド パーテ ィ ツール
サー ド パーテ ィ ツール
Red Hat Linux
Red Hat Linux‐64
SUSE Linux Windows XP Windows XP Windows‐7 32 ビ ッ ト
64 ビ ッ ト
32 ビ ッ ト
Windows‐7 64 ビ ッ ト
○
○
○
○
○
○
○
なし
なし
なし
○
○
○
○
Mentor Graphics Questa
Advanced
Simulator(10.2a)
○
○
○
○
○
○
○
Cadence Incisive
Enterprise Simulator
(IES) (12.2-016)
○
○
○
なし
なし
なし
なし
○
○
○
なし
なし
なし
なし
○
○
○
○
○
○
○
なし
なし
なし
○
○
○
○
○
○
○
○
○
○
○
○
○
○
○
○
○
○
シ ミ ュ レーシ ョ ン
Mentor Graphics
ModelSim SE/DE
(10.2a)
Mentor Graphics
ModelSim PE (10.2a)
Synopsys VCS お よ
び VCS MX
(H-2013.06-3*)
*- こ のバージ ョ ン の
VCS の入手につい て
は、 Synopsys 社に
連絡 し て く だ さ い
The MathWorks
MATLAB® お よ び
Simulink® with
Fixed-Point Toolbox
(2013a、 2013b)
Aldec Active-HDL (9.2)a
Aldec Riviera-PRO
(2013.02)
合成b
Synopsys
Synplify/Synplify Pro
(H-2013.03)c
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
10
シ ス テム要件
表 2‐2 : 互換性のあるサー ド パーテ ィ ツール
サー ド パーテ ィ ツール
Red Hat Linux
Red Hat Linux‐64
Mentor Graphics
Precision RTL/Plus
(2012c)
○
○
○
○
○
○
○
Cadence Encounter
Conformal (9.1)d
○
○
○
なし
なし
なし
なし
OneSpin 360 (2013_06)
○
○
○
なし
なし
なし
なし
SUSE Linux Windows XP Windows XP Windows‐7 32 ビ ッ ト
64 ビ ッ ト
32 ビ ッ ト
Windows‐7 64 ビ ッ ト
等価性チ ェ ッ ク
a.
注記 : Aldec シ ミ ュ レー タ のサポー ト は Aldec 社 よ り 提供 さ れてい ます。
b. 注記 : RTL ソ ース には暗号化 さ れた フ ァ イ ルが含まれ る 可能性があ る ので、 ほ と ん ど の Vivado IP は Vivado 合成
でのみ合成で き ます。 こ れ ら の IP をサー ド パーテ ィ 合成フ ロ ーで使用す る には、 Vivado ツールか ら 合成済みネ ッ ト
リ ス ト を最適な形式でエ ク ス ポー ト し て、 サー ド パーテ ィ 合成プ ロ ジ ェ ク ト で使用で き る よ う に し ます。
c.
注記 : Synplify Overlay ま たはサービ ス パ ッ ク の利用については、 Synopsys 社にお問い合わせ く だ さ い。
d.
注記 : Cadence Encounter Conformal は、 Synopsys Synplify を使用 し た場合の RTL2Gate のみをサポー ト し ます。
シ ス テム要件
こ のセ ク シ ョ ンでは、 シ ス テ ム メ モ リ 要件、 ケーブル イ ン ス ト ール、 お よ びその他の要件について説明 し ます。
演習を実行す る には、 MATLAB 2013b (ま たはそれ以降) お よ び Vivado Design Suite 2013.4 (ま たはそれ以降) を イ ン ス
ト ール し てお く 必要があ り ます。
シ ス テム メ モ リ 要件
Vivado Design Suite ツールの推奨 メ モ リ については、 http://japan.xilinx.com/design-tools/vivado/memory.htm を参照 し て
く だ さ い。
OS と 使用可能な メ モ リ
Microsoft Windows お よ び Linux® OS のアーキ テ ク チ ャ 場合、 ザ イ リ ン ク ス プ ロ グ ラ ムに使用で き る メ モ リ の最大容
量に制限が あ り 、 大規模デバ イ ス お よ び複雑なデバ イ ス を作成す る 際に問題 と な る こ と が あ り ま す。 Vivado Design
Suite には最適化 メ モ リ が含まれてお り 、 ザ イ リ ン ク ス ツール用に RAM を増加で き る よ う にな っ てい ます。
Windows XP Professional 32 ビ ッ ト
ザ イ リ ン ク ス アプ リ ケーシ ョ ンでは、 Windows 32 ビ ッ ト シ ス テ ム で メ モ リ 増加機能が取 り 入れ ら れ る よ う にな っ て
い ますが、 ユーザーの方で も よ り 大規模な メ モ リ を使用で き る よ う に Windows 設定を変更する 必要があ り ます。
標準の Windows では、 ザ イ リ ン ク ス のプ ロ セ ス に使用で き る 最大 メ モ リ 容量は 2GB ですが、 Windows XP Professional
の場合は、 RAM を 3 GB ま で増加で き る よ う にな っ てい ます。 Vivado Design Suite には こ のオプシ ョ ンがビル ト イ ン
さ れてい ますが、 Windows XP OS に も 修正を加え ない と 、 メ モ リ は拡張で き ません。 拡張する には boot.ini フ ァ イ ル
の startup ラ イ ンの終わ り に /3GB を追加す る 必要があ り ます。
ザ イ リ ン ク ス アプ リ ケーシ ョ ンで 3GB サポー ト を使用する 前に、 マ イ ク ロ ソ フ ト のサポー ト 技術情報 #328269 を参
照 し て く だ さ い。 Windows XP サービ ス パ ッ ク 1 にア ッ プグ レー ド し 、 /3GB オプシ ョ ン を使用する 場合、 マ イ ク ロ ソ
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
11
シ ス テム要件
フ ト か ら のパ ッ チを イ ン ス ト ール し ていない と マシ ン を再起動で き ません。 詳細については、 ア ンサー 17905 を参照
し て く だ さ い。
変更を加え る 前に、 次を参照 し て く だ さ い。
•
4GT RAM チ ュ ーニ ン グのアプ リ ケーシ ョ ン使用については、 Microsoft Bulletin Q17193 を参照 し て く だ さ い。
•
boot.ini フ ァ イ ルの修正方法については、 Microsoft Bulletin Q289022 を参照 し て く だ さ い。
Linux
32 ビ ッ ト の Red Hat Enterprise Linux では、 大規模 メ モ リ のカーネルを使用 し て各プ ロ セ ス に 4GB 割 り 当て る こ と が
で き ま す。 詳細については、 Red Hat のサポー ト サ イ ト (http://www.redhat.com/docs/manuals/enterprise/) を参照 し て く
だ さ い。
ケーブル イ ン ス ト ール要件
ザ イ リ ン ク ス デザ イ ン ツールで タ ーゲ ッ ト ハー ド ウ ェ ア をプ ロ グ ラ ムお よ び コ ン フ ィ ギ ュ レーシ ョ ンす る には、 ハ
イ パフ ォーマ ン ス な ケーブル、 Platform Cable USB II が必要です。
注記 : デバ ッ グ ま たはプ ロ グ ラ ムに使用 さ れていた Xilinx Parallel Cable IV は、 サポー ト さ れな く な っ てい ます。
Platform Cable USB II を イ ン ス ト ールす る 場合は、 少な く と も USB 1.1 ポー ト が必要にな り ます。 最適なパフ ォ ーマ
ン ス には、 USB 2.0 ポー ト で Platform Cable USB II を使用する こ と をお勧め し ます。
ケーブルは、 Windows XP Professional、 Windows 7、 Redhat Linux Enterprise、 SUSE Linux Enterprise 11 の 32 ビ ッ ト お よ
び 64 ビ ッ ト バージ ョ ンで公式にサポー ト さ れてい ます。 OS 別の注意点は、 次を参照 し て く だ さ い。
•
ルー ト デ ィ レ ク ト リ への権限が必要です。
•
SUSE Linux Enterprise 11 :Platform Cable USB II が正 し く 動作する ためには、 fxload ソ フ ト ウ ェ ア パ ッ ケージが必
要です。 fxload パ ッ ケージは SUSE Linux Enterprise 11 には自動的に イ ン ス ト ール さ れないので、 そのユーザーま
たはシ ス テ ム管理者が イ ン ス ト ール し てお く 必要があ り ます。
•
Linux LibUSB サポー ト :LibUSB パ ッ ケージに基づ く Platform Cable USB II のサポー ト は、ザ イ リ ン ク ス の Web サ
イ ト か ら 入手で き ます。 詳細は、 ア ンサー 29310 を参照 し て く だ さ い。
ザ イ リ ン ク ス ケーブルに関す る その他の情報は、 次のマニ ュ アルを参照 し て く だ さ い。
•
『USB ケーブル イ ン ス ト ール ガ イ ド 』 (UG344) [参照 7]
•
『Platform Cable USB II デー タ シー ト 』 (DS593) [参照 8]
•
『Parallel Cable IV デー タ シー ト 』 (DS097) [参照 9]
装置 と ア ク セス権
次の表は、 関連装置、 ア ク セ ス権、 ネ ッ ト ワー ク 接続の条件を示 し てい ます。
表 2‐3 : 装置 と ア ク セス権の要件 項目
要件
デ ィ レ ク ト リ のア ク セ ス権
編集す る デザ イ ン フ ァ イ ルが含 ま れ る デ ィ レ ク ト リ すべて の書 き 込み権が必要で
す。
モニ タ ー
解像度 1024x768 ピ ク セル以上の 16 ビ ッ ト カ ラ ー VGA をお勧め し ます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
12
シ ス テム要件
項目
要件
ド ラ イブ
Vivado Design Suite には DVD-ROMが必要です (Web か ら のダ ウ ン ロ ー ド ではな く 、
DVD を請求 し て イ ン ス ト ールす る 場合)。
ポー ト
デバ イ ス のプ ロ グ ラ ム には、 ザ イ リ ン ク ス プ ロ グ ラ ム ケーブル用にパ ラ レ ル ポー
ト 、USB ポー ト のいずれかが必要です。ポー ト の仕様については、 ケーブルのマニ ュ
アルを参照 し て く だ さ い。
注記 : ケーブル ド ラ イ バ ソ フ ト ウ ェ アの イ ン ス ト ールには、Windows XP Pro SP1 (ま
たはそれ以降) ま たは Windows-7 が必要です。 こ れ ら の OS を使用 し ていない場合、
ケーブルが正 し く 動作 し ない こ と があ り ます。
注記 : Exceed、 ReflectionX、 XWin32 の よ う な X サーバー / リ モー ト デス ク ト ッ プ サーバーはサポー ト さ れません。
ネ ッ ト ワー ク時刻の同期化
ソ フ ト ウ ェ アが イ ン ス ト ール さ れた コ ン ピ ュ ー タ と は別のネ ッ ト ワ ー ク コ ン ピ ュ ー タ にユーザーのデザ イ ン フ ァ イ
ルを保存す る 場合、 ど ち ら の コ ン ピ ュ ー タ も 同時刻に設定する 必要があ り ます。 ソ フ ト ウ ェ アの フ ァ ン ク シ ョ ン を最
適にす る ため、 時刻は定期的に合わせて く だ さ い。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
13
第 3章
ダウン ロー ド および イ ン ス ト ール
こ の章では、 Vivado® Integrated Design Environment (IDE)、 高位合成合成お よ び System Generator for DSP な ど を含む
Vivado Design Suite のダ ウ ン ロ ー ド お よ び イ ン ス ト ール方法について説明 し ます。
Vivado Design Suite ツールのダウン ロー ド
こ のセ ク シ ョ ンでは、 Vivado Design Suite のダ ウ ン ロ ー ド 方法を説明 し ます。
Vivado Design Suite ツ ールは ス タ ン ド ア ロ ン で ダ ウ ン ロ ー ド で き る ので、容量が少ない高速な ダ ウ ン ロ ー ド がで き
ま す。
注記 : Vivado Design Suite 2013.4 には、 次の よ う なデバ イ ス プ ロ グ ラ ム機能が含まれます。
-
JTAG ケーブルに接続 し て、 デバ イ ス チ ェーン を ク エ リ ー検索
-
BIT フ ァ イ ルを使用 し てザ イ リ ン ク ス 7 シ リ ーズ FPGA デバ イ ス を直接プ ロ グ ラ ム
-
ザ イ リ ン ク ス 7 シ リ ーズ FPGA デバ イ ス の さ ま ざ ま な ス テー タ ス レ ジ ス タ をチ ェ ッ ク
ザ イ リ ン ク ス ISE ラ ボ ツールお よ び ISE Design Suite に含まれ る その他すべての機能を使用す る 場合は、 ザ
イ リ ン ク ス ISE ラ ボ ツールの ス タ ン ド ア ロ ン バージ ョ ン を イ ン ス ト ールする 必要があ り ます。
まず、 イ ン タ ーネ ッ ト ブ ラ ウ ザを起動 し 、 http://japan.xilinx.com/support/download/index.htm にア ク セ ス し ます。
ザ イ リ ン ク ス ダ ウ ン ロ ー ド セ ン タ ーの フ ァ イ ルはほ と ん ど が Akamai ダ ウ ン ロ ー ド マネージ ャ を使用 し て ダ ウ ン
ロ ー ド さ れます。 ダ ウ ン ロ ー ド 前に、 次を設定 し ておいて く だ さ い。
•
http://xilinx.entitlenow.com か ら のポ ッ プア ッ プ を許可する
•
保護 さ れてい る 項目 も さ れていない項目 も 同 じ ページに表示 さ れ る よ う にセキ ュ リ テ ィ 設定をする
•
Java を イ ン ス ト ール し て Akamai マネージ ャ を実行で き る よ う にす る
ザ イ リ ン ク ス デザ イ ン ツールを ダ ウ ン ロ ー ド す る には、 次の手順に従っ て く だ さ い。
1.
ダ ウ ン ロ ー ド サ イ ト で該当す る デザ イ ン ツールの タ ブ (例 : [Vivado デザ イ ン ツール] タ ブ) を ク リ ッ ク し ます。
2.
左側か ら ダ ウ ン ロ ー ド す る ツールのバージ ョ ン を ク リ ッ ク し ます。
3.
ダ ウ ン ロ ー ド す る イ ン ス ト ー ラ ーの リ ン ク を ク リ ッ ク し ます。
注記 : 複数フ ァ イ ル ダ ウ ン ロ ー ド のオプシ ョ ンには、 4 つの小 さ いダ ウ ン ロ ー ド 可能な圧縮フ ァ イ ルが含まれて
お り 、 大 き な イ ン ス ト ール フ ァ イ ルを ダ ウ ン ロ ー ド す る のに問題があ る お客様にお勧め し ます。 こ のオプシ ョ ン
を使用す る 場合は、 イ ン ス ト ー ラ ーを実行する 前に、 こ のセ ク シ ョ ンに リ ス ト さ れ る 4 つの フ ァ イ ルすべてがダ
ウ ン ロ ー ド さ れてい る 必要があ り ます。 詳細は、 ウ ェ ブページの 「重要な情報」 部分を参照 し て く だ さ い。
4.
ユーザー ID と パ ス ワー ド を入力 し 、 ザ イ リ ン ク ス ア カ ウ ン ト に ロ グ イ ン し ます。
注記 : ザ イ リ ン ク ス ア カ ウ ン ト をお持ちでない場合は、 ア カ ウ ン ト を作成 し て く だ さ い。
住所を確認す る 画面が表示 さ れます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
14
Vivado Design Suite ツールのイ ン ス ト ール全 OS 共通の手順
5.
住所を確認 し 、 [次へ] を ク リ ッ ク し ます。
6.
Akamai ダ ウ ン ロ ー ド マネージ ャ がブ ラ ウ ザ内で起動 さ れ、 ダ ウ ン ロ ー ド プ ロ セ ス が実行 さ れます。 Akamai ダ
ウ ン ロ ー ド マネージ ャ ーの使用に問題があ る 場合は、 ダ ウ ン ロ ー ド ページの 「重要な情報」 の 「ダ ウ ン ロ ー ド
で き ない場合」 セ ク シ ョ ン を参照 し て く だ さ い。 ダ ウ ン ロ ー ド マネージ ャ ーを使用 し ないで ツールを取得す る 方
法については、 そ こ に表示 さ れ る ア ンサーへの リ ン ク を参照 し て く だ さ い。
ザ イ リ ン ク ス ダ ウ ン ロ ー ド セ ン タ ーフ ァ イ ルのほ と ん ど は TAR を使用 し て圧縮 さ れてお り 、 解凍にはサー ド
パーテ ィ プ ロ バ イ ダーの ソ フ ト ウ ェ アが必要にな り ます。 ど の ソ フ ト ウ ェ ア を使用す る かは、 各企業の IT 部門
にお尋ね く だ さ い。TAR フ ァ イ ルに よ く 使用 さ れ る ソ フ ト ウ ェ アには、7-ZIP、GNU ビル ト イ ン ツール、WinZIP、
WinRar な ど があ り 、 それぞれのプ ロ バ イ ダーで開発 さ れてい る ので、 ザ イ リ ン ク ス では関与 し てお り ません。 ザ
イ リ ン ク ス では、 こ れ ら の提案 さ れ る ソ フ ト ウ ェ ア ツールに関 し て、 市販性、 特定目的 と の適合性、 不侵害な ど
の点を含め、 明示黙示を問わず一切保証 も 表明 も いた し ません。
Vivado Design Suite ツールのイ ン ス ト ール全 OS 共通
の手順
こ のセ ク シ ョ ン では、 すべての OS に共通す る Vivado Design Suite の イ ン ス ト ール方法を示 し ます。 各 OS で イ ン ス
ト ールを始め る 前に、 次を実行 し て く だ さ い。
•
イ ン ス ト ール時間を削減す る ため、 ア ンチ ウ イ ルス ソ フ ト ウ ェ ア をオ フ に し ます。
•
デザ イ ン ツールを イ ン ス ト ールす る シ ス テ ムに適切な権限があ る こ と を確認 し ます。 プ ロ グ ラ ム ケーブル デバ
イ ス ド ラ イ バな ど の コ ン ポーネ ン ト には、 管理者権限の必要な も の も あ り ます。
•
イ ン ス ト ールを開始す る 前に、 すべてのプ ロ グ ラ ム を終了 し ます。
•
シ ス テ ムが第 2 章 「アーキ テ ク チ ャ サポー ト お よ びシ ス テ ム要件」 に示 さ れてい る 必要条件を満た し てい る か ど
う か確認 し ます。
•
シ ス テ ム ま たは製品オプシ ョ ンに伴 う イ ン ス ト ールの問題については、 第 1 章の 「重要な情報」 を参照 し て く だ
さ い。
•
Vivado Design Suite の イ ン ス ト ー ラ ーは、Windows では XILINX の よ う な グ ロ ーバル環境変数を設定 し ません。 こ
れに よ る ザ イ リ ン ク ス デザ イ ン ツールへの影響ついては、 「OS 別 イ ン ス ト ール手順」 を参照 し て く だ さ い。
•
ネ ッ ト ワー ク の 64 ビ ッ ト マシ ンに 32 ビ ッ ト マシ ンか ら xsetup.exe を実行する と 、 32 ビ ッ ト の EXE フ ァ イ
ルが 64 ビ ッ ト マシ ンに イ ン ス ト ール さ れます。
OS 別イ ン ス ト ール手順
こ のセ ク シ ョ ンでは、 Vivado Design Suite ツールの イ ン ス ト ール方法について、 OS 別に説明 し ます。
Microsoft Windows
イ ン ス ト ールの開始方法は、 イ ン ス ト ール プ ロ グ ラ ムの取得方法に よ っ て異な り ます。 詳細は、 「Vivado Design Suite
ツールのダ ウ ン ロ ー ド 」 を参照 し て く だ さ い。
•
イ ン ス ト ール フ ァ イ ルを ダ ウ ン ロ ー ド し た場合は、 そのフ ァ イ ルを解凍 し 、 含まれ る xsetup.exe を実行 し ま
す。
•
イ ン ス ト ール フ ァ イ ルを複数部分に分けてダ ウ ン ロ ー ド し た場合は、 .zip 拡張子の付いた フ ァ イ ルを解凍 し 、
xsetup.exe を実行 し ます。 それ以外の フ ァ イ ルは解凍 し ないで く だ さ い。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
15
OS 別イ ン ス ト ール手順
•
ザ イ リ ン ク ス デザ イ ン ツールの DVD をお持ちの場合は、 その DVD を ド ラ イ ブに入れます。 イ ン ス ト ールは自
動的に始ま る はずですが、 始ま ら ない場合は、 Windows Explorer か ら DVD デ ィ レ ク ト リ の xsetup.exe を実行
し ます。
Linux
イ ン ス ト ールの開始方法は、 イ ン ス ト ール プ ロ グ ラ ムの取得方法に よ っ て異な り ます。 詳細は、 「Vivado Design Suite
ツールのダ ウ ン ロ ー ド 」 を参照 し て く だ さ い。
•
イ ン ス ト ール フ ァ イ ルを ダ ウ ン ロ ー ド し た場合は、 その フ ァ イ ルを解凍 し 、 含まれ る xsetup プ ロ グ ラ ム を実
行 し ます。
•
イ ン ス ト ール フ ァ イ ルを複数部分に分けてダ ウ ン ロ ー ド し た場合は、 .zip 拡張子の付いた フ ァ イ ルを解凍 し 、
xsetup を実行 し ます。 それ以外の フ ァ イ ルは解凍 し ないで く だ さ い。
•
ザ イ リ ン ク ス デザ イ ン ツールの DVD を請求 し てお持ちの場合は、 その DVD を ド ラ イ ブに入れます。 フ ァ イ ル
マ ネ ー ジ ャ で セ ッ ト ア ッ プ フ ァ イ ル を ク リ ッ ク す る か、 DVD ド ラ イ ブ の ルー ト デ ィ レ ク ト リ を 参照 し 、
./xsetup と 入力 し ます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
16
イ ン ス ト ール フ ロー
イ ン ス ト ール フ ロー
こ のセ ク シ ョ ンでは、 イ ン ス ト ール プ ロ セ ス中に表示 さ れ る 主な画面について説明 し ます。
注記 : イ ン ス ト ール画面でチ ェ ッ ク ボ ッ ク ス のあ る テ キ ス ト 部分を ク リ ッ ク する と 、画面下の フ ィ ール ド にその詳細
が表示 さ れます。
ウ ェ ルカ ム画面
EXE フ ァ イ ルを実行す る と 、 ウ ェ ルカ ム画面が表示 さ れますので、 [Next] を ク リ ッ ク し ます。
X-Ref Target - Figure 3-1
図 3‐1 : ウ ェ ルカム画面
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
17
イ ン ス ト ール フ ロー
ダウン ロー ド デ ィ レ ク ト リ の選択
こ の手順は、複数の圧縮フ ァ イ ルを を ダ ウ ン ロ ー ド し た場合に実行する 必要があ り ます。1 つの イ メ ージ を ダ ウ ン ロ ー
ド し た場合は、 「 ソ フ ト ウ ェ ア ラ イ セ ン ス の承諾」 へ進んで く だ さ い。
こ の画面には、 イ ン ス ト ールを完了す る のに必要な追加 イ ン ス ト ール フ ァ イ ルがすべて リ ス ト さ れ ます。 ユーザー
は、 ツールで こ れ ら の フ ァ イ ルを含むデ ィ レ ク ト リ を指定する 必要があ り ます。 必要な フ ァ イ ルはすべて同 じ デ ィ レ
ク ト リ にあ る 必要があ り ます。 正 し い フ ァ イ ルが認識 さ れ る と 、 圧縮フ ァ イ ルが破損 し ない よ う に、 イ ン ス ト ー ラ ー
で こ れ ら の フ ァ イ ルの イ ン テ グ リ テ ィ がチ ェ ッ ク さ れます。 こ のプ ロ セ ス には、 数分かか る こ と があ り ます。
X-Ref Target - Figure 3-2
図 3‐2 : Vivado Design Suite のイ ン ス ト ール ‐ ダウン ロー ド デ ィ レ ク ト リ の選択
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
18
イ ン ス ト ール フ ロー
ソ フ ト ウ ェ ア ラ イ セ ン スの承諾
最初の画面の後は、 次の よ う な ソ フ ト ウ ェ ア ラ イ セ ン ス の承諾を求め る 画面が 2 つ表示 さ れます。 ど ち ら の画面で も
次を実行 し ます。
1.
チ ェ ッ ク ボ ッ ク ス をオンに し ます。
2.
[Next] を ク リ ッ ク し ます。
X-Ref Target - Figure 3-3
図 3‐3 : ソ フ ト ウ ェ ア ラ イ セ ン スの承諾
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
19
イ ン ス ト ール フ ロー
イ ン ス ト ールするザイ リ ン ク ス製品の選択
イ ン ス ト ールす る 製品を選択 し ます。
X-Ref Target - Figure 3-4
図 3‐4 : Vivado Design Suite のイ ン ス ト ール ‐ 製品の選択
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
20
イ ン ス ト ール フ ロー
イ ン ス ト ール オプ シ ョ ンの選択
イ ン ス ト ール プ ロ セ ス中には、 複数のオプシ ョ ンの イ ン ス ト ール手順が実行可能です。 こ れ ら のオプシ ョ ンは、 主な
イ ン ス ト ールが終了 し た後、 プ ロ セ ス の最後に イ ン ス ト ール さ れます。
次の図は、 イ ン ス ト ール オプシ ョ ン を選択する 画面です。
X-Ref Target - Figure 3-5
.
図 3‐5 : Vivado Design Suite のイ ン ス ト ール ‐ イ ン ス ト ール オプ シ ョ ンの選択
注記 : WebPACK™ の場合、WebTalk は常にオンにな り ます。Edition 製品を イ ン ス ト ール し た場合は WebTalk を イ ネー
ブルにす る オプシ ョ ン を オ フ にす る こ と がで き ますが、 デザ イ ンのプ ロ セ ス に WebPACK ラ イ セ ン ス が使用 さ れ る 場
合、 こ のプ リ フ ァ レ ン ス は無視 さ れ ます。 詳細については、 イ ン ス ト ー ラ ーで WebTalk を イ ネーブルにす る [Enable
WebTalk...] オプシ ョ ン を ク リ ッ ク し て、 下の説明をお読み く だ さ い。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
21
イ ン ス ト ール フ ロー
イ ン ス ト ール デ ィ レ ク ト リ の選択
[Select Destination Directory] 画面では、 ソ フ ト ウ ェ アの イ ン ス ト ール先 と プ ロ グ ラ ム フ ォ ルダーの リ ス ト に表示 さ れ
る 名前を入力 し ます。 指定 し た イ ン ス ト ール パ ス の下には、 階層が 1 レベル追加 さ れます。 こ のデ ィ レ ク ト リ 名は、
イ ン ス ト ールす る 製品に よ っ て異な り ます。
X-Ref Target - Figure 3-6
図 3‐6 : Vivado Design Suite のイ ン ス ト ール ‐ イ ン ス ト ール デ ィ レ ク ト リ の選択
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
22
イ ン ス ト ール フ ロー
イ ン ス ト ール オプ シ ョ ンのサマ リ
イ ン ス ト ールす る ツール、 製品、 オプシ ョ ン のサマ リ が表示 さ れ ます。 イ ン ス ト ールを開始す る 場合は、 [Install] を
ク リ ッ ク し ます。
X-Ref Target - Figure 3-7
図 3‐7 : サマ リ 画面
イ ン ス ト ール プ ロ セ ス の最後に Xilinx License Configuration Manager がデフ ォ ル ト で開き ます。 画面の指示に従っ て、
ラ イ セ ン ス フ ァ イ ルを取得ま たは指定 し ます。
注記 : EDK には、 Red Hat の Cygwin ツールが必要です。 こ の ツールは、 EDK を イ ン ス ト ールす る と コ ピー さ れます。
環境変数の設定
Microsoft Windows ク ラ イ ア ン ト
イ ン ス ト ールが終了す る と 、 環境変数バ ッ チ フ ァ イ ルが作成 さ れ ます。 デス ク ト ッ プやプ ロ グ ラ ム グループな ど の
シ ョ ー ト カ ッ ト を ク リ ッ ク す る と 、 アプ リ ケーシ ョ ンが起動 さ れ る 前に こ の フ ァ イ ルが呼び出 さ れます。
make ま たは script フ ァ イ ルで環境変数を設定す る には、 次の手順に従っ て く だ さ い。
<XILINX installation directory>\settings32.bat ま たは settings64.bat を追加 し ます。 イ ン ス ト ー
ル さ れた OS の ビ ッ ト 数に応 じ て、 32 か 64 を選んで く だ さ い。 イ ン ス ト ール さ れた OS のビ ッ ト 数に応 じ て、 32 か
64 を選んで く だ さ い。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
23
USB FLEXid ド ングル ド ラ イバのイ ン ス ト ール
Linux ク ラ イ ア ン ト
イ ン ス ト ールが終了す る と 、 環境変数フ ァ イ ルが作成 さ れます。
1.
XILINX イ ン ス ト ール デ ィ レ ク ト リ に移動 し ます。
2.
ザ イ リ ン ク ス イ ン ス ト ール デ ィ レ ク ト リ で、 シ ェ ルに よ っ て source settings32.(c)sh ま たは source
settings64.(c)sh と 入力 し ます。
環境変数を手動で設定す る か、 ユーザーのセ ッ ト ア ッ プ ス ク リ プ ト か ら 設定する 場合は、 上記の環境変数フ ァ イ ルの
設定を コ ピーす る こ と をお勧め し ます。 ザ イ リ ン ク ス環境変数設定は、 OS に よ っ て異な り ます。
USB FLEXid ド ングル ド ラ イバのイ ン ス ト ール
Windows OS 用の USB FLEXid ド ン グルを購入 し た場合は、 FLEXnet ラ イ セ ン ス を生成す る 前に最適な ド ラ イ バーを
イ ン ス ト ール し てお く 必要があ り ます。
注記 : ド ン グル ド ラ イ バーは Windows でのみ動作 し ます。
1.
ザ イ リ ン ク ス デザ イ ン ツールを まず イ ン ス ト ール し ます。 こ れには、 USB FLEXid ド ン グル ド ラ イ バーの イ ン
ス ト ー ラ ーが含ま れます。
2.
<Xilinx Installation Directory>\Vivado\2013.4\lds_lite\ISE\bin\nt か ら
FLEXId_Dongle_Driver_Installer.exe を実行 し ます。
3.
[Select Options] の画面で [FLEXid 9 Drivers] のみを選択 し ます。
X-Ref Target - Figure 3-8
図 3‐8 : オプ シ ョ ンの選択
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
24
ネ ッ ト ワー ク イ ン ス ト ール
4.
[Next] を 2 回 ク リ ッ ク す る と 、 ド ラ イ バーが イ ン ス ト ール さ れます。
イ ン ス ト ールが終了す る と 、 再起動す る か ど う かを尋ねる 画面が表示 さ れます。 ド ン グルが正 し く 機能す る には、 再
起動をす る 必要があ り ます。
ネ ッ ト ワー ク イ ン ス ト ール
ネ ッ ト ワー ク 上に ソ フ ト ウ ェ ア を イ ン ス ト ールす る と 、そのネ ッ ト ワ ー ク ド ラ イ ブの ソ フ ト ウ ェ アに複数の ク ラ イ ア
ン ト マシ ンか ら ア ク セ ス で き ます。 ネ ッ ト ワー ク 上の ソ フ ト ウ ェ ア を使用す る 場合、 環境変数、 レ ジ ス ト リ 、 プ ロ グ
ラ ム グループな どすべてにネ ッ ト ワ ー ク のデ ィ レ ク ト リ が指定 さ れてい る 必要が あ り ま す。 こ のセ ク シ ョ ン では、
ネ ッ ト ワー ク 設定の手順について説明 し ます。
Linux ク ラ イ ア ン ト
ソ フ ト ウ ェ アが イ ン ス ト ール さ れたデ ィ レ ク ト リ の settings32.(c)sh ま たは settings64.(c)sh (使用 し てい
る シ ェ ルに よ っ て異な る ) を source コ マ ン ド で実行 し ます。 こ れ ら のシ ェ ルを使用する と 、 ザ イ リ ン ク ス の環境変数、
パ ス、 LD_LIBRARY_PATH 変数に イ ン ス ト ール デ ィ レ ク ト リ が指定 さ れます。
ネ ッ ト ワー ク 上の イ ン ス ト ール デ ィ レ ク ト リ か ら デザ イ ン ツールを実行す る には、 X Windows Display Manager を起
動 し 、 DISPLAY 環境変数を設定す る 必要があ り ます。 DISPLAY はモニ タ の名前 と し て定義 し ます。 DISPLAY は通常
unix:0.0 です。 た と えば、 次の構文は bigben と い う ホ ス ト 上の ツールを実行 し 、 mynode と い う ク ラ イ ア ン ト マシ
ンのモニ タ ーにグ ラ フ ィ ッ ク を表示 し ます。
setenv DISPLAY mynode:0.0
xhost = bigbenPC Clients
Microsoft Windows ク ラ イ ア ン ト
1.
デザ イ ン ツールを PC ネ ッ ト ワ ー ク サーバーに イ ン ス ト ール し ます。 こ の際、 ユーザーがデザ イ ン ツールの イ
ン ス ト ール デ ィ レ ク ト リ の場所を知っ てい る こ と 、ま たユーザーにそのデ ィ レ ク ト リ へのア ク セ ス権があ る こ と
を確認 し て く だ さ い。
2.
ロ ーカル ク ラ イ ア ン ト マシ ンか ら 、 ネ ッ ト ワー ク 上の network_install_location\Vivado\<version>\bin デ ィ
レ ク ト リ にあ る shortcutSetup.bat フ ァ イ ルを実行 し ます。
3.
こ のプ ロ グ ラ ム フ ァ イ ルを実行す る と 、 リ モー ト ロ ケーシ ョ ンか ら ザ イ リ ン ク ス ツールを実行す る のに便利な
プ ロ グ ラ ム グループやデス ク ト ッ プ シ ョ ー ト カ ッ ト が設定 さ れます。
4.
ロ ーカル マシ ンか ら Vivado Design Suite ツールを起動する には、[ス タ ー ト ] → [プ ロ グ ラ ム] か ら 該当す る ツール
を選択す る か、 デス ク ト ッ プのシ ョ ー ト カ ッ ト を ダブル ク リ ッ ク し ます。
割 り 当てたネ ッ ト ワー ク ド ラ イ ブへのイ ン ス ト ール
ザ イ リ ン ク ス デザ イ ン ツールは、 ルー ト デ ィ レ ク ト リ の下の フ ォ ルダ (C: \Xilinx) に イ ン ス ト ール さ れ る よ う に設定
さ れてい ます。 ロ ーカル ド ラ イ ブに イ ン ス ト ールす る 場合は、 通常 イ ン ス ト ー ラ ーで こ のデ ィ レ ク ト リ にな っ てい ま
す。 ネ ッ ト ワ ー ク ド ラ イ ブに イ ン ス ト ールす る 場合には、 こ のデ ィ レ ク ト リ を定義 し ておかない と 、 ド ラ イ ブのルー
ト デ ィ レ ク ト リ に イ ン ス ト ール さ れ る よ う に指定 さ れて し ま い ます。
こ の問題を回避す る には、 ネ ッ ト ワ ー ク デ ィ レ ク ト リ の下に イ ン ス ト ール デ ィ レ ク ト リ \Xilinx を作成 し ま す (例 :
N:\Xilinx)。
Windows 7 のデフ ォ ル ト のセキ ュ リ テ ィ レベルの ま ま では、 管理者 と し て割 り 当て たネ ッ ト ワ ー ク ド ラ イ ブ を選択
す る こ と がで き ません。ザ イ リ ン ク ス デザ イ ン ツールを割 り 当てたネ ッ ト ワ ー ク ド ラ イ ブに イ ン ス ト ールす る には、
次の手順でア カ ウ ン ト 制御設定を変更す る 必要があ り ます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
25
ア ッ プデー ト の取得
1.
Windows の [ス タ ー ト ] メ ニ ュ ーか ら コ ン ト ロ ール パネルを開 き 、 [ユーザー ア カ ウ ン ト ] を ク リ ッ ク し ます。 コ
ン ト ロ ール パネルがカ テ ゴ リ 表示にな っ てい る 場合は、 [ユーザー ア カ ウ ン ト ] を 2 画面続けて ク リ ッ ク す る 必
要があ り ます。
2.
[ユーザー ア カ ウ ン ト 制御設定の変更] を ク リ ッ ク し 、 変更で き る よ う に し ます。
3.
ス ラ イ ダーの位置を次の図の よ う に下か ら 2 つ目に移動 し ます。 [OK] を ク リ ッ ク し ます。
X-Ref Target - Figure 3-9
図 3‐9 : ユーザー ア カ ウン ト 制御設定
イ ン ス ト ール後は、 同様の方法でユーザー ア カ ウ ン ト の設定を設定前の状態に戻 し てお く こ と をお勧め し ます。
注記 : ザ イ リ ン ク ス イ ン ス ト ー ラ ーを使用 し てネ ッ ト ワ ー ク ド ラ イ ブ を指定す る こ と はで き ません。 こ の場合、割 り
当て ら れたネ ッ ト ワー ク ド ラ イ ブ を含む イ ン ス ト ール パ ス を手動で入力す る 必要があ り ます。
ア ッ プデー ト の取得
ザ イ リ ン ク ス では、 四半期ベース で Vivado Design Suite ツールのア ッ プデー ト バージ ョ ン を リ リ ースす る 予定です。
ア ッ プデー ト には、 デバ イ ス サポー ト のア ッ プデー ト 、 新機能、 バグ修正な ど が含まれます。 こ のセ ク シ ョ ン では、
XilinxNotify やダ ウ ン ロ ー ド セ ン タ ーか ら ソ フ ト ウ ェ ア ア ッ プデー ト を入手する 方法について説明 し ます。
XilinxNotify
XilinxNotify は最新のア ッ プデー ト を取得する のに推奨 さ れ る ツールで、 次の よ う な機能があ り ます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
26
Vivado Design Suite ツールのア ン イ ン ス ト ール
•
ザ イ リ ン ク ス サポー ト ウ ェ ブサ イ ト に リ リ ース さ れ る 最新のデザ イ ン ツール ア ッ プデー ト と イ ン ス ト ール し
てい る ツールのバージ ョ ン を比較 し 、 新 し いバージ ョ ンが見つかっ た場合は通知 し ます。
•
[Download] ボ タ ン を ク リ ッ ク す る と 、 ブ ラ ウ ザが起動 さ れ、 ザ イ リ ン ク ス ダ ウ ン ロ ー ド セ ン タ ーに ロ グ イ ンす
る 画面が表示 さ れます。 ロ グ イ ン し た ら 、 選択 し た製品のダ ウ ン ロ ー ド が開始 さ れます。
•
XilinxNotify は、 Vivado ツールを起動す る と 自動的にチ ェ ッ ク を実行 し ます。
注 記 : Vivado IDE で [Edit] → [Preferences] → [XilinxNotify] を ク リ ッ ク し て 表 示 さ れ る ペ ー ジ で は、 Project
Navigator の起動時にア ッ プデー ト がチ ェ ッ ク さ れ る 頻度を設定で き ます。
ダウン ロー ド セ ン タ ー
ソ フ ト ウ ェ ア ア ッ プデー ト は、 ザ イ リ ン ク ス ダ ウ ン ロ ー ド セ ン タ ー [参照 10] に定期的にア ッ プ ロ ー ド さ れます。
Vivado Design Suite ツールのア ン イ ン ス ト ール
ア ン イ ン ス ト ールす る 場合、 ザ イ リ ン ク ス イ ン ス ト ール デ ィ レ ク ト リ か ら プ ロ ジ ェ ク ト フ ァ イ ルを移動 し ておかな
い と 、 削除 さ れて し ま い ます。
注記 : Xilinx Documentation Navigator は、 ア ン イ ン ス ト ール中に削除 さ れません。 こ れは、 複数バージ ョ ンのザ イ リ ン
ク ス ツールで共通の ス タ ン ド ア ロ ン アプ リ ケーシ ョ ン です。 必要でなければ、 別途ア ン イ ン ス ト ールす る 必要があ
り ます。
Microsoft Windows
ザ イ リ ン ク ス製品を ア ン イ ン ス ト ールす る には、 [ス タ ー ト ] メ ニ ュ ーか ら その製品の [Uninstall] メ ニ ュ ーを ク リ ッ ク
し ます。 た と えば、 Vivado WebPACK デザ イ ン ツールや Vivado Design Suite を ア ン イ ン ス ト ールす る には、 [ス タ ー ト
] → [すべてのプ ロ グ ラ ム] → [Xilinx Design Tools] → [Vivado 2013.4] → [Accessories] → [Uninstall] を ク リ ッ ク し ます。
Linux Vivado Design Suite ツール製品を ア ン イ ン ス ト ールす る には、 [Applications] → [Xilinx Design Tools] → [Vivado 2013.4]
[Accessories] → [Uninstall] を ク リ ッ ク し ます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
27
第 4章
WebTalk
WebTalk 機能を使用す る と 、 ザ イ リ ン ク ス FPGA デバ イ ス、 ソ フ ト ウ ェ アお よ び IP の使用に関す る 統計をザ イ リ ン ク
ス に送信で き ます。 WebTalk で集め ら れた情報に よ り 、 お客様に と っ て最 も 重要な機能を改善 し 、 現在お よ び今後の
必要性に合わせ た 製品 を 提供 し て い く た め の参考 と さ せ て い た だ き ま す。 WebTalk を オ ン にす る と 、 お客様の
Vivado™ Design Suite の使用に関す る 情報がザ イ リ ン ク スへ提供 さ れます。
WebTalk への参加
次の場合を除いて Webtalk への参加は任意 と し ます。
•
WebPack™ ラ イ セ ン ス を使用 し てい る 。
•
プ レ リ リ ース の ソ フ ト ウ ェ ア ま たはデバ イ ス を使用 し てい る 。
こ の よ う な場合、 プ リ フ ァ レ ン ス設定に関わ ら ず、 WebTalk に よ る デー タ 収集お よ び送信は常に実行 さ れます。 それ
以外の場合は、 WebTalk をオ フ にす る と デー タ は収集、 送信 さ れません。
次の表は、 ザ イ リ ン ク ス ラ イ セ ン ス、 WebTalk イ ン ス ト ール プ リ フ ァ レ ン ス、 お よ びユーザーのプ リ フ ァ レ ン ス設
定に基づいて、 ザ イ リ ン ク スへ配線後のデザ イ ンか ら のデー タ が ど の よ う に送信 さ れ る かについて ま と めてい ます。
表 4‐1 : ビ ッ ト ス ト リ ーム生成または配線デザイ ン フ ローでの WebTalk の動作
WebTalk のイ ン ス
WebTalk のユー WebTalk によ るザイ
ト ール プ リ フ ァ レ ザー プ リ フ ァ レ ン リ ン ク スへのデー タ
ン スがオン
スがオン
送信
アー リ ー ア ク セス デバイ ス
ラ イセンス
○
該当な し
該当な し
該当な し
○
×
WebPACK™
該当な し
該当な し
○
×
Edition ラ イ セ ン ス
×
該当な し
×
×
Edition ラ イ セ ン ス
○
×
×
×
Edition ラ イ セ ン ス
○
○
○
注記 : デバ イ ス が WebPack の場合、 ツールでは まず最初に WebPack ラ イ セ ン ス が検索 さ れます。
WebTalk イ ン ス ト ールのプ リ フ ァ レ ン ス設定
WebTalk は、 次の よ う に、 イ ン ス ト ール中ま たは イ ン ス ト ール後にグ ロ ーバルにオン/オ フ にで き ます。 イ ン ス ト ール
中は、[Enable WebTalk to send software, IP and device usage statistics to Xilinx (Always enabled for WebPACK license)] チ ェ ッ
ク ボ ッ ク ス で WebTalk イ ン ス ト ール オプシ ョ ン を オン/オ フ にで き ます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
28
WebTalk ユーザー プ リ フ ァ レ ン スの設定
X-Ref Target - Figure 4-1
図 4‐1 : WebTalk のイ ン ス ト ール オプ シ ョ ン
WebTalk イ ン ス ト ール オプシ ョ ン を オン ま たはオ フ にす る には、 Tcl コ マ ン ド config_webtalk を使用 し ます。
config_webtalk -install on|off
on : WebTalk をオンに し ます。
off : WebTalk を オ フ に し ます。
イ ン ス ト ール設定は、 次のデ ィ レ ク ト リ に保存 さ れます。
•
Windows 7 :<install dir>/vivado/data/webtalk/webtalksettings
•
Linux :<install dir>/vivado/data/webtalk/webtalksettings
注記 : イ ン ス ト ール デ ィ レ ク ト リ に書 き 込むには、 管理者権限が必要です。
WebTalk ユーザー プ リ フ ァ レ ン スの設定
WebTalk ユーザー オプシ ョ ンは、[Tools] → [Options] → [General] を ク リ ッ ク す る と 表示 さ れ る 次の画面でオン/オ フ に
で き ます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
29
WebTalk ユーザー プ リ フ ァ レ ン スの設定
X-Ref Target - Figure 4-2
図 4‐2 : WebTalk ユーザー プ リ フ ァ レ ン ス
イ ン ス ト ール後には、 Tcl コ マ ン ド config_webtalk を使用す る と WebTalk をオン ま たはオ フ にで き ます。
config_webtalk -user on|off
on : 現在のユーザーの WebTalk を オンに し ます。
off : 現在のユーザーの WebTalk を オ フ に し ます。
ユーザー設定は、 次のデ ィ レ ク ト リ に保存 さ れます。
•
Windows 7 :
%APPDATA%\Xilinx\Common\<version>\webtalk
説明 :
%APPDATA% は次の と お り です。
C:\Users\<user>\AppData\Roaming
•
Linux :
%APPDATA%/.Xilinx/Common/<version>/webtalk
説明 :
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
30
WebTalk イ ン ス ト ールお よびユーザー プ リ フ ァ レ ン スの確認
%APPDATA% は次の と お り です。
/home/<user>
WebTalk イ ン ス ト ールおよびユーザー プ リ フ ァ レ ン
スの確認
Tcl コ マ ン ド config_webtalk を使用 し て、 WebTalk の設定を確認する こ と も 可能です。 コ マ ン ド ラ イ ン オプシ ョ
ン -info を使用す る と 、 イ ン ス ト ール設定お よ びユーザー設定の値が レ ポー ト さ れます。
config_webtalk -info
収集 さ れるデー タ の種類
WebTalk では、 デザ イ ン ネ ッ ト リ ス ト や所有権情報な どは収集 さ れません。 WebTalk を使用 し てザ イ リ ン ク ス が収集
す る デー タ は、 次の と お り です。
•
ツールのバージ ョ ン
•
プ ラ ッ ト フ ォーム情報 (OS、 プ ロ セ ッ サの速度お よ び数、 メ イ ン メ モ リ な ど)
•
プ ロ ジ ェ ク ト ID
•
承認番号
•
生成日
•
タ ーゲ ッ ト デバ イ スお よ びフ ァ ミ リ 情報
収集 さ れ る デー タ の種類の詳細は、 ザ イ リ ン ク ス ウ ェ ブサ イ ト の Xilinx Design Tools WebTalk [参照 11] を参照 し て く
だ さ い。 収集 さ れたデー タ か ら 特定の も のを確認す る には、 プ ロ ジ ェ ク ト デ ィ レ ク ト リ の
usage_statistics_webtalk.xml フ ァ イ ルを開いて く だ さ い。 usage_statistics_webtalk.xml フ ァ イ ル
では、 ザ イ リ ン ク ス に送信 さ れたデー タ も 簡単に確認で き ます。
デー タ の送信
WebTalk は、 ビ ッ ト ス ト リ ーム生成ま たはデザ イ ン配線後に起動 さ れます。 WebTalk はビ ッ ト ス ト リ ーム後ま たは配
線デザ イ ンの コ ンパ イ ル後に起動 さ れます。収集 さ れたデー タ は usage_statistics_webtalk.xml フ ァ イ ルに ま
と め ら れ、 HTTPS (Hypertext Transfer Protocol Secure) ポ ス ト を介 し てザ イ リ ン ク ス に送信 さ れ ま す。 デザ イ ン を コ ン
パ イ ルす る たびに、 前の usage_statistics_webtalk.xml フ ァ イ ルの内容が上書 き さ れます。 ま た、 WebTalk で
は同等の HTML フ ァ イ ル usage_statistics_webtalk.html が書 き 出 さ れ る ので、 ザ イ リ ン ク ス に転送 さ れたデー タ を確認
す る と き に便利です。 vivado.log (ま たは runme.log) フ ァ イ ルが記述 さ れ、 ザ イ リ ン ク スへの フ ァ イ ル送信が正
し く 完了 し たか ど う かの情報 も 確認で き ます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
31
第 5章
ラ イ セ ン スの取得および管理 ザ イ リ ン ク ス の製品 ラ イ セ ン ス (Product Licensing) サ イ ト か ら は、ザ イ リ ン ク ス ソ フ ト ウ ェ アお よ び IP 製品のダ ウ ン
ロ ー ド 、ラ イ セ ン ス、評価な ど のオン ラ イ ン サービ ス を利用で き ます。こ の章では、製品 ラ イ セ ン ス サ イ ト の FLEXnet
ラ イ セ ン ス生成機能ついて説明 し てい ます。
製品ラ イ セ ン ス サイ ト へのア ク セス
製品 ラ イ セ ン ス (Product Licensing) サ イ ト には、 生成す る ラ イ セ ン ス の種類に よ っ て さ ま ざ ま な方法でア ク セ ス で き
ます。
•
製品を購入 し た場合は、 注文確認の電子 メ ールに含まれ る リ ン ク を ク リ ッ ク し ます。 こ の リ ン ク を ク リ ッ ク す
る と 、 購入 し た製品の権利情報を含むア カ ウ ン ト に直接ア ク セ ス で き ます。
•
Vivado™ Design Suite 製品を評価す る には、
http://japan.xilinx.com/products/design_tools/vivado/vivado/vivado-webpack.htm にア ク セ ス し て く だ さ い。
•
IP 製品を評価す る 場合は、 http://japan.xilinx.com/ipcenter の該当す る IP 製品ページの [評価] リ ン ク を ク リ ッ ク し
ます。
X-Ref Target - Figure 5-1
図 5‐1 : ザイ リ ン ク ス ラ イ セ ン ス サイ ン イ ン ページ
まず、 ザ イ リ ン ク ス のサ イ ト にサ イ ン イ ンす る 必要があ り ます。 既にザ イ リ ン ク ス ユーザー ア カ ウ ン ト をお持ちの
場合は、 ユーザー ID と パ ス ワ ー ド を入力 し て、 登録情報が正 し いか ど う か を確認 し ます。 ア カ ウ ン ト を ま だ作成 し
ていない場合は、 [Create Account] ボ タ ン を ク リ ッ ク し 、 ア カ ウ ン ト を作成 し て く だ さ い。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
32
ザイ リ ン ク ス ユーザー ア カ ウン ト 情報の変更
ザイ リ ン ク ス ユーザー ア カ ウン ト 情報の変更
ザ イ リ ン ク ス ユーザー ア カ ウ ン ト の社名、 住所、 電子 メ ールな ど の情報は、 常に最新の状態に し て く だ さ い。
会社 メ ール ア ド レ スの変更
1.
http://japan.xilinx.com にア ク セ ス し 、 [サ イ ン イ ン] を ク リ ッ ク し ます。
X-Ref Target - Figure 5-2
図 5‐2 : ザイ リ ン ク ス ウ ェ ブサイ ト
2.
サ イ ン イ ン し ます。
X-Ref Target - Figure 5-3
図 5‐3 : サイ ン イ ン
3.
会社 メ ール ア ド レ ス の [プ ロ フ ィ ールを更新] リ ン ク を ク リ ッ ク し 、 [個人情報] を展開表示 し ます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
33
ザイ リ ン ク ス ユーザー ア カ ウン ト 情報の変更
X-Ref Target - Figure 5-4
図 5‐4 : 会社 メ ール ア ド レ スの変更
4.
新 し い法人電子 メ ール ア ド レ ス を [新 し い会社 E メ ール ア ド レ ス] に入力 し ます。
5.
[プ ロ フ ィ ールに保存] ボ タ ン を押 し て変更を保存 し ます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
34
製品ラ イ セ ン スのア カ ウン ト
製品ラ イ セ ン スのア カ ウン ト
デザ イ ン ツールま たは IP 製品をザ イ リ ン ク ス か ら 購入す る と 、 ラ イ セ ン ス を購入 し た こ と にな り 、 その製品のア ッ
プデー ト を使用す る 権利が 1 年間あ り ます。 ザ イ リ ン ク ス デザ イ ン ツールお よ び IP 製品を使用す る ラ イ セ ン ス は、
その ラ イ セ ン ス の状況に よ っ て異な り ます。 ラ イ セ ン ス は、 次の要件で決ま り ます。
•
購入 し た製品
•
購入 し た ラ イ セ ン ス数
•
ラ イ セ ン ス タ イ プ (フ ロ ーテ ィ ン グ ま たは ノ ー ド ロ ッ ク )
•
製品有効期間 (製品ア ッ プデー ト は 1 年間入手可能)
こ のサ イ ト か ら は、 購入 し たデザ イ ン ツールお よ び IP の製品権利だけでな く 、 無償の製品や評価版 製品な ど の ラ イ
セ ン ス に も ア ク セ ス で き ます。 フル ラ イ セ ン スお よ び無償 ラ イ セ ン ス の場合は、 1 年間の有効期限があ り ます。 デザ
イ ン ツールの評価版は 30 日、 IP の評価版は 120 日間の有効期限があ り ます。
ラ イ セ ン ス を有効にす る と 、 Web サ イ ト で ラ イ セ ン ス フ ァ イ ルが生成 さ れ ます。 ラ イ セ ン ス を有効にす る と 、 ウ ェ
ブサ イ ト で ラ イ セ ン ス フ ァ イ ルが生成 さ れ ます。 ラ イ セ ン ス フ ァ イ ルを イ ン ス ト ールす る と 、 購入ま たは評価中の
ソ フ ト ウ ェ アお よ び IP の使用が有効にな り ます。 ラ イ セ ン スや ラ イ セ ン ス フ ァ イ ルはザ イ リ ン ク ス ウ ェ ブサ イ ト で
管理 し ます。
ラ イ セ ン ス ア カ ウ ン ト は、 ザ イ リ ン ク ス ソ フ ト ウ ェ ア購入 リ ス ト に掲載 さ れてい る 各ユーザーに与え ら れ、 エ ン ド
ユーザーま たは管理者のいずれか と し て登録 さ れます。管理者が 1 人の場合は同 じ ラ イ セ ン ス ア カ ウ ン ト ですべての
購入を管理で き ま す。 企業の場合は、 異な る 管理者に よ っ て複数のア カ ウ ン ト を持つ こ と がで き る ので、 デザ イ ン
チームが複数存在 し 、 別々のプ ロ ジ ェ ク ト を し てい る 場合や予算が異な る 場合な ど に便利です。
注記 : ラ イ セ ン ス フ ァ イ ルは、 製品権利の期限が切れていて も 生成で き 、 有効期限 ま でに リ リ ー ス さ れてい る バー
ジ ョ ンに対 し ては使用で き ます。 ただ し 、 ラ イ セ ン ス の有効期限後に リ リ ース さ れた製品に対 し て、 こ の ラ イ セ ン ス
を使用す る と エ ラ ーにな り ます。
ザイ リ ン ク ス デザイ ン ツールでの LogiCORE IP ラ イ セ ン スの生成
LogiCORE™ IP お よ び購入済みデザ イ ン ツール ラ イ セ ン ス はすべて、 製品 ラ イ セ ン ス サ イ ト に ロ グ イ ンす る と リ ス
ト さ れます。 評価用 ラ イ セ ン スお よ び無償 IP の ラ イ セ ン ス も 同 じ サ イ ト か ら 入手で き ます。 すべてのデザ イ ン ツー
ルお よ び IP の ラ イ セ ン ス が 1 度で生成で き 、 1 つの ラ イ セ ン ス フ ァ イ ルが メ ールで送信 さ れます。 ま た、 IP コ アの
FLEXnet ラ イ セ ン ス には、 シ ン グル ま たは ト リ プル リ ダ ン ダ ン ト フ ロ ーテ ィ ン グ サーバーな ど の ラ イ セ ン ス オプ
シ ョ ンがあ り 、 イ ーサネ ッ ト MAC ア ド レ ス、 ハー ド ド ラ イ ブ シ リ アル番号、 USB ド ン グル ID な ど の ノ ー ド ロ ッ ク
ラ イ セ ン ス フ ァ イ ルのホ ス ト オプシ ョ ン も 選択で き ます。
ユーザー タ イ プ と ア ク シ ョ ン
製品 ラ イ セ ン ス サ イ ト には、 カ ス タ マ ア カ ウ ン ト 管理者、 エン ド ユーザー、 評価ユーザーの 3 つのユーザー タ イ プ
のいずれかでア ク セ ス で き ます。
カ ス タ マ ア カ ウン ト 管理者
各ア カ ウ ン ト に、 必ず 1 人のカ ス タ マー ア カ ウ ン ト 管理者が必要です。 カ ス タ マー ア カ ウ ン ト 管理者は、 複数のア
カ ウ ン ト を管理で き ます。
カ ス タ マー ア カ ウ ン ト 管理者には、 次の よ う な権限があ り ます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
35
ラ イ セ ン ス キー フ ァ イルの作成
•
ザ イ リ ン ク ス デザ イ ン ツールお よ び IP 製品の ノ ー ド ロ ッ ク ま たはフ ロ ーテ ィ ン グ ラ イ セ ン ス の生成
•
ア カ ウ ン ト へのユーザーの追加 と 削除
•
ほかのユーザーへの管理者権限の割 り 当て
カ ス タ マー ア カ ウ ン ト 管理者の情報は、製品注文の過程で送付先 と し て指定 さ れ、 管理者の電子 メ ール宛に購入 し た
製品のダ ウ ン ロ ー ド お よ び ラ イ セ ン ス情報な ど が送付 さ れます。カ ス タ マー ア カ ウ ン ト 管理者が電子 メ ールの リ ン ク
を ク リ ッ ク す る こ と で、 購入 し た製品へのア ク セ ス がで き る よ う にな り ます。
エ ン ド ユーザー
エン ド ユーザーを ア カ ウ ン ト に追加す る と 、 ラ イ セ ン ス フ ァ イ ルの管理や生成を各エン ジニアやデザ イ ン チーム メ
ンバーな ど が管理で き る よ う にな り ます。 エン ド ユーザーは、 ア カ ウ ン ト 内で ノ ー ド ロ ッ ク 付 き ラ イ セ ン ス フ ァ イ
ルを生成で き る ほか、 評価版の入手、 デザ イ ン ツールや IP 製品の無料 ラ イ セ ン ス フ ァ イ ル も 生成で き ます。 カ ス タ
マー ア カ ウ ン ト 管理者は、 エン ド ユーザーがフ ロ ーテ ィ ン グ ラ イ セ ン ス を生成で き る よ う に、 エン ド ユーザーのア
カ ウ ン ト を設定で き ます。 ただ し 、 エン ド ユーザーには、 次の よ う な制限があ り ます。
•
デフ ォ ル ト の設定の ま ま ではフ ロ ーテ ィ ン グ ラ イ セ ン ス フ ァ イ ルを生成で き ません。 こ の権限は、 カ ス タ マ ア
カ ウ ン ト 管理者が設定で き ます。
•
ほかのユーザーが生成 し た ラ イ セ ン ス フ ァ イ ルは表示 さ れません。
•
ほかのユーザーをサ イ ト か ら 追加ま たは削除で き ません。
評価ユーザー
評価ユーザーは、 次を実行で き ます。
•
ISE お よ び Vivado System Edition の 30 日間無償の評価版 ラ イ セ ン ス フ ァ イ ルを生成で き ます。
•
評価版お よ び無償の IP 製品の ラ イ セ ン ス フ ァ イ ルを生成で き ます。
•
ISE お よ び Vivado 両方の WebPACK 機能を使用可能にする WebPACK™ ツール ラ イ セ ン ス を生成で き ます。
注記 : ザ イ リ ン ク ス デザ イ ン ツール製品エデ ィ シ ョ ンの フル バージ ョ ンの ラ イ セ ン ス を既に持っ てい る 場合は、 そ
れ以外のザ イ リ ン ク ス デザ イ ン ツール製品エデ ィ シ ョ ン ま たは IP を試用で き ます。 こ れ ら の ラ イ セ ン ス は同 じ ア カ
ウ ン ト で入手で き る よ う にな っ てい ます。
ど の タ イ プのユーザーで も 、 製品の電子ダ ウ ン ロ ー ド 、 ザ イ リ ン ク ス デザ イ ン ツールの DVD の請求がで き ます。
ラ イ セ ン ス キー フ ァ イルの作成
ラ イ セ ン ス フ ァ イ ルは、 製品 ラ イ セ ン ス (Product Licensing) サ イ ト の [Create New Licenses] タ ブか ら 生成 し ます。 購
入済みま たは評価す る デザ イ ン ツールお よ び IP 製品が表に リ ス ト さ れます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
36
ラ イ セ ン ス キー フ ァ イルの作成
X-Ref Target - Figure 5-5
図 5‐5 : 新規ラ イ セ ン スの作成
製品の選択
購入済みま たは評価す る 製品の ラ イ セ ン ス を、 次の手順で生成 し ます。
1.
[Account] ド ロ ッ プダ ウ ン リ ス ト か ら ア カ ウ ン ト を選択 し ます。
注記 : 評価版ま たは無償の製品を使用す る 場合は、 こ の ド ロ ッ プダ ウ ン リ ス ト は表示 さ れません。
2.
キ ッ ト と 一緒に購入 し た ソ フ ト ウ ェ ア ま たは IP 製品 ラ イ セ ン ス のバ ウ チ ャ ー コ ー ド があ る 場合は、 それを入力
し ます (オプシ ョ ン)。
3.
表か ら 評価版ま たは無料の IP 製品を選択 し ます (オプシ ョ ン)。
4.
表か ら 製品を選択 し ます。
ラ イ セ ン ス の種類は、 Full (購入済み)、 No Charge (無償)、 Evaluation (評価) のいずれかにな り ます。 フル ラ イ セ ン ス
お よ び無償 ラ イ セ ン ス の場合は、 1 年間の有効期限があ り ます。 デザ イ ン ツールの評価版は 30 日、 IP の評価版は 120
日間の有効期限があ り ます。
フ ロ ーテ ィ ン グ ラ イ セ ン ス と ノ ー ド ロ ッ ク ラ イ セ ン ス を同 じ ラ イ セ ン ス フ ァ イ ルに混ぜ る こ と はで き ません。
注記 : フ ロ ーテ ィ ン グ ラ イ セ ン ス はネ ッ ト ワー ク サーバーにのみ置 く こ と がで き 、アプ リ ケーシ ョ ン を起動す る と ラ
イ セ ン ス がチ ェ ッ ク ア ウ ト さ れます。 同時に使用で き る ユーザーの数は、 購入 し た ラ イ セ ン ス の数に よ っ て異な り ま
す。 ノ ー ド ロ ッ ク ラ イ セ ン ス の場合は、 特定のマシ ンに 1 ラ イ セ ン ス の使用が認め ら れます。
デザ イ ン ツールの場合、 表の [Available Seats] に購入 し た ラ イ セ ン ス の総数が表示 さ れます。 IP の場合、 ラ イ セ ン ス
はサ イ ト の契約に従っ て管理 さ れます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
37
ラ イ セ ン ス キー フ ァ イルの作成
表の [Status] に 「Current」 と 表示 さ れてい る 場合は、 その製品が保証期間内にあ る こ と を示 し 、 「Expired」 と 表示 さ
れてい る 場合は、 その保証期間が終了 し た こ と を示 し てい ま す。 [Available Seats] の数が ま だあ る 場合は、 「Current」
ま たは 「Expired」 の製品の ラ イ セ ン ス を生成で き ます。
Xilinx Design Tools: System Edition は Xilinx Design Tools ツール セ ッ ト すべての機能にア ク セ ス で き る 評価版です。 こ
の ラ イ セ ン ス は自動的にア カ ウ ン ト に含まれます。
デザ イ ン ツールお よ び IP 製品 ラ イ セ ン ス の製品バ ウ チ ャ ーは、 ザ イ リ ン ク ス ま たはパー ト ナーの開発ボー ド ま たは
デザ イ ン キ ッ ト と 共に送信 さ れます。製品バ ウ チ ャ ー カー ド を所持 し てい る 場合は、カー ド に記述 さ れたバ ウ チ ャ ー
コ ー ド を テ キ ス ト フ ィ ール ド に入力 し 、 [Redeem Now] ボ タ ン を ク リ ッ ク し ます。 こ れで、 該当する デザ イ ン ツール
ま たは IP 製品が表に追加 さ れ、 ラ イ セ ン ス キーが生成で き る よ う にな り ます。
ページ内の [Add Evaluation and No Charge IP Cores] セ ク シ ョ ンで [Search Now] ボ タ ン を ク リ ッ ク す る と 、 次の よ う な
ページが表示 さ れ、 評価版お よ び無償の IP を検索 し て製品 リ ス ト に追加で き ます。
X-Ref Target - Figure 5-6
図 5‐6 : IP 製品の選択
注記 : IP 製品は、 通常サ イ ト ラ イ セ ン ス と し て販売 さ れてお り 、 管理者が フ ロ ーテ ィ ン グ ラ イ セ ン スお よ び ノ ー ド
ロ ッ ク ラ イ セ ン ス タ イ プの ラ イ セ ン ス フ ァ イ ルを生成で き る よ う にな っ てい ます。 エン ド ユーザーの場合は、 ノ ー
ド ロ ッ ク ラ イ セ ン ス製品 し か表示 さ れません。 カ ス タ マー ア カ ウ ン ト 管理者、 ま たはフ ロ ーテ ィ ン グ ラ イ セ ン ス の
生成を管理者か ら 許可 さ れたエン ド ユーザーの場合は、 ノ ー ド ロ ッ ク と フ ロ ーテ ィ ン グの両方が表示 さ れます。
ラ イ セ ン スの生成
生成す る ラ イ セ ン ス の種類に よ っ て、 [Generate Node-Locked License] ま たは [Generate Floating License] ボ タ ン が表示
さ れます。 [Generate Floating License] を ク リ ッ ク する と 、 次の よ う な ラ イ セ ン ス生成フ ォームが表示 さ れます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
38
ラ イ セ ン ス キー フ ァ イルの作成
X-Ref Target - Figure 5-7
図 5‐7 : フ ローテ ィ ング ラ イ セ ン スの生成
フ ロ ーテ ィ ン グ ラ イ セ ン ス を生成す る には、 次の手順に従い ます。
1.
各製品の ラ イ セ ン ス数を選択 し ます。
[Available Seats] の数は、 シ ス テ ムに よ り 自動的に管理 さ れます。 シー ト 数が ラ イ セ ン ス の数に達 し ていない場合
は、 [Requested Seats] フ ィ ール ド が表示 さ れ ま す。 有効化 さ れた ラ イ セ ン ス数がシー ト 数に達す る と 、 表か ら そ
の製品が削除 さ れます。
2.
シ ス テ ム情報を入力 し ます。
ザ イ リ ン ク ス ラ イ セ ン ス コ ン フ ィ ギ ュ レ ー シ ョ ン マ ネ ー ジ ャ ー (XLCM) 内の リ ン ク か ら 製品 ラ イ セ ン ス
(Product Licensing) サ イ ト にア ク セ ス し た場合、 オプシ ョ ン メ ニ ュ ーにシ ス テ ム情報があ ら か じ め入力 さ れてい
ます。 Windows の場合、 [プ ロ グ ラ ム] → [Xilinx ISE Design Suite 14.5] → [Accessories] → [Manage Xilinx Licenses]
か ら XLCM を起動で き ます。 Linux の場合、 シ ェ ルか ら xlcm と 入力 し て く だ さ い。
[Redundant Server] を [Yes] に し てお く と 、 ラ イ セ ン ス マネージ ャ ー ソ フ ト ウ ェ アの フ ァ イ ル オーバー機能がオ
ンにな る ので、 3 つのサーバーの う ち 2 つが実行 さ れてい る 限 り 、 ラ イ セ ン ス マネージ ャ は続行 し て実行 さ れま
す。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
39
ラ イ セ ン ス キー フ ァ イルの作成
シ ス テ ム情報が入力 さ れていない場合は [Select a host]、 別のホ ス ト を追加す る 場合は [Add a host] を ク リ ッ ク し 、
ホ ス ト を追加 し ます。
X-Ref Target - Figure 5-8
図 5‐8 : ホス ト の追加
ホ ス ト ID と は、 ソ フ ト ウ ェ ア ま たは IP の ラ イ セ ン ス が与え ら れたマシ ン を識別す る 値で、 MAC ア ド レ ス、 ハー
ド ド ラ イ ブのシ リ アル番号、 ド ン グル ID、 Solaris ホ ス ト ID な ど を選択で き ます。
注記 : ホ ス ト ID の タ イ プすべてが全 OS でサポー ト さ れてい る わけではあ り ません。ホ ス ト ID を取得す る には、
ラ イ セ ン ス ホ ス ト と な る マシ ンで XLCM を実行す る のが一番簡単な方法です。
3.
コ メ ン ト を追加 し ます。
コ メ ン ト を追加す る と 、 管理者がデザ イ ン ツールや IP の ラ イ セ ン ス を ユーザー間で ど の よ う に分けたかな ど の
記録を残す こ と がで き ます。
4.
[Next] を ク リ ッ ク し ます。
次の よ う な ラ イ セ ン ス リ ク エ ス ト を確認する フ ォームが表示 さ れます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
40
ラ イ セ ン ス キー フ ァ イルの作成
X-Ref Target - Figure 5-9
図 5‐9 : ラ イ セ ン ス リ ク エス ト の確認
5.
内容を確認 し ます。
6.
入力後、 [Next] を ク リ ッ ク し ます。
エ ン ド ユーザー ラ イ セ ン ス契約
ザ イ リ ン ク ス デザ イ ン ツール と 無償 IP のエン ド ユーザー ラ イ セ ン ス契約 (EULA) は、 製品の イ ン ス ト ール プ ロ セ
ス中に許諾 さ れます。 IP 製品の ラ イ セ ン ス を取得す る には、 ラ イ セ ン ス フ ァ イ ルを生成する 前に該当する IP 製品の
EULA を許諾す る 必要があ り ます。
サー ド パーテ ィ のラ イ セ ン ス
サー ド パーテ ィ ラ イ セ ン ス の コ ピーは、
<install_directory>/common/licenses/unified_3rd_party_eula.txt に含まれます。
ラ イ セ ン ス生成の確認
ラ イ セ ン ス生成プ ロ セ ス が終了 し た ら 、 次の よ う な確認 メ ッ セージが表示 さ れます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
41
ラ イ セ ン ス キー フ ァ イルの管理
X-Ref Target - Figure 5-10
図 5‐10 : ラ イ セ ン ス生成の確認
ラ イ セ ン ス を生成す る と 、 確認 メ ール も 送信 さ れます。 こ の メ ッ セージには、 生成 し た ラ イ セ ン ス フ ァ イ ルが添付 さ
れます。 ア ド レ ス ブ ッ ク に [email protected] を信頼する 送信者 と し て追加 し ておいて く だ さ い。
電子 メ ールで ラ イ セ ン ス が受け取れなか っ た場合は、 ザ イ リ ン ク ス ラ イ セ ン ス サ イ ト か ら 直接ダ ウ ン ロ ー ド し て く
だ さ い。 詳細は、 「 ラ イ セ ン ス キー フ ァ イ ルの管理」 を参照 し て く だ さ い。
ラ イ セ ン ス キー フ ァ イルの管理
製品 ラ イ セ ン ス のサ イ ト では、 生成 し た ラ イ セ ン ス フ ァ イ ルの記録が残 り ます。 [Manage Licenses] タ ブには、 そのア
カ ウ ン ト で生成 し た ラ イ セ ン ス キー フ ァ イ ルすべてが表示 さ れます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
42
ラ イ セ ン ス キー フ ァ イルの管理
X-Ref Target - Figure 5-11
図 5‐11 : ラ イ セ ン スの管理
[Manage Licenses] タ ブか ら は、 必要に応 じ て次を実行で き ます。
既存ラ イ セ ン ス フ ァ イルの検索 と 抽出
ユーザーのア カ ウ ン ト か ら 生成 し た ラ イ セ ン ス キー フ ァ イ ルに関す る 情報は、 マ ス タ ー ビ ュ ー と 詳細ビ ュ ーに表示
さ れます。 上部の表 (マ ス タ ー ビ ュ ー ) で行を ク リ ッ ク す る と 、 その ラ イ セ ン ス の詳細情報が下部の表 (詳細ビ ュ ー )
に表示 さ れます。 詳細ビ ュ ーの表には、 次の情報が含まれます。
•
そのキー フ ァ イ ルで有効にな っ た製品の リ ス ト
•
そのキー フ ァ イ ルに関連す る コ メ ン ト
こ の表か ら は、 次が実行で き ます。
•
ダ ウ ン ロ ー ド : ラ イ セ ン ス フ ァ イ ルが電子 メ ールで届かなかっ た場合は、ラ イ セ ン ス フ ァ イ ルを こ こ か ら ダ ウ ン
ロ ー ド し て く だ さ い。
•
電子 メ ール : ラ イ セ ン ス フ ァ イ ルがご本人ま たは別のユーザーに送信 さ れます。
•
表示 : 実際の ラ イ セ ン ス フ ァ イ ルを表示で き ます。
•
削除 : ラ イ セ ン ス フ ァ イ ルを削除で き ます。 フ ァ イ ルを削除する と 、 [Create New License] タ ブに表示 さ れ る よ う
にな り 、 別のホ ス ト ID 用に ラ イ セ ン ス を再生成可能にな り ます。
•
許諾 し たエン ド ユーザー ラ イ セ ン ス契約を表示 (IP のみ)
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
43
ラ イ セ ン ス キー フ ァ イルの管理
ラ イ セ ン ス キー フ ァ イルの修正
既存の ラ イ セ ン ス フ ァ イ ルを修正す る には、 マ ス タ ー ビ ュ ーでその ラ イ セ ン ス キー フ ァ イ ルを選択 し ます。 こ こ で
は、 次を修正で き ます。
ラ イ セ ン ス フ ァ イル全体の削除およびア カ ウ ン ト への権限の返却
1.
[Manage Licenses] タ ブ (図 5-11) で削除する ラ イ セ ン ス を選択 し ます。
2.
GUI の左下のゴ ミ 箱ア イ コ ン を ク リ ッ ク し ます。
3.
[Accept] ボ タ ン を ク リ ッ ク し 、 廃棄宣誓書 (Affidavit of Destruction) を受諾 し ます。
注記 : こ れに よ り 、 ラ イ セ ン ス キー フ ァ イ ル全体か ら すべての ラ イ セ ン ス シー ト が削除 さ れ、 ユーザー ア カ ウ ン ト
に権限が戻 さ れます。
ラ イ セ ン ス サーバー ホス ト の変更
1.
[Manage Licenses] タ ブ (図 5-11) でホ ス ト を変更す る ラ イ セ ン ス を選択 し ます。
2.
[Modify License] ボ タ ン を ク リ ッ ク し ます。 [Modify License] 画面が表示 さ れます。
3.
セ ク シ ョ ン 2 の System Information を確認 し ます。
4.
ド ロ ッ プダ ウ ン リ ス ト お よ びテ キ ス ト ボ ッ ク ス を それぞれ使用 し 、 ホ ス ト ID ま たはホ ス ト 名を変更ま たは追加
し ます。
5.
[Next] ボ タ ン を 2 回押 し 、 [Accept] ボ タ ン を押 し て廃棄宣誓書を受諾 し ます。
既存のラ イ セ ン スのシー ト 数を変更または削除 (フ ローテ ィ ング ラ イ セ ン スのみ)
1.
[Manage Licenses] タ ブ (図 5-11) でシー ト を追加す る ラ イ セ ン ス フ ァ イ ルを選択 し ます。
2.
[Modify License] ボ タ ン を ク リ ッ ク し ます。 [Modify License] 画面が表示 さ れます。
3.
セ ク シ ョ ン 1 の Product Selection を確認 し ます。
4.
フ ロ ーテ ィ ン グ ラ イ セ ン ス の場合、 [Requested Seats] フ ィ ール ド を変更 し て権限内の最大シー ト 数ま でシー ト を
追加で き ます。
5.
[Next] を 2 回 ク リ ッ ク し ます。 シー ト を追加する のに廃棄宣誓書の受諾は必要あ り ません。
既存の製品ラ イ セ ン ス シー ト 数の無効化や削除
1.
[Manage Licenses] タ ブ (図 5-11) でシー ト を削除す る ラ イ セ ン ス フ ァ イ ルを選択 し ます。
2.
[Modify License] ボ タ ン を ク リ ッ ク し ます。 [Modify License] 画面が表示 さ れます。
3.
セ ク シ ョ ン 1 の Product Selection を確認 し ます。
4.
フ ロ ーテ ィ ン グ ラ イ セ ン ス の場合、 [Requested Seats] フ ィ ール ド を変更 し て、 こ の ラ イ セ ン ス フ ァ イ ルで権利の
あ る シー ト 数を削減で き ます。
5.
[Next] ボ タ ン を 2 回押 し 、 [Accept] ボ タ ン を押 し て廃棄宣誓書を受諾 し ます。
別の製品のラ イ セ ン ス を追加
1.
[Manage Licenses] タ ブ (図 5-11) で機能/権限を追加する ラ イ セ ン ス フ ァ イ ルを選択 し ます。
2.
[Modify License] ボ タ ン を ク リ ッ ク し ます。 [Modify License] 画面が表示 さ れます。
3.
セ ク シ ョ ン 1 の Product Selection を確認 し ます。
4.
ラ イ セ ン ス フ ァ イ ルに追加す る 新 し い権限ののチ ェ ッ ク ボ ッ ク ス を オンに し ます。
5.
[Next] を 2 回 ク リ ッ ク し ます。 機能を追加する のに廃棄宣誓書の受諾は必要あ り ません。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
44
古い ラ イ セ ン ス
ラ イ セ ン ス キー フ ァ イルから 製品ラ イ セ ン スの無効や削除
1.
[Manage Licenses] タ ブ (図 5-11) で機能/権限を追加する ラ イ セ ン ス フ ァ イ ルを選択 し ます。
2.
[Modify License] ボ タ ン を ク リ ッ ク し ます。 [Modify License] 画面が表示 さ れます。
3.
セ ク シ ョ ン 1 の Product Selection を確認 し ます。
4.
ラ イ セ ン ス フ ァ イ ルか ら 削除す る 権限ののチ ェ ッ ク ボ ッ ク ス を オンに し ます。
5.
[Next] ボ タ ン を 2 回押 し 、 [Accept] ボ タ ン を押 し て廃棄宣誓書を受諾 し ます。
変更中にホ ス ト の変更数を超えた こ と を示す メ ッ セージが表示 さ れ る 場合は、 [email protected] ま で電子 メ ール (英語)
で追加のホ ス ト 変更 (rehost) オプシ ョ ン を請求し て く だ さ い。
無効にな っ た製品ラ イ セ ン スの有効化
製品 ラ イ セ ン ス は、 次のいずれかが実行 さ れ る と 無効にな り ます。
•
ラ イ セ ン ス サーバー ホ ス ト の変更
•
既存の製品 ラ イ セ ン ス シー ト 数の無効化や削除
•
ラ イ セ ン ス フ ァ イ ルか ら 製品 ラ イ セ ン ス の無効や削除
無効に さ れた シー ト ま たは製品 ラ イ セ ン ス は [Create Licenses] タ ブで有効にする と 、再び発行で き る よ う にな り ます。
ラ イ セ ン ス を再発行す る 前に、 まず廃棄宣誓書を許諾 し ます。 こ の法的契約は、 無効にな っ た製品 ラ イ セ ン ス が使用
さ れていない こ と を確認す る ために必要です。
再発行の数は、 ユーザーご と に記録 さ れます。製品 ラ イ セ ン ス は メ ジ ャ ー リ リ ース ご と に管理者は 5 回、 エン ド ユー
ザーは 3 回再発行で き ます。
古い ラ イ セ ン ス
リ リ ー ス 10.1 以前のバージ ョ ン の ラ イ セ ン ス が必要な場合は、 [Legacy Licensing] タ ブ を ク リ ッ ク し ま す。
X-Ref Target - Figure 5-12
図 5‐12 : 古い ラ イ セ ン ス 各バージ ョ ン で次の手順 を 実行 し ま す。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
45
ツールお よび IP の購入情報
10.1 以前のバージ ョ ン
1.
バージ ョ ン を選択 し ます。 連絡先情報を確認す る 画面が表示 さ れます。
2.
必要な情報を記述 し 、 登録 ID を取得 し ます。 登録 ID は画面に表示 さ れ る ほか、 記録用に電子 メ ールに も 送信 さ
れます。
3.
ザ イ リ ン ク ス ダ ウ ン ロ ー ド セ ン タ ーで左側の [Version] 列の下の [Archive] リ ン
ク を ク リ ッ ク し ます。
4.
ダ ウ ン ロ ー ド 中に登録 ID を入力す る 画面が表示 さ れた ら 入力 し て、 ダ ウ ン ロ ー
ド を終了 し ます。
ツ ールお よ び IP の購入情報
[Order] タ ブには、 ア カ ウ ン ト の購入情報です。
X-Ref Target - Figure 5-13
図 5‐13 : 購入情報
•
ザ イ リ ン ク ス の注文番号が画面左側に表示 さ れます。
•
特定のオーダーを ク リ ッ ク す る と 、 右側にその詳細が表示 さ れます。
•
1 度に選択で き る のは、 1 つのみです。
•
電子 メ ールま たはダ ウ ン ロ ー ド で製品を取得 し た場合で も 、 配達住所情報が表示 さ れます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
46
ユーザー ア ク セスの管理
ユーザー ア ク セスの管理
各ユーザーのア カ ウ ン ト の権限は、 変更可能です。 ア カ ウ ン ト へのユーザーの追加や削除は、 [Manage Users] タ ブか
ら 実行 し ます。
X-Ref Target - Figure 5-14
図 5‐14 : ユーザーの管理
ユーザーの追加
ア カ ウ ン ト にユーザーを追加す る には
•
新 し いユーザーの会社の電子 メ ール ア ド レ ス を入力 し ます。
•
管理者権限を与え る 場合は、 [Add as a full administrator] チ ェ ッ ク ボ ッ ク ス を オンに し ます。 フ ロ ーテ ィ ン グ ラ イ
セ ン ス を生成す る 権限を与え、 管理者権限を与えない場合は、 [Allow Floating Licenses] チ ェ ッ ク ボ ッ ク ス を オン
に し ます。
注記 : 入力す る 電子 メ ール ア ド レ ス は、そのユーザーがザ イ リ ン ク ス ア カ ウ ン ト を作成 し た と き に使用 し た ア ド レ ス
と 同 じ であ る 必要があ り ます。 別のア ド レ ス を使用する と 、 ロ グ イ ン し た と き にそのユーザーが正 し く 認識 さ れない
可能性があ り ます。
ユーザーが既に製品 ラ イ セ ン ス サ イ ト にア ク セ ス し た こ と のあ る 場合、 名前が自動的にユーザー リ ス ト に表示 さ れ
ます。 こ のサ イ ト にア ク セ ス し た こ と がない場合は、 名前の と こ ろに [Not Yet Registered] と 表示 さ れます。 ユーザー
がサ イ ン イ ン をす る と 、 名前が表示 さ れます。
ア カ ウ ン ト 管理者が管理者権限のないエ ン ド ユーザーに ラ イ セ ン ス フ ァ イ ルを管理で き る よ う にす る こ と も で き ま
す。 管理者権限のないエン ド ユーザー ([Add as full administrator] と [Allow Floating Licenses] チ ェ ッ ク ボ ッ ク ス の両方
を オ フ に し た場合) は、 次の機能が使用で き ます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
47
ラ イ セ ン ス キー フ ァ イルのイ ン ス ト ール
•
ノ ー ド ロ ッ ク ラ イ セ ン ス のみ生成可能
•
自分自身で生成 し た ラ イ セ ン ス フ ァ イ ルのみ表示お よ び修正可能
•
ユーザー管理は不可
[Allow Floating Licenses] のみを オンに し た場合は、 フ ロ ーテ ィ ン グ ラ イ セ ン ス フ ァ イ ルの生成はで き ますが、 その他
の制限はその ま ま です。管理者権限にはフ ロ ーテ ィ ン グ ラ イ セ ン ス の生成が既に含まれ る ため、両方のチ ェ ッ ク ボ ッ
ク ス を オンにす る こ と はで き ません。
ユーザーの削除
管理者権限ま たはフ ロ ーテ ィ ン グ ラ イ セ ン ス生成権限は、該当する ユーザーの [Adminstrator] ま たは [Floating] チ ェ ッ
ク ボ ッ ク ス をオ フ にす る と 、 無効にで き ます。
ユーザーを ア カ ウ ン ト か ら 削除す る には、 該当す る ユーザーのゴ ミ 箱ア イ コ ン を ク リ ッ ク し ます。
ラ イ セ ン ス キー フ ァ イルのイ ン ス ト ール
次のセ ク シ ョ ンでは、 ラ イ セ ン ス を イ ン ス ト ールす る 方法について タ イ プ別に説明 し ます。
ノ ー ド ロ ッ ク ラ イ セ ン スのイ ン ス ト ール
ラ イ セ ン ス フ ァ イ ルを生成す る と 、 [email protected] か ら メ ールが届 き ます。
1.
こ の メ ールに添付 さ れた ラ イ セ ン ス フ ァ イ ルを ロ ーカルの一時デ ィ レ ク ト リ に保存 し ます。
2.
Xilinx License Configuration Manager を実行 し ます。
°
Windows の場合 :[ス タ ー ト ] → [すべてのプ ロ グ ラ ム] → [Xilinx Design Tools 14.4] → [Accessories] →
[Accessories] → [Manage Xilinx Licenses] を ク リ ッ ク
°
Linux の場合 : コ マ ン ド ラ イ ン シ ェ ルで xlcm と 入力
3.
[Manage Xilinx Licenses] タ ブ上部の [Copy License] ボ タ ン を ク リ ッ ク し ます。
4.
保存 し た ラ イ セ ン ス フ ァ イ ル (Xilinx.lic) を参照ボ タ ンで選択 し 、 [開 く ] を ク リ ッ ク し ます。
5.
こ れで、 ラ イ セ ン ス フ ァ イ ルが C:\.Xilinx (Windows) ま たは <Home>/.Xilinx に コ ピー さ れ、 ザ イ リ ン ク
ス ツールか ら 自動的に認識 さ れ る よ う にな り ます。
6.
[Copy License] ボ タ ン を使用す る と 、 [Manage Xilinx Licenses] タ ブの表が ラ イ セ ン ス フ ァ イ ルか ら の情報に従っ
てア ッ プデー ト さ れます。
7.
Xilinx License Configuration Manager を [Close] で終了 し ます。
サーバーへのフ ローテ ィ ング ラ イ セ ン スのイ ン ス ト ール
既存の FLEXnet ラ イ セ ン ス サーバーの場合は、[email protected] か ら 送信 さ れた ラ イ セ ン ス フ ァ イ ル
の内容を FLEXnet サーバーの既存の ラ イ セ ン ス フ ァ イ ルに コ ピー し ます。
注記 : フ ロ ーテ ィ ン グ ラ イ セ ン ス サーバーを再起動 し て、 ザ イ リ ン ク ス ラ イ セ ン ス を有効に し ます。
新規ラ イ セ ン ス サーバーの場合
1.
ザ イ リ ン ク ス ダ ウ ン ロ ー ド セ ン タ ー (http://japan.xilinx.com/download/index.htm) か ら サーバーの OS に最適なザ イ
リ ン ク ス FLEXnet ラ イ セ ン ス ユーテ ィ リ テ ィ を ダ ウ ン ロ ー ド し ます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
48
ラ イ セ ン ス キー フ ァ イルのイ ン ス ト ール
2.
こ れ ら のユーテ ィ リ テ ィ をデ ィ レ ク ト リ で解凍 し ます。 こ のデ ィ レ ク ト リ は、 アプ リ ケーシ ョ ンの検索パ ス に置
く こ と をお勧め し ます。
3.
FLEXnet ユーテ ィ リ テ ィ を イ ン ス ト ール し た ら 、次の コ マ ン ド を実行 し て、フ ロ ーテ ィ ン グ ラ イ セ ン ス サーバー
を起動 し ます。
°
°
Linux
-
<Server Tool directory>/bin/lin/lmgrd -c <path_to_license>/Xilinx.lic -l <path_to_license>/log1.log
-
<Server Tool directory> bin/lin64/lmgrd -c <path_to_license>/Xilinx.lic -l <path_to_license>/log1.log
Windows
-
< Server Tool directory>\bin\nt\lmgrd -c <path_to_license>\Xilinx.lic –l <path_to_license>\log1.log
-
< Server Tool directory>\bin\nt64\lmgrd -c <path_to_license>\Xilinx.lic –l <path_to_license>\log1.log
ク ラ イ ア ン ト マ シ ンから の フ ローテ ィ ン グ ラ イ セ ン スの指定
1.
Xilinx License Configuration Manager (XLCM) を実行 し ます。
2.
[Manage Xilinx Licenses] タ ブ を ク リ ッ ク し ます。
3.
port@server の形式で ラ イ セ ン ス サーバーへのネ ッ ト ワー ク パ ス を XILINXD_LICENSE_FILE フ ィ ール ド に入力
し 、 [Set] ボ タ ン を ク リ ッ ク し ます。 デフ ォ ル ト のザ イ リ ン ク ス ポー ト 番号は 2100 です。
4.
Linux の場合、ラ イ セ ン ス環境変数は Xilinx License Configuration Manager (XLCM) を使用 し て設定で き ません。環
境変数フ ィ ール ド は、 読み出 し 専用なので、 選択で き ない よ う に淡色表示 さ れ [Set] ボ タ ン も 表示 さ れません。 環
境変数は、 該当す る シ ェ ルお よ び コ マ ン ド を使用 し て設定す る 必要があ り ます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
49
第 6章
以前のバージ ョ ンの リ リ ース ノ ー ト
Vivado 2013.3
新機能
Vivado® Design Suite 2013.3 では、 プ ラ グ ア ン ド プ レ イ IP を使用 し て生産性を さ ら に高め る こ と が可能です。IP ポー
ト 、 GUI、 お よ び資料がすべて よ り 一貫 し た も のにな っ てい ます。 シ ス テ ム レベルでの統合を向上する ため、 IP イ ン
テ グ レー タ ーお よ びサー ド パーテ ィ シ ミ ュ レー タ のサポー ト が改善 さ れてい ます。 ま た、 階層デザ イ ン フ ロ ーお よ
び自動 IP ア ッ プデー ト も 向上 し てお り 、 デザ イ ン プ ロ セ ス を よ り 短時間で実行で き ます。
デバイ ス サポー ト
次のデバ イ ス をプ ロ ダ ク シ ョ ンでサポー ト
•
Artix®-7
°
•
XC7A75T
Zynq®-7000
°
SBG485 パ ッ ケージの XC7Z030
Zynq-7000 の追加デバ イ ス サポー ト :
•
XC7Z015 デバ イ ス を こ の リ リ ース でサポー ト
Vivado System Edition 製品
Vivado 高位合成
•
FFT お よ び FIR 関数の新 し い C ラ イ ブ ラ リ に よ り 、 シ ス テ ム統合を向上 し 、 ク ラ ス最高の イ ンプ リ メ ン テーシ ョ
ン を提供
°
高パフ ォーマ ン ス のザ イ リ ン ク ス IP に確実に イ ンプ リ メ ン ト さ れ る C 関数を使用 し て、 シ ス テ ム を短時間
で開発
•
sin、 cos、 お よ び sqrt 関数の新 し い固定小数点 イ ンプ リ メ ン テーシ ョ ン を含め る こ と に よ り 、 C 数学 ラ イ ブ
ラ リ のサポー ト を向上
•
Vivado HLS IP を使用 し た よ り 高速で簡単なシ ス テ ム統合
•
°
Vivado デザ イ ン チ ェ ッ ク ポ イ ン ト の フ ォーマ ッ ト (.dcp) でパ ッ ケージ IP をサポー ト
°
System Generator for DSP の IP で AXI4 イ ン タ ーフ ェ イ ス を完全にサポー ト
°
パ ッ ケージ IP に生成 さ れた ソ フ ト ウ ェ ア ド ラ イ バーが含まれ る よ う にな り 、 よ り 簡単に ソ フ ト ウ ェ ア を統
合可能
使いやす さ を向上 し 、 AXI4-Steam イ ン タ ーフ ェ イ ス を 1 つの最適化指示子で合成お よ びシ ミ ュ レーシ ョ ン可能
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
50
Vivado 2013.3
•
Windows 64 ビ ッ ト OS を完全サポー ト し 、 処理能力を向上
•
合成を向上 : パ イ プ ラ イ ン AXI4 マ ス タ ー イ ン タ ーフ ェ イ ス のパフ ォーマ ン ス を向上、 高度な ROM の自動複製
に よ り レ イ テ ン シ を削減
System Generator for DSP
•
DDS お よ び複素乗算器ブ ロ ッ ク を使用す る デザ イ ンのシ ミ ュ レーシ ョ ン速度を向上
•
System Generator for DSP ブ ロ ッ ク のザ イ リ ン ク ス シ ス テ ムへの統合を高速化お よ び簡略化
°
AXI4-Lite イ ン タ ーフ ェ イ ス が完全にサポー ト さ れ、 System Generator for DSP で自動生成可能、 シ ン グル ク
ロ ッ ク お よ びデ ュ アル ク ロ ッ ク をサポー ト
°
AXI4-Lite のア ド レ ス オ フ セ ッ ト を自動生成する か、 明示的に定義可能
°
AXI4-Lite イ ン タ ーフ ェ イ ス に対 し て ソ フ ト ウ ェ ア ド ラ イ バー フ ァ イ ルを自動生成
°
AXI4 イ ン タ ーフ ェ イ ス を使用する Vivado HLS IP を直接 System Generator for DSP に組み込む こ と が可能
°
Vivado デザ イ ン チ ェ ッ ク ポ イ ン ト の フ ォーマ ッ ト (.dcp) を出力フ ォーマ ッ ト と し てサポー ト
°
イ ン タ ーフ ェ イ ス の資料でゲー ト ウ ェ イ 入力お よ び出力 イ ン タ ーフ ェ イ ス をすべてサポー ト
•
MATLAB® API フ レーム ワー ク に よ り コ ンパ イ ル タ ーゲ ッ ト のカ ス タ マ イ ズ を よ り 簡単に実行可能
•
現在の階層内で信号を表示で き る 機能を追加 し 、 波形ビ ュ ーアーの設定を シ ミ ュ レーシ ョ ン間で保持で き る よ う
にす る こ と に よ り 、 検証お よ びデバ ッ グ を よ り 簡単に実行可能
•
使いやす さ の向上 : コ ー ド 生成でユーザー定義 VHDL ラ イ ブ ラ リ をサポー ト 、 最上位 ク ロ ッ ク イ ネーブル ロ
ジ ッ ク の リ セ ッ ト を ユーザーが制御可能
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
•
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンが Vivado Design Suite 内でプ ロ ダ ク シ ョ ン ス テー タ ス で使用で き る よ う
にな り ま し た。 こ のバージ ョ ンでは、特定の 7 シ リ ーズ デバ イ ス での非プ ロ ジ ェ ク ト Tcl ベース フ ロ ーがサポー
ト さ れます。
°
デバ イ ス サポー ト : Kintex®-7、 Virtex®-7 T お よ び XT (7V2000T お よ び 7VX1140T を含む)、 Zynq® 7Z045 お
よ び 7Z030
-
°
PR 検証、 リ コ ン フ ィ ギ ュ レーシ ョ ン後の リ セ ッ ト 、 ビ ッ ト ス ト リ ームの圧縮お よ び暗号化、 ブ ラ ッ ク ボ ッ
ク ス ビ ッ ト ス ト リ ーム な ど、ほ と ん ど の標準 イ ンプ リ メ ン テーシ ョ ン機能お よ びビ ッ ト ス ト リ ーム機能は使
用可能
-
°
•
SSI デバ イ ス では、パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン領域が 1 つの SLR に制限 さ れてい る こ と が必
要
フ レーム ご と の CRC チ ェ ッ ク は使用不可
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン フ ロ ーは、 ISE® Design Suite と 同 じ ラ イ セ ン ス コ ー ド で有効化
詳細は、 『Vivado Design Suite ユーザー ガ イ ド : パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン』 (UG909) [参照 2] お よ び
『Vivado Design Suite チ ュ ー ト リ アル : パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン』 (UG947) [参照 3] を参照 し て く だ
さ い。
階層デザイ ン : モ ジ ュ ール再利用フ ロー
•
•
モジ ュ ール解析フ ロ ーにモジ ュ ール再利用フ ロ ーを プ ロ ダ ク シ ョ ン ス テー タ ス で追加
°
最上位か ら デザ イ ンのモジ ュ ールを OOC (Out-of-Context) で イ ンプ リ メ ン ト し 、 配置配線結果を再利用
°
チーム デザ イ ン ま たは並列 イ ンプ リ メ ン テーシ ョ ンでデザ イ ン制約を設定 し やす く する ため、 サンプル デ
ザ イ ン を ス ク リ プ ト と 共に提供
詳細は、『Vivado Design Suite ユーザー ガ イ ド : 階層デザ イ ン』 (UG905) [参照 4] お よ び『Vivado Design Suite チ ュ ー
ト リ アル : 階層デザ イ ン』 (UG946) [参照 5] を参照 し て く だ さ い。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
51
Vivado 2013.3
Vivado Design Edition ツール
デザイ ン ルール チ ェ ッ ク (DRC)
•
最適な HDL コ ーデ ィ ン グ ス タ イ ル、 XDC タ イ ミ ン グ制約お よ び物理制約のための リ ン テ ィ ン グ ルール と テ ン
プ レー ト を提供 し 、 UltraFast™ 設計手法の一部を自動化
°
methodology_checks お よ び timing_checks と い う 2 つのルール デ ッ ク で新 し い設計手法ルールを提供
°
新 し いルール チ ェ ッ ク の一部 と し て、 エ ラ ボ レー ト 済みデザ イ ンに使用す る RTL チ ェ ッ ク 、 合成ま たは イ
ンプ リ メ ン テーシ ョ ン後に使用す る タ イ ミ ン グ チ ェ ッ ク を提供
°
詳細は、 http://japan.xilinx.com/ultrafast を参照 し て く だ さ い。
言語テ ン プ レー ト •
HDL テ ンプ レー ト を使用す る こ と に よ り 最適な合成結果を生成可能
•
XDC テ ンプ レー ト を使用す る こ と に よ り 正確な制約を作成可能
統合設計環境
•
デバ イ ス プ ロ パテ ィ の コ ン フ ィ ギ ュ レーシ ョ ン ダ イ ア ロ グ ボ ッ ク ス
°
ビ ッ ト ス ト リ ームの生成で使用す る デバ イ ス プ ロ パテ ィ の設定、 表示、 お よ び編集を簡単に実行で き る ダ イ
ア ロ グ ボ ッ ク ス を追加
消費電力
•
•
ベ ク タ ーな し 消費電力予測用に、 デザ イ ン全体に消費電力制約を入力す る 方法を簡略化
°
[Report Power] ダ イ ア ロ グ ボ ッ ク ス で、 主な入力、 ブ ラ ッ ク ボ ッ ク ス出力のデザ イ ン全体でのデフ ォ ル ト ト
グル レー ト を指定
°
ブ ロ ッ ク RAM、 出力、 BiDi の イ ネーブル レー ト を指定
Vivado か ら 階層デザ イ ン情報を XPE にエ ク ス ポー ト
°
Vivado か ら エ ク ス ポー ト し たデー タ を使用 し て、 XPE (2013.3 以降) で よ り 詳細な what-if 解析を実行可能
°
XPE の [Logic]、 [BRAM]、 お よ び [DSP] タ ブに消費電力情報を階層表示
Vivado メ ッ セージのオブ ジ ェ ク ト のク ロ ス プ ローブ
•
Vivado メ ッ セージのデザ イ ン オブジ ェ ク ト か ら [Schematic] ビ ュ ー、 [Device] ビ ュ ー、 HDL、 お よ び階層ブ ラ ウ
ザーに よ り 効率的に ク ロ ス プ ロ ーブ
•
制約の処理、 デザ イ ン の最適化、 物理デザ イ ン の最適化、 お よ び配線の メ ッ セージか ら の ク ロ ス プ ロ ーブ を サ
ポー ト 。 今後の リ リ ース で メ ッ セージが追加 さ れ る 予定です。
デザイ ン ルール チ ェ ッ ク
DRC 違反に基づいてデザ イ ン オブジ ェ ク ト (セル、 ネ ッ ト 、 ポー ト 、 お よ びピ ン) を特定す る 機能を追加
Vivado IDE
•
•
制約編集画面で無効な タ イ ミ ン グ制約を検出 し 、 レ ポー ト す る 機能を追加
°
テ キ ス ト エデ ィ タ ー
°
テ キ ス ト フ ァ イ ルの比較機能を追加。 2 つの フ ァ イ ルを選択 し て、 違いを表示で き ます。
°
冒頭お よ び末尾の スペース の削除、 タ ブを スペース に変換、 スペース を タ ブに変換な ど の機能をサポー ト
[Sources] ビ ュ ーの [Hierarchy] タ ブ を よ り 高速に更新。 大型のデザ イ ンで実行時間を短縮す る ため、 更新をデ ィ ス
エーブルにす る 機能を追加。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
52
Vivado 2013.3
•
複数オブジ ェ ク ト のプ ロ パテ ィ の編集機能に よ り 、 選択 し た Vivado IDE オブジ ェ ク ト のすべてのプ ロ パテ ィ を
同時に表示お よ び編集可能
Vivado IP フ ロー
•
ボ ト ム ア ッ プ合成がデフ ォ ル ト フ ロ ー と な り ま し た。 次の IP を除 き 、 すべての IP に対 し てデフ ォ ル ト で合成済
みデザ イ ン チ ェ ッ ク ポ イ ン ト (.dcp) が作成 さ れます。
°
MIG 7 Series、IBERT、ILA、VIO、PCI32、PCI64、Image Stabilization、Object Segmentation、AXI BFM、Zynq BFM
•
デザ イ ン run の イ ン フ ラ ス ト ラ ク チ ャ を利用
•
AXI4 イ ン タ ーフ ェ イ ス を使用す る 新規カ ス タ ム IP の作成をサポー ト す る よ う Vivado IP パ ッ ケージ ャ ーを向上
し 、 メ ニ ュ ー オプシ ョ ンの名前を [Create and Package IP] に変更
•
1 言語シ ミ ュ レー タ 用に IP シ ミ ュ レーシ ョ ン モデルを提供す る フ ロ ー自動化を追加
°
新 し いプ ロ ジ ェ ク ト プ ロ パテ ィ [Simulator Language] に よ り 、 各 IP に対 し て正 し い言語モデルを提供
•
get_files コ マ ン ド に フ ァ イ ルを コ ンパ イ ル順に表示する -compile_order –used_in オプシ ョ ン を追加。 こ れは、 サー
ド パーテ ィ フ ロ ー用に ス ク リ プ ト を作成する 場合や、リ ビ ジ ョ ン制御シ ス テ ムにチ ェ ッ ク イ ンす る フ ァ イ ルを確
認す る 場合に有益です。
•
Vivado シ ミ ュ レー タ お よ び ModelSim/QuestaSim の統合シ ミ ュ レーシ ョ ン フ ロ ーをサポー ト
•
Synopsys 社 VCS-MX お よ び Cadence 社 IES 用に完全なシ ミ ュ レーシ ョ ン ス ク リ プ ト を生成す る Tcl コ マ ン ド
Vivado IP イ ン テグ レー タ ー
•
IP イ ン テ グ レー タ ーで次を含む 50 個以上の IP を新規サポー ト
°
接続 IP
-
CPRI™ お よ び JESD204
-
GMII to RGMII
-
Virtex-7 PCIe (Gen2 お よ び Gen3)
-
RXAUI お よ び XAUI
-
Ten Gigabit Ethernet MAC お よ び PCS PMA
-
SelectIO Wizard
•
ブ ロ ッ ク デザ イ ン全体を OOC (Out-Of-Context) モジ ュ ール と し て設定 し 、デザ イ ンの繰 り 返 し 実行で変更のない
ブ ロ ッ ク の合成時間を短縮
•
ユーザー IP を ダ イ ア グ ラ ムに追加 し た後に再パ ッ ケージ化する こ と が可能。 プ ロ ジ ェ ク ト で使用 さ れてい る IP
のすべての イ ン ス タ ン ス が、 変更を反映 し て ア ッ プデー ト さ れます。
•
リ モー ト ソ ース のサポー ト を追加。 一時プ ロ ジ ェ ク ト を作成 し て、 リ モー ト の場所に初期 BD を作成す る 必要が
あ り ます。
•
IP イ ン テ グ レー タ ーで read_bd を使用す る 非プ ロ ジ ェ ク ト フ ロ ーをサポー ト
•
AXI ス レーブ、 ブ ロ ッ ク RAM コ ン ト ロ ー ラ ー、 Zynq ボー ド プ リ セ ッ ト お よ び AXI イ ーサネ ッ ト に関す る 設計
ア シ ス ト を追加
•
IP イ ン テ グ レー タ ーで 32 ~ 64 ビ ッ ト のア ド レ ス幅をサポー ト 。 こ れは、 IP イ ン テ グ レー タ ーでマルチポー ト
メ モ リ コ ン ト ロ ー ラ ーを設計す る 場合に有益です。
•
Ctrl + F キーを押す こ と に よ り 、 IP イ ン テ グ レー タ ー キ ャ ンバ ス上の IP ま たはオブジ ェ ク ト を検索可能
•
複数のオブジ ェ ク ト を同時に接続す る [Make Connection] オプシ ョ ン を追加
•
AXI4 イ ン タ ーフ ェ イ ス タ イ プに基づいて、 ダ イ ア グ ラ ムの AXI4 イ ン タ ーフ ェ イ ス の色を カ ス タ マ イ ズ可能。
デフ ォ ル ト では、 すべての イ ン タ ーフ ェ イ ス が同 じ 色で表示 さ れます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
53
Vivado 2013.3
Vivado IP カ タ ログ
•
ほ と ん ど の Vivado IP に対 し てサンプル デザ イ ンお よ びシ ミ ュ レーシ ョ ン テ ス ト ベンチを提供
•
GT ベース の IP を大幅に変更
次の変更は、 Vivado 2013.3 の GT ベース の IP すべてに適用 さ れ ます。 次の変更に よ り 、 GT ベース の IP が よ り
使いやす く 、 わか り やすい も のにな っ てい ま す。 初期の導入は、 2013.3 よ り 後のバージ ョ ン の IP に ス ムーズに
ア ッ プグ レー ド 可能です。
°
°
°
2013.3 のバージ ョ ンの IP にア ッ プグ レー ド す る と 、 GT ベース の IP の階層が大幅に変更 さ れます。 IP コ ア
の最上位には、 暗号化 さ れた コ ア と GT への接続が含まれます。 以前のバージ ョ ンの IP には暗号化 さ れた コ
ア が含 ま れ、 GT への接続はサ ン プル デザ イ ン の レ ベルで設定 さ れてい ま し た。 GT ベー ス の IP を新 し い
バージ ョ ンにア ッ プグ レー ド す る 際は、 ユーザー デザ イ ンに変更が必要です。
GT ベース の IP にオプシ ョ ンで IP コ ア レベル内ま たは外に共有 ロ ジ ッ ク を含む こ と がで き る よ う にな り 、複
数の IP イ ン ス タ ン ス で ク ロ ッ ク お よ び リ セ ッ ト を共有で き る よ う にな り ま し た。
GT ベース の IP にオプシ ョ ンで ト ラ ン シーバー デバ ッ グ ポー ト を含め る こ と がで き る よ う にな り 、 GT デ
バ ッ グ ポー ト に簡単にア ク セ ス で き る よ う にな り ま し た。 GT デバ ッ グ ポー ト を イ ン ス タ ン シエー ト す る
と 、 IP イ ン ス タ ン ス に多数のポー ト が追加 さ れ る ため、 デザ イ ンの変更が必要にな り ます。
サー ド パーテ ィ のシ ミ ュ レーシ ョ ンのサポー ト
•
すべての Vivado IP で ModelSim/QuestaSim お よ び Vivado シ ミ ュ レー タ の統合シ ミ ュ レーシ ョ ン フ ロ ーをサポー
ト Cadence 社のシ ミ ュ レー タ で使用す る シ ミ ュ レーシ ョ ン ス ク リ プ ト を記述す る export_simulation コ マ ン
ド を追加
•
Incisive Enterprise Simulator (IES)、 Synopsys 社 VCS お よ び VCS MX のサポー ト さ れ る バージ ョ ンは、 「互換性の
あ る サー ド パーテ ィ ツール」 の表を参照 し て く だ さ い。
•
compile_simlib で使用する サー ド パーテ ィ シ ミ ュ レー タ オプシ ョ ン を設定する config_compile_simlib
コ マン ド を追加
Vivado シ ミ ュ レー タ
•
•
•
ユーザー イ ン タ ーフ ェ イ ス を向上
°
[Object] ビ ュ ーか ら 定数お よ び ク ロ ッ ク を強制
°
[Object] ビ ュ ーに [Show Drivers] メ ニ ュ ーを追加
言語のサポー ト を向上
°
Verilog サブプ ロ グ ラ ム内の自動変数での待機をサポー ト
°
混合言語シ ミ ュ レーシ ョ ンで VHDL の レ コ ー ド をサポー ト
メ ッ セージ を向上 :
°
説明 と 解決法を含む詳細な メ ッ セージ
Vivado 合成
•
前のバージ ョ ンに比べて実行時間を 35% 短縮
•
RTL 合成属性を制約フ ァ イ ル (XDC) でサポー ト
•
QoR (結果の品質) をい く つかの点で向上 (特に ス テー ト マシ ンお よ びプ ラ イ オ リ テ ィ エン コーダー )
•
シ フ ト レ ジ ス タ の新 し い RTL 属性 srl_style を追加
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
54
Vivado 2013.3
Vivado デバ ッ グ
•
ILA 3.0 のア ド バン ス ト リ ガー機能 :
°
•
•
ア ド バン ス ト リ ガー ス テー ト マシ ン
-
実行時にプ ロ グ ラ ム可能
-
16 ス テー ト ま で
-
ス テー ト ご と に 3 方向に分岐
-
PROBE 入力ご と に 4 つま での コ ンパレー タ
-
4 つのプ ロ グ ラ ム可能な カ ウ ン タ ー
-
4 つのプ ロ グ ラ ム可能な フ ラ グ
基本キ ャ プチ ャ 制御機能 :
°
ILA コ アでキ ャ プチ ャ す る デー タ を制御す る キ ャ プチ ャ 条件フ ィ ル タ ーを ユーザーが指定可能
°
使いやす く す る ため PROBE ポー ト 上の専用 コ ンパレー タ を使用
°
基本お よ びア ド バン ス ト リ ガー モー ド を使用可能
JTAG か ら AXI へのマ ス タ ー :
°
マ イ ク ロ プ ロ セ ッ サ コ ー ド を記述せずに AXI ベース のシ ス テ ム と 通信
°
AXI ま たは AXI-Lite イ ン タ ーフ ェ イ ス に接続
°
IP イ ン テ グ レー タ ー ブ ロ ッ ク デザ イ ンお よ び HDL ベース のデザ イ ンで使用可能
°
AXI ト ラ ンザ ク シ ョ ン を作成お よ び実行す る Vivado ラ ン タ イ ム Tcl
-
詳細は、 「help *hw_axi*」 と 入力 し て く だ さ い。
Vivado 物理イ ン プ リ メ ン テーシ ョ ン
•
前のバージ ョ ンに比べて配置配線の実行時間を 11% 短縮
•
オプシ ョ ンの配置後の最適化に よ り 、 配置後ま たは配線後の ク リ テ ィ カル パス の タ イ ミ ン グ を向上
°
•
•
•
place_design -post_place_opt
シ フ ト レ ジ ス タ の最適化に よ り 、 SRL プ リ ミ テ ィ ブに関連す る ク リ テ ィ カル パ ス の タ イ ミ ン グ を向上
°
SRL16E お よ び SRLC32E か ら シ フ ト レ ジ ス タ を抽出 し 、 FPGA ロ ジ ッ ク に移動
°
phys_opt_design のデフ ォ ル ト 最適化に含まれ る
°
phys_opt_design -shift_register_opt を使用 し て個別に実行可能
ブ ロ ッ ク RAM イ ネーブルの最適化に よ り 、 消費電力が最適化 さ れたブ ロ ッ ク RAM の タ イ ミ ン グ を向上
°
phys_opt_design のデフ ォ ル ト 最適化に含まれ る
°
phys_opt_design -bram_enable_opt を使用 し て個別に実行可能
phys_opt_design -directive Explore の QoR を向上
°
ブ ロ ッ ク RAM、 DSP、 シ フ ト レ ジ ス タ の最適化を複数回実行
ザイ リ ン ク ス PCIe IP の Tandem コ ン フ ィ ギ ュ レーシ ョ ン
•
Tandem コ ン フ ィ ギ ュ レーシ ョ ンは、 PCIe® デザ イ ンの高速 コ ン フ ィ ギ ュ レーシ ョ ンでオープン PCIe シ ス テ ム内
での列挙に関す る 要件を満たすためのザ イ リ ン ク ス の ソ リ ュ ーシ ョ ンです。 2013.3 の新機能は次の と お り です。
°
XC7K325T、 XC7VX485T、 お よ び XC7VX690T に加え、 XC7K160T をプ ロ ダ ク シ ョ ン ス テー タ ス でサポー ト
°
こ れ ら のデバ イ ス ですべてのパ ッ ケージ と IP カ タ ロ グ内の PCIe ブ ロ ッ ク 位置をサポー ト
詳細は、 PCI Express IP の製品ガ イ ド (Gen2 PCIe IP の場合は PG054 (v2.2)、 Gen3 PCIe IP の場合は PG023 (v2.2)) を参
照 し て く だ さ い。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
55
Vivado 2013.3
重要な情報
Vivado デザイ ンの Vivado Design Suite 2013.2 か ら 2013.3 への移行
IP ア ッ プグ レー ド に関する推奨事項
IP を含むデザ イ ン を Vivado Design Suite 2013.2 か ら 2013.3 に移行す る 際の推奨事項は、 次の と お り です。
1.
IP ス テー タ ス レ ポー ト を生成 し 、 各 IP の変更 ロ グ を確認 し ます。
2.
マ イ ナーな変更ま たは リ ビ ジ ョ ン レベルの変更を含む IP か ら ア ッ プグ レー ド し 、 各 IP に生成 さ れた ア ッ プグ
レー ド ロ グ を確認 し ます。
3.
デザ イ ン を再検証 し 、 メ ジ ャ ーなバージ ョ ン変更を含む IP を ア ッ プグ レー ド し ます。
4.
各 IP に生成 さ れた ア ッ プグ レー ド ロ グ を参照 し 、デザ イ ンにア ッ プグ レー ド さ れた IP を組み込むために必要な
変更を加え ます。
5.
デザ イ ン を再検証 し ます。
ヒント :
•
2013.3 で メ ジ ャ ーな リ ビ ジ ョ ン変更があ っ た IP に対 し て 2013.2 でデザ イ ン チ ェ ッ ク ポ イ ン ト を作成 し 、 すぐ に
ア ッ プグ レー ド し な く て も 続行で き る よ う に し ます。
•
マ イ ナーな変更ま たは リ ビ ジ ョ ン レベルの変更を含む IP か ら ア ッ プグ レー ド し 、 デザ イ ン を再検証 し ます。
•
ほかのユーザーお よ びデザ イ ンに影響を与え ない よ う にす る ため、 2013.3 にア ッ プグ レー ド する 前に 2013.2 の
IP 管理プ ロ ジ ェ ク ト ロ ケーシ ョ ンお よ び リ モー ト プ ロ ジ ェ ク ト IP のバ ッ ク ア ッ プ を作成 し ます。
•
Vivado 2013.3 に移行す る 前に、 IP を含む 2013.2 デザ イ ン プ ロ ジ ェ ク ト のアーカ イ ブ を作成 し ます。
既存 IP のア ッ プデー ト
•
•
PCI Express (Gen3/Gen2/AXI-PCIe)
°
IP イ ン テ グ レー タ ーでサポー ト
°
ト ラ ン シーバー デバ ッ グ ポー ト を追加
°
使いやす さ を向上す る ためのア ッ プデー ト
°
シ ミ ュ レーシ ョ ンお よ び合成の警告 メ ッ セージ を削減
°
共有 ロ ジ ッ ク オプシ ョ ン を導入
Aurora
°
リ セ ッ ト /初期化での リ ン ク の安定性に関す る 修正
°
使いやす さ を向上す る ためのア ッ プデー ト お よ び XDC のア ッ プデー ト
°
7 シ リ ーズでプ ロ ダ ク シ ョ ン IP
IP の既知の問題および変更 リ ス ト
•
IP の既知の問題は、 『IP リ リ ース ノ ー ト ガ イ ド 』 (XTP025) [参照 6] を参照 し て く だ さ い。
•
2013.3 でのザ イ リ ン ク ス IP コ アの詳細な変更 リ ス ト は、 ア ンサー 58605 を参照 し て く だ さ い。
System Generator for DSP
•
SBG485 の XC7Z030 お よ び Zynq デバ イ ス 7Z015 をサポー ト
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
56
Vivado 2013.2
既知の問題
Vivado Design Suite の既知の問題は、 ア ンサー 55120 を参照 し て く だ さ い。
Vivado 2013.2
新機能
Vivado® Design Suite 2013.2 は、 イ ン テ グ レーシ ョ ンに要する 期間を短縮 し 、 シ ス テ ム レベル デザ イ ン を高速化 し ま
す。Vivado IP イ ン テ グ レー タ ーが公式に リ リ ース さ れま し た。Vivado IP イ ン テ グ レー タ ーは、デバ イ スお よ びプ ラ ッ
ト フ ォ ーム の情報が認識 さ れた イ ン タ ラ ク テ ィ ブ な グ ラ フ ィ カル環境で、 IP サブ シ ス テ ム を自動的に生成 し ま す。
Vivado 高位合成お よ び System Generator for DSP も Vivado IP イ ン テ グ レー タ ーに統合 さ れてお り 、 All Programmable
FPGA お よ び SoC の包括的な開発環境を提供 し ま す。 ま た、 実行時間の短縮、 新 し い End-to-End デバ ッ グ機能に よ
り 、 シ ス テ ム統合を よ り 短時間で実行で き ます。
デバイ ス サポー ト
次のデバ イ ス をプ ロ ダ ク シ ョ ンでサポー ト
•
Zynq®-7000 デバ イ ス
°
•
防衛グ レー ド Zynq-7000Q
°
•
VX690T お よ び VX980T
防衛グ レー ド Artix®-7Q
°
•
7Z010、 7Z020、 お よ び 7Z030
防衛グ レー ド Virtex®-7Q
°
•
7Z010、 7Z020、 お よ び 7Z100
A100T お よ び A200T
XA Artix-7
°
A100T
Vivado System Edition 製品
Vivado 高位合成
•
•
OpenCV イ ン タ ーフ ェ イ ス を使用す る ビデオ ラ イ ブ ラ リ で次の 12 個の関数のサポー ト を追加
°
hls::CornerHarris、 hls::EqualizeHist、 hls::FASTX、 hls::GaussianBlur、 hls::Harris
°
hls::HoughLines2、 hls::Integral、 hls::InitUndistortRectifyMap、 hls::PaintMask
°
hls::Remap、 hls::Resize、 hls::Sobel
Vivado HLS か ら 直接、 加算器を DSP48 に イ ンプ リ メ ン テーシ ョ ンす る よ う タ ーゲ ッ ト
°
•
こ れま では、加算器ま たは減算器の DSP48 への イ ンプ リ メ ン テーシ ョ ンは RTL 合成で設定 さ れてい ま し た。
新 し い AddSub_DSP コ アに よ り 、 Vivado HLS 内で、 加算ま たは減算を DSP48 を使用 し て実行す る よ う 指定
で き る よ う にな り ま し た。
ザ イ リ ン ク ス FFT コ ア を C/C++ ソ ース コ ー ド に直接 イ ン ス タ ン シエー ト 可能。 こ の機能はベー タ です。 こ の機
能の使用に関す る 詳細は、 最寄 り の販売代理店にお問い合わせ く だ さ い。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
57
Vivado 2013.2
System Generator for DSP
•
ツールの統合
°
°
•
System Generator for DSP に Vivado IP イ ン テ グ レー タ ーのサポー ト を追加
-
ゲー ト ウ ェ イ 入力お よ び出力をサポー ト す る IP と し てパ ッ ケージ化 さ れたモデルを、 イ ン タ ーフ ェ イ
ス ま たはポー ト と し てパ ッ ケージ化
-
Gateway ブ ロ ッ ク で AXI4-Stream イ ン タ ーフ ェ イ ス を指定 し 、 Gateway ブ ロ ッ ク か ら AXI4-Lite お よ び
AXI4-Stream イ ン タ ーフ ェ イ ス を推論可能
-
System Generator でサンプル RTL Vivado プ ロ ジ ェ ク ト お よ びサンプル IP イ ン テ グ レー タ ー ブ ロ ッ ク デ
ザ イ ン を生成 し 、 パ ッ ケージ化 さ れた IP を簡単に評価可能
-
System Generator と IP イ ン テ グ レー タ ーの統合に関す る チ ュ ー ト リ アルを初版 リ リ ース
MATLAB® お よ び Simulink® リ リ ース R2013a をサポー ト
ブ ロ ッ ク セ ッ ト の向上
°
FIR Compiler v7.1 にエ リ ア、 ス ピー ド 、 お よ びカ ス タ ム最適化オプシ ョ ン を追加
°
モデル ア ッ プグ レー ド フ ロ ーに、 ポー ト お よ びパ ラ メ ー タ ーをチ ェ ッ ク し 、 HTML レ ポー ト を生成す る 機
能を追加
°
キ ャ ッ シ ュ を向上 し 、 次のモデル コ ンパ イ ルを高速化
Vivado Design Edition ツール
統合設計環境
•
•
[Sources] ビ ュ ーの [Compile Order] タ ブで フ ァ イ ルの コ ンパ イ ル順を表示
°
合成、 イ ンプ リ メ ン テーシ ョ ン、 シ ミ ュ レーシ ョ ンのいずれかを選択
°
report_compile_order コ マ ン ド か ら の フ ァ イ ル順を表示
メ ッ セージ数を手動で リ セ ッ ト
消費電力
•
Zynq-7000 を サポー ト (プ ロ セ ッ サ サブ シ ス テ ムお よ びプ ロ グ ラ マブル ロ ジ ッ ク を含む)
•
7 シ リ ーズ デバ イ ス の XADC ブ ロ ッ ク のパ ワ ー ダ ウ ン モー ド を モデ リ ン グ
Vivado IP イ ン テグ レー タ ー
•
完全なプ ロ ダ ク シ ョ ン リ リ ース。 Vivado Design Suite のすべての ラ イ セ ン ス で使用可能です。
•
デザ イ ン を最新の IP バージ ョ ンに移行す る 自動 IP ア ッ プグ レー ド フ ロ ー
•
IP イ ン テ グ レー タ ーで生成 さ れたエ ラ ーお よ び警告か ら ク ロ ス プ ロ ーブ可能
•
System Generator で生成 さ れた IP と の統合
•
IP イ ン テ グ レー タ ーで生成 さ れたデザ イ ンの合成を最大 4 倍高速化
•
ECC (エ ラ ー訂正 コ ー ド ) を IP イ ン テ グ レー タ ー内の MicroBlaze™ でサポー ト
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
58
Vivado 2013.2
Vivado IP カ タ ログ
•
プ ロ ジ ェ ク ト ベース のデザ イ ンでボ ト ム ア ッ プ合成を イ ネーブルに し 、 合成時間を短縮
°
•
•
変更 さ れていない IP は再合成 さ れません。
IP 管理フ ロ ーを大幅に向上
°
IP の作成 と 管理を簡略化。IP のネ ッ ト リ ス ト 生成を管理する ため、IP プ ロ ジ ェ ク ト が自動的に作成 さ れます。
°
IP の合成済みデザ イ ン チ ェ ッ ク ポ イ ン ト (DCP) を生成す る こ と に よ り 、 IP をサー ド パーテ ィ 合成ツールを
使用 し てブ ラ ッ ク ボ ッ ク ス フ ロ ーで使用可能
°
IP の DCP お よ び Verilog ス タ ブ フ ァ イ ルを IP の XCI フ ァ イ ル と 同 じ 場所に配置
°
サー ド パーテ ィ シ ミ ュ レー タ を使用 し た ビヘ イ ビ アー シ ミ ュ レーシ ョ ン用に IP シ ミ ュ レーシ ョ ン ソ ース
フ ァ イ ルを コ ンパ イ ルす る ス ク リ プ ト を提供
IP 制約の処理を向上
°
IP DCP に IP のネ ッ ト リ ス ト お よ び制約を含め る
°
制約の範囲を自動的に設定
Vivado シ ミ ュ レー タ
•
実行時間を短縮
°
•
Vivado シ ミ ュ レー タ で コ ンパ イ ルを再実行する か をユーザーが制御可能です。 詳細は、 『Vivado Design Suite
ユーザー ガ イ ド : ロ ジ ッ ク シ ミ ュ レーシ ョ ン』 (UG900) [参照 1] を参照 し て く だ さ い。
シ ミ ュ レーシ ョ ン後の処理の自動化を向上
°
シ ミ ュ レーシ ョ ン後の Tcl フ ッ ク ス ク リ プ ト 機能が追加 さ れてい ます。 詳細は、 『Vivado Design Suite ユー
ザー ガ イ ド : ロ ジ ッ ク シ ミ ュ レーシ ョ ン』 (UG900) [参照 1] を参照 し て く だ さ い。
ス タ テ ィ ッ ク タ イ ミ ング解析
•
ツールで生成 さ れ る ク ロ ッ ク の名前を変更可能 (create_generated_clock -name オプシ ョ ン)
•
ク ロ ッ ク ネ ッ ト ワ ー ク レ ポー ト か ら イ ン タ ラ ク テ ィ ブに ク ロ ッ ク 制約を作成
°
新 し い右 ク リ ッ ク メ ニ ュ ー
°
ク ロ ッ ク お よ び生成 ク ロ ッ ク を作成
Vivado I/O プ ラ ンナー
•
SSN 解析の解像度を向上
°
温度グ レー ド ベース の SSN 解析を イ ネーブル
Vivado デバ ッ グ
•
•
デバ イ ス サポー ト :
°
Zynq 7Z100 のサポー ト を追加
°
7 シ リ ーズ XQ パ ッ ケージのサポー ト を追加お よ びス ピー ド グ レー ド を変更
°
Virtex®-7 HT GES デバ イ ス (7VHT580T お よ び 7VHT870T) のサポー ト を追加
TCF (Target Communication Framework) エージ ェ ン ト (hw_server) :
°
ザ イ リ ン ク ス プ ラ ッ ト フ ォーム USB JTAG ケーブルのサポー ト を追加
°
TCF エージ ェ ン ト (hw_server) の自動開始
°
JTAG ケーブルの自動検出
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
59
Vivado 2013.2
•
シ リ アル I/O デバ ッ グ :
°
•
Vivado シ リ アル I/O 解析機能で IBERT 7 Series GTZ 3.0 をサポー ト
同 じ JTAG チ ェーンの複数の FPGA をデバ ッ グす る ためのサポー ト を追加
ザイ リ ン ク ス PCIe IP の Tandem コ ン フ ィ ギ ュ レーシ ョ ン
PCI™ Express の高速列挙要件を満たすた めに Tandem コ ン フ ィ ギ ュ レ ーシ ョ ン を使用可能です。 2 段階 ビ ッ ト ス ト
リ ーム を FPGA に供給で き ます。 最初の段階では、 ザ イ リ ン ク ス PCIe® IP お よ びすべてのデザ イ ン エ レ メ ン ト が コ
ン フ ィ ギ ュ レーシ ョ ン さ れ、 こ の IP が個別にで き る だけ高速に機能す る よ う に し ます。 2 番目の段階では、 PCIe リ
ン ク を機能 さ せなが ら デバ イ ス コ ン フ ィ ギ ュ レーシ ョ ン を完了 さ せます。 こ れには、 2 つの方法があ り ます。 Tandem
PROM で同 じ フ ラ ッ シ ュ デバ イ ス か ら 両方の段階を読み込む方法 と 、 Tandem PCIe で PCIe リ ン ク を介 し て 2 番目の
段階を読み込む方法です。 すべての PCIe コ ン フ ィ ギ ュ レーシ ョ ンは、 X8 Gen3 ま でがサポー ト さ れます。
•
•
•
Tandem コ ン フ ィ ギ ュ レーシ ョ ンは、 Tandem PROM お よ び Tandem PCIe の両方 と も 、 プ ロ ダ ク シ ョ ン ス テー タ ス
で リ リ ース さ れてい ます。 こ の ス テー タ ス のデバ イ ス は、 次の と お り です。
°
XC7K325T-FFG900
°
XC7VX485T-FFG1761 (PCIE X1Y0 ロ ケーシ ョ ンが必要)
°
XC7VX690T-FFG1761 (PCIE X0Y1 ロ ケーシ ョ ンが必要)
Tandem コ ン フ ィ ギ ュ レーシ ョ ンは、 Tandem PROM お よ び Tandem PCIe の両方 と も 、 次のデバ イ ス でベー タ リ
リ ース さ れてい ます。 こ れ ら のデバ イ ス でのハー ド ウ ェ ア テ ス ト は限 ら れてい ます。
°
XC7K160T-FFG676
°
XC7K410T-FFG676
°
XC7VX415T-FFG1158 (PCIE X0Y0 ロ ケーシ ョ ン推奨)
°
XC7VX550T-FFG1158 (PCIE X0Y1 ロ ケーシ ョ ン推奨)
詳細は、 Gen2 PCIe IP の場合は PG054 (v2.1)、 Gen3 PCIe IP の場合は PG023 (v2.1) を参照 し て く だ さ い。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
60
重要な情報
重要な情報
Vivado デザイ ンの Vivado Design Suite 2013.1 か ら 2013.2 への移行
表 6‐1 : Vivado デザイ ン を Vivado Design Suite 2013.2 に移行する際の詳細
デザイ ンへの影響
2013.2 での変更点
解決策
ザ イ リ ン ク ス 2013.1 IP : 2013.2 ツー • 2013.2 では、 デフ ォ ル ト で IP 制約 ザ イ リ ン ク ス IP は、2013.2 で再生成
ルでは、 制約が異な る 順序で処理 さ
がユーザー制約の前に処理 さ れ ま し て く だ さ い。
れます。
す。2013.1 では、IP 制約はユーザー
制約の後に処理 さ れてい ま し た。
• 2013.2 では、 _clocks.xdc フ ァ イ ル
に ク ロ ッ ク 定義は含 ま れ ま せん。
こ の フ ァ イ ルには、 ク ロ ッ ク に依
存す る 制約が含 ま れ ま す。 ま た、
こ の フ ァ イ ルはデフ ォ ル ト でユー
ザー制約の後に処理 さ れます。
• タ イ ミ ン グ エ ラ ー、 ク リ テ ィ カル
警告、 ま たはその他の問題が発生
す る 可能性があ り ます。
IP 管 理 フ ロ ー で 自 動 的 に IP プ ロ
ジ ェ ク ト が作成 さ れます。
• 2013.1セ ッ シ ョ ンか ら の IP デ ィ レ
ク ト リ は、 2013.2 IP プ ロ ジ ェ ク ト
に自動的に読み込まれません。
IP プ ロ ジ ェ ク ト セ ッ シ ョ ン の Tcl
コ ン ソ ールで read_ip コ マ ン ド を使
用 し て IP .xci を 読み込む必要が あ
り ます。
既存 IP のア ッ プデー ト
•
AXI Ethernet
°
•
AXI Ethernet Lite
°
•
Virtex-7、 Zynq-7000 をプ ロ ダ ク シ ョ ンでサポー ト
PCI32 お よ び PCI64
°
•
Zynq-7000 を プ ロ ダ ク シ ョ ンでサポー ト
10G Ethernet MAC、 RXAUI、 XAUI
°
•
Virtex-7、 Artix-7、 Zynq-7000 をプ ロ ダ ク シ ョ ンでサポー ト
GMII to RGMII
°
•
Virtex-7 をプ ロ ダ ク シ ョ ンでサポー ト
Tri-Mode Ethernet MAC
°
•
1 ス テ ッ プお よ び 2 ス テ ッ プに新 し い IEEE 1588 ハー ド ウ ェ ア タ イ ム ス タ ンプ
Artix-7 をプ ロ ダ ク シ ョ ンでサポー ト
IP の既知の問題は、 『IP リ リ ース ノ ー ト ガ イ ド 』 (XTP025) [参照 6] を参照 し て く だ さ い。
既知の問題
Vivado Design Suite の既知の問題は、 ア ンサー 55120 を参照 し て く だ さ い。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
61
Vivado 2013.1
Vivado 2013.1
新機能
Vivado® Design Suite 2013.1 には、 イ ン テ グ レーシ ョ ンに要する 期間を短縮 し 、 シ ス テ ム レベル デザ イ ン を高速化す
る 2 つの向上点があ り 、 生産性を向上 し ます。 1 つはザ イ リ ン ク ス の新 し い IP 中心のデザ イ ン環境であ る Vivado IP
イ ン テ グ レー タ ーのアー リ ー ア ク セ ス で、 も う 1 つは Vivado HLS ツールでの C/C++ シ ス テ ム レベル デザ イ ンお よ
び高位合成 (HLS) をサポー ト す る 包括的な ラ イ ブ ラ リ です。
デバイ ス サポー ト
•
•
Zynq®-7000 をサポー ト
°
Vivado IP イ ン テ グ レー タ ーのアー リ ー ア ク セ ス が必要
°
Zynq サポー ト は 7Z100 デバ イ ス を含む
次のデバ イ ス をプ ロ ダ ク シ ョ ンでサポー ト
°
Virtex®-7
-
°
Zynq-7000
-
°
7K325T お よ び 7K410T
防衛グ レー ド Virtex-7Q
-
•
7Z030 お よ び 7Z045
防衛グ レー ド Kintex®-7Q
-
°
7VX690T、 7VX1140T、 7VX330T、 7VX415T、 7VX980T
7V585T お よ び 7VX485T
次のデバ イ ス を GES でサポー ト
°
Virtex-7
-
7VH580T お よ び 7VH870T
新 し い Vivado イ ン ス ト ー ラ ー
Vivado Design Suite は ISE® Design Suite と は別に提供 さ れます。 Vivado Design Suite と ISE Design Suite には、 それぞ
れ個別にダ ウ ン ロ ー ド お よ び イ ン ス ト ール フ ァ イ ルがあ り ます。
Vivado System Edition 製品
Vivado 高位合成
Vivado HLS ツールの ラ イ ブ ラ リ が向上 し てお り 、 業界標準の浮動小数点 math.h 演算お よ び リ アル タ イ ム ビデオ処理
関数がサポー ト さ れます。 デ ュ アル コ ア ARM® プ ロ セ ッ シ ン グ シ ス テ ム上で動作する エンベデ ッ ド ビ ジ ョ ン用に、
ビデオ処理関数を直接 OpenCV 環境に組み込む こ と がで き ます。
•
C ラ イ ブ ラ リ が向上 し てお り 、 31 の ビデオお よ び OpenCV 入力/出力 (I/O) イ ン タ ーフ ェ イ ス関数がサポー ト さ れ
ます。
°
OpenCV I/O 関数 : cvMat2hlsMat、 IplImage2hlsMat、 CvMat2hlsMat、 hlsMat2cvMat、
hlsMat2IplImage、 hlsMat2CvMat
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
62
Vivado 2013.1
°
°
•
イ ン タ ーフ ェ イ ス : hls::AXIvideo2Mat、 hls::Mat2AXIvideo
ビデオ関数 : hls::Filter2D、 hls::Erode、 hls::Dilate、 hls::Min、 hls::Max、 hls::MinS、
hls::MaxS、hls::Mul、hls::Zero、hls::Avg、hls::AbsDiff、hls::CmpS、hls::Cmp、hls::And、
hls::Not、 hls::AddS、 hls::AddWeighted、 hls::Mean、 hls::SubRS、 hls::SubS、 hls::Sum、
hls::Reduce、 hls::Scale
パ ッ ケージ化 さ れた IP の ス タ ン ド ア ロ ンお よ び Linux ソ フ ト ウ ェ ア ド ラ イ バー フ ァ イ ルの自動生成に よ り 、 ソ
フ ト ウ ェ アで制御 さ れ る 環境へのデザ イ ンの統合を大幅に簡易化
°
Vivado IP カ タ ロ グおよびエンベデ ッ ド 開発キ ッ ト (EDK) (pcore) 環境用にパ ッ ケージ化 さ れた IP をサポー ト
•
Vivado IP カ タ ロ グ用にパ ッ ケージ化 さ れたデザ イ ンがサポー ト さ れ、 IP イ ン テ グ レー タ ーで使用可能
•
新 し いデザ イ ン解析ビ ュ ーに よ り デザ イ ン をすばや く 容易に解析
°
°
イ ン タ ラ ク テ ィ ブ グ ラ フ ィ カル環境に よ り 、 パフ ォーマ ン スお よ び リ ソ ース基準の両方を表示可能
レ ジ ス タ ト ラ ン ス フ ァ ー レベル (RTL) 構造 と ス ケ ジ ュ ー リ ン グ さ れた操作間の相互 リ ン ク ウ ィ ン ド ウ に よ
り C ソ ース と HDL 出力を相互参照で き 、 問題箇所を特定お よ び最適化可能
•
合成レ ポー ト を理解 し やすい よ う 変更 し 、 レ イ テ ン シお よ び イ ニシエーシ ョ ン イ ン タ ーバルに関す る デザ イ ン
レベルの詳細を提供
•
System Generator for DSP 用の IP と し てパ ッ ケージ化 さ れたデザ イ ンのシ ミ ュ レーシ ョ ン を高速化
°
IP パ ッ ケージの一部 と し てサ イ ク ル精度 C モデルを提供、System Generator for DSP でのシ ミ ュ レーシ ョ ンに
自動的に使用
•
IP をパ ッ ケージ化 し た と き に Vivado Design Suite で直接開け る よ う プ ロ ジ ェ ク ト フ ァ イ ルを生成
•
C ソ ース コ ー ド での変数範囲のアサーシ ョ ンに よ り 、 よ り 最適なハー ド ウ ェ ア を生成可能
•
SystemC デザ イ ンで AXI4 マ ス タ ー、 Lite、 お よ び Stream イ ン タ ーフ ェ イ ス をサポー ト
•
最上位関数引数 リ ス ト の配列が ap_bus I/O プ ロ ト コ ルで合成可能にな り 、 AXI4 マ ス タ ー イ ン タ ーフ ェ イ ス と
し て イ ンプ リ メ ン ト 可能
System Generator for DSP
•
バージ ョ ン付 き IP の自動移行がサポー ト さ れ、 既存のデザ イ ン を最新版にすばや く ア ッ プデー ト 可能
•
デバ イ スお よ び イ ン タ ーフ ェ イ ス パ ラ メ ー タ ーの自動伝搬に よ り コ ンパ イ ルお よ びネ ッ ト リ ス ト 生成を高速化
•
Vivado HLS ツールで作成 さ れた IP のシ ミ ュ レーシ ョ ン を オプシ ョ ンで高速化可能
°
シ ミ ュ レーシ ョ ンで RTL モデルま たはオプシ ョ ンで高速のサ イ ク ル精度 C モデルを使用可能
Vivado Design Edition ツール
統合設計環境
•
ボ ト ム ア ッ プ合成をサポー ト
•
モジ ュ ール解析フ ロ ーの基本的なサポー ト
•
run フ ッ ク ス ク リ プ ト を向上
°
•
イ ン フ ラ ス ト ラ ク チ ャ の検索で Tcl コ マ ン ド を発行
°
•
検索を向上、 Tcl の使用法を学習
Schematic Editor でベ ク タ ー イ ン ス タ ン ス を結合
°
•
run フ ロ ーを カ ス タ マ イ ズ可能
バ ス の回路図を簡略化
グ ラ フ ィ カル ユーザー イ ン タ ーフ ェ イ ス (GUI) オブジ ェ ク ト の参照を Tcl オブジ ェ ク ト と 統一
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
63
Vivado 2013.1
•
Vivado デバ イ ス エデ ィ タ ー
°
•
デザ イ ン ルール チ ェ ッ ク (DRC)
°
•
•
新 し い RTL お よ びネ ッ ト リ ス ト リ ン テ ィ ン グ チ ェ ッ ク
ビ ッ ト ス ト リ ームの生成
°
すべてのネ ッ ト リ ス ト ビ ュ ーで ビ ッ ト ス ト リ ームお よ びその他のデバ イ ス プ ロ パテ ィ を設定可能
°
追加 し た と き にデフ ォ ル ト 設定を自動的に指定
°
制約を タ ーゲ ッ ト のザ イ リ ン ク ス デザ イ ン制約 (XDC) に保存可能
言語テ ンプ レー ト
°
•
手動配線モー ド でデス テ ィ ネーシ ョ ン ま たは ソ ース の ど ち ら か ら で も 開始可能
デザ イ ン コ ン ス ト ラ ク ト の SystemVerilog を追加
ブ ロ ッ ク RAM イ ン タ ーフ ェ イ ス テ ンプ レー ト を向上
消費電力
•
パフ ォーマ ン ス を低下 さ せずにダ イ ナ ミ ッ ク 消費電力を削減
°
opt_design で消費電力最適化を イ ネーブルにする こ と に よ り 、 ブ ロ ッ ク RAM のダ イ ナ ミ ッ ク 消費電力を
約 40% 削減、 コ アのダ イ ナ ミ ッ ク 消費電力を約 7.5% 削減
°
power_opt_design の追加の BRAM お よ び順序 ロ ジ ッ ク 最適化に よ り イ ン ク リ メ ン タ ルな消費電力の削減
Vivado IP イ ン テグ レー タ ー
All Programmable FPGA デバ イ ス 用に集積度の高い複雑なデザ イ ン を作成す る ため、 Vivado IP イ ン テ グ レ ー タ ー
が アー リ ー ア ク セ ス で リ リ ー ス さ れ ま し た。 IP イ ン テ グ レ ー タ ーは、 Vivado の IP カ タ ロ グお よ び標準に基づ く
IP パ ッ ケージ化 を基盤 と し て構築 さ れてお り 、 ザ イ リ ン ク ス All Programmable ソ リ ュ ーシ ョ ン用に最適化 さ れた
デザ イ ン の Correct-by-Construction ア セ ン ブ リ を提供 し ま す。 Vivado IP イ ン テ グ レ ー タ ー環境は、 2013.1 リ リ ー
ス の アー リ ー ア ク セ ス 機能 と し て ラ イ セ ン ス 提供 さ れて い ま す。 ラ イ セ ン ス を入手す る には、 最寄 り の販売代理
店 ま で ご連絡 く だ さ い。
Vivado ロ ジ ッ ク シ ミ ュ レーシ ョ ン
•
ド ラ イ バー レ ポー ト 機能に よ り 、 信号型 HDL オブジ ェ ク ト の現在の駆動値を ト レース可能
•
compile_simlib で Aldec 社 Active-HDL をサポー ト
ザイ リ ン ク ス IP シ ミ ュ レーシ ョ ン
ザ イ リ ン ク ス IP のビヘ イ ビ アー シ ミ ュ レーシ ョ ン を次のシ ミ ュ レー タ でサポー ト
•
•
フル サポー ト
°
Mentor Graphics 社 : ModelSim お よ び Questa Advanced Simulator
°
ザ イ リ ン ク ス : Vivado シ ミ ュ レー タ
制限付 き サポー ト
°
Aldec 社 : Active-HDL、 Riveria-Pro
°
Cadence 社 : Incisive Enterprise Simulator
°
Synopsys 社 : VCS お よ び VCS MX
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
64
Vivado 2013.1
注記 : 「制限付 き サポー ト 」 と は、 ザ イ リ ン ク ス IP が リ ス ト さ れてい る ベン ダー用の IEEE P1735 V1 公開キーを使用
し て暗号化 さ れてい ますが、 リ ス ト さ れてい る シ ミ ュ レー タ で IP を解読で き る か ど う か を確認す る テ ス ト が限 ら れ
てい る と い う こ と です。 「制限付 き サポー ト 」 に リ ス ト さ れてい る ベン ダーのテ ス ト では、 各シ ミ ュ レー タ ですべて
のザ イ リ ン ク ス IP コ アが完全に機能す る か ど う かは検証 さ れてい ません。 「フル サポー ト 」 と は、 ザ イ リ ン ク ス IP
コ アの解読お よ びシ ミ ュ レーシ ョ ン機能の両方がテ ス ト さ れてい る と い う こ と です。
Vivado HDL 合成
•
7 シ リ ーズ LUT の両方の出力を利用で き る よ う にな り (新 し い -no_lc オプシ ョ ン で制御)、LUT の使用率をデフ ォ
ル ト で 11% 削減
•
ユーザー イ ン タ ーフ ェ イ ス の [Synthesis Settings] に ラ イ ン タ イ ムが最適化 さ れた ス ト ラ テジ プ リ セ ッ ト を追加
•
-effort_level を新 し い -directive オプシ ョ ンに置き 換え、 ラ ン タ イ ム を短縮
•
エ ラ ボ レー ト 済みデザ イ ンに新 し いデザ イ ン ルール チ ェ ッ ク (DRC) を追加
°
欠けてい る RAM テ ンプ レー ト 、 ク ロ ッ キ ン グ な ど
•
算術演算子用の新 し い リ ソ ース共有オプシ ョ ン (-resource_sharing) に よ り 、 エ リ ア/パフ ォーマ ン ス の ト
レー ド オ フ を評価
•
制御セ ッ ト 最適化オプシ ョ ン (-control_set_opt_threshold) に よ り 、 フ ァ ン ア ウ ト の小 さ い制御信号 ( ク
ロ ッ ク イ ネーブルま たは同期セ ッ ト / リ セ ッ ト ) を削除 し 、 イ ンプ リ メ ン テーシ ョ ン後の ス ラ イ ス使用率を向上
Vivado イ ン プ リ メ ン テーシ ョ ン
•
-effort_level を新 し い -directive オプシ ョ ンに置き 換え、 ラ ン タ イ ム を短縮 し 、 制御を拡大
•
新 し い イ ンプ リ メ ン テーシ ョ ン ス ト ラ テジ を追加 し 、 既存の イ ンプ リ メ ン テーシ ョ ン ス ト ラ テジ を向上す る こ
と に よ り 、 さ ま ざ ま な イ ンプ リ メ ン テーシ ョ ン方法を提供
ス タ テ ィ ッ ク タ イ ミ ング解析
•
タ イ ミ ン グ例外レ ポー ト を生成可能
•
スペ ク ト ラ ム拡散をサポー ト
•
ソ ース同期 XDC テ ンプ レー ト を簡略化
•
ユーザー入力の丸め (1ps) に よ り 拡張不可能な ク ロ ッ ク を回避
•
業界標準ツール と 同様にセ ッ ト ア ッ プ/ホール ド 要件を考慮
•
出力ポー ト で ク ロ ッ ク プ ロ パテ ィ を イ ネーブルに し 、 同時ス イ ッ チ ノ イ ズ (SSN) を位相サポー ト
•
check_timing を改善 し 、 タ イ ミ ン グ チ ェ ッ ク のない接続を持つオブジ ェ ク ト を除外
Vivado I/O プ ラ ンナー
•
SSN 位相サポー ト を追加
°
位相情報でマージ ン を最大 20% 増加
•
新 し いデバ イ ス制約ビ ュ ーに よ り 、 DCI_CASCADE お よ び Intern_Vref 制約を簡単に編集可能
•
ボー ド デザ イ ンの ソ フ ト ウ ェ ア チ ェ ッ ク を向上
°
CFGBVS 設定に基づいて接続情報を提供
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
65
Vivado 2013.1
メ ッ セージ
•
メ ッ セージの質を向上
•
Vivado 統合設計環境 (IDE) の高度な メ ッ セージ制御
°
指定の メ ッ セージ、 同 じ ID のすべての メ ッ セージ、 特定の文字列を非表示
°
メ ッ セージの重要度を変更
Vivado デバ ッ グ
•
デバ ッ グお よ びプ ロ グ ラ ム を高速化
°
•
タ ーゲ ッ ト 通信フ レーム ワー ク (TCF) を介 し たプ ロ グ ラ ム ケーブルの柔軟性 と パフ ォーマ ン ス
使いやすい イ ン タ ーフ ェ イ ス
°
改善 さ れたネ ッ ト 中心のデバ ッ グ ウ ィ ン ド ウ に よ り デバ ッ グ ネ ッ ト を簡単に特定お よ びプ ロ ーブ
°
同時 ILA 波形を比較
°
複数の ILA コ ア を カ ス ケー ド 接続 し 、 外部テ ス ト 装置で ト リ ガー
°
ICON を自動推論 (手動の イ ン ス タ ン シエーシ ョ ンは不要)
°
Zynq-7000 デバ イ ス のプ ロ セ ッ シ ン グ シ ス テ ム (PS) と プ ロ グ ラ マブル ロ ジ ッ ク (PL) 間で相互 ト リ ガー
統合 Vivado シ リ アル I/O 解析
•
IP カ タ ロ グ で IBERT 7 Series GTX、 GTH、 GTP をサポー ト
デバイ ス プ ロ グ ラ ム機能
•
JTAG ケーブルに接続 し 、 デバ イ ス チ ェーン を ク エ リ
•
ザ イ リ ン ク ス 7 シ リ ーズ FPGA デバ イ ス を BIT フ ァ イ ルで直接プ ロ グ ラ ム
•
ザ イ リ ン ク ス 7 シ リ ーズ FPGA デバ イ ス の さ ま ざ ま な ス テー タ ス レ ジ ス タ をチ ェ ッ ク
注記 : ザ イ リ ン ク ス ISE ラ ボ ツールお よ びザ イ リ ン ク ス ISE Design Suite で提供 さ れてい る その他すべての機能は、ザ
イ リ ン ク ス ISE ラ ボ ツールの ス タ ン ド ア ロ ン バージ ョ ン を イ ン ス ト ールする 必要があ り ます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
66
重要な情報
重要な情報
Vivado デザイ ンの Vivado Design Suite 2013.1 への移行
表 6‐2 : Vivado デザイ ン を Vivado Design Suite 2013.1 に移行する際の詳細
2013.1 での変更点
デザイ ンへの影響
解決策
ISE Design Suite と Vivado Design Suite
は個別に イ ン ス ト ール さ れ ま す。 こ
れ ま でのバージ ョ ン では統合 イ ン ス
ト ー ラ ーが使用 さ れ て い ま し た が、
こ の リ リ ー ス の Vivado イ ン ス ト ー
ラ ーには iMPACT お よ び
ChipScope™ Pro Analyzer ツールは含
まれてい ません。
を 使用す る に は、 ISE
ISE Design Suite と Vivado Design Suite ChipScope
の イ ン ス ト ールは個別に実行お よ び Design Suite ま たは ISE ラ ボ ツール
管理す る 必要が あ り ま す。 ほ と ん ど 14.5 を イ ン ス ト ールす る 必要があ り
の既存のデザ イ ン に影響はないはず ます。
で す が、 次 の Vivado IP コ ア お よ び
Vivado IP サ ン プル デザ イ ン を 含む
レ ガ シ ChipScope デ バ ッ グ コ ア
(ICON v1.06a、 ILA v1.05a、 VIO
v1.05a、 ChipScope AXI Monitor) を使
用す る デザ イ ンは例外です。
Vivado IP コ ア :
• Memory Interface Generator (MIG) 7
Series
• Soft Error Mitigation (SEM) IP コ ア
Vivado IP サンプル デザ イ ン :
• Common Packet Radio Interface
(CPRI™) IP コ ア
• JESD2024 IP コ ア
使いやす さ を向上 し 、 階層を わか り
やす く す る ため、 Vivado Design Suite
コ ネ ク テ ィ ビ テ ィ IP が暗号化 HDL
お よ び GT イ ン ス タ ン ス を 含む コ ア
ブ ロ ッ ク と し て提供 さ れ る よ う にな
り ま し た。
IP を 2013.1 バ ー ジ ョ ン に ア ッ プ
デー ト す る と 、 イ ン タ ー フ ェ イ ス
ポー ト の不一致が発生す る 可能性が
あ り ます。
影響を受け る IP :
• QSGMII
• JESD204
• 10G PCS/PMA
• 10G EMAC
• RXAUI
• XAUI
最上位デザ イ ン を 変更す る 必要が
あ り 、 イ ン タ ーフ ェ イ ス ポー ト を最
新の ポ ー ト 名 と 幅に一致 さ せ る 必
要があ り ます。
詳細は、 次を参照 し て く だ さ い。
• ザ イ リ ン ク ス ア ンサー 55077
複数の IP イ ン ス タ ン ス間で共通の ク
ロ ッ ク お よ び リ セ ッ ト を共有で き る
よ う にす る ためザ イ リ ン ク ス IP が変
更 さ れてい ます。
IP を 2013.1 バ ー ジ ョ ン に ア ッ プ
デー ト す る と 、 イ ン タ ー フ ェ イ ス
ポー ト の不一致が発生す る 可能性が
あ り ます。
影響を受け る IP :
• 2013.1 の RXAUI
最上位デザ イ ン の変更が必要 な 場
合があ り 、 イ ン タ ーフ ェ イ ス ポー ト
を 最新の ポ ー ト 名 と 幅に一致 さ せ
る 必要があ り ます。
詳細は、 次を参照 し て く だ さ い。
• ザ イ リ ン ク ス ア ンサー 55078
IP をデバ ッ グ し やす く す る ため、 ザ
イ リ ン ク ス IP の ト ラ ン シーバー デ
バ ッ グ ポ ー ト を 最上位 に移動 し ま
し た。
IP を 2013.1 バ ー ジ ョ ン に ア ッ プ
デー ト す る と 、 イ ン タ ー フ ェ イ ス
ポー ト の不一致が発生す る 可能性が
あ り ます。
影響を受け る IP :
• 2013.1 の RXAUI
最上位デザ イ ン の イ ン タ ー フ ェ イ
ス ポー ト を 最新の ポー ト 名 と 幅に
一致 さ せ る 必要 が あ り ま す。 詳細
は、 ア ンサー 55079 を参照 し て く だ
さ い。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
67
重要な情報
表 6‐2 : Vivado デザイ ン を Vivado Design Suite 2013.1 に移行する際の詳細
2013.1 での変更点
デザイ ンへの影響
解決策
ザ イ リ ン ク ス IP 間で一貫性を持たせ IP を 2013.1 バージ ョ ンにア ッ プデー
る ため、 VHDL お よ び Verilog ベース ト す る と 、 イ ン タ ーフ ェ イ ス ポー ト
のすべてのザ イ リ ン ク ス コ アで大文 名の不一致が発生す る 可能性が あ り
字 と 小文字が混合 し た信号名をすべ ま す。 次に こ の変更の影響を受け る
て小文字に変更 し ま し た。
IP の一部を示 し ますが、 こ の不一致
の問題は 2013.1 バージ ョ ンの ど のザ
イ リ ン ク ス IP で も 発生する 可能性が
あ り ます。
影響を受け る IP の例 :
• Aurora 8B/10B
• Aurora 64B/66B
• AXI Performance Monitor
• Clocking Wizard
• SelectIO™ Wizard
• XADC Wizard
• PCIe® Gen2/Gen3
最上位デザ イ ン の イ ン タ ー フ ェ イ
ス ポー ト を 最新の ポー ト 名に一致
さ せ る 必要があ り ます。
詳細は、 次を参照 し て く だ さ い。
• Aurora 8B/10B :
ザ イ リ ン ク ス ア ンサー 55006
• Aurora 64B/66B :
ザ イ リ ン ク ス ア ンサー 55005
• AXI Memory Mapped to PCIe :
ザ イ リ ン ク ス ア ンサー 55086
• Select IO Wizard :
ザ イ リ ン ク ス ア ンサー 55001
• XADC Wizard :
ザ イ リ ン ク ス ア ンサー 54997
• 7 シ リ ーズ PCIe :
ザ イ リ ン ク ス ア ンサー 55084
• Virtex-7 PCIe Gen3 :
ザ イ リ ン ク ス ア ンサー 55085
Vivado Design Suite のザ イ リ ン ク ス IP
の バー ジ ョ ン 番号は、 同等 の ISE
Design Suite のバージ ョ ン番号 と は異
な り ま す。 Vivado Design Suite のザ イ
リ ン ク ス IP はすべて、 2013.1 用の新
し いバージ ョ ンに移行 さ れています。
Vivado Design Suite のザ イ リ ン ク ス
IP はすべて、2013.1 用の新 し いバー
ジ ョ ン に移行 さ れてい ま す。 以前の
バー ジ ョ ン の IP を 使用 し て い る 場
合、 そのバージ ョ ン を使用 し 続け る
か、 新 し い バ ー ジ ョ ン に ア ッ プ グ
レ ー ド す る か を選択で き ま す。
新 し いバージ ョ ン の IP に ア ッ プ グ
レ ー ド す る 場合、 デザ イ ン の IP イ
ン ス タ ン シ エー シ ョ ン を 変更す る
必要が あ り ま す。
[Save Constraints] コ マ ン ド が正 し く
機能す る よ う にす る ため、 XDC フ ァ
イ ルでサ ポー ト さ れて い な い Tcl コ
マン ド がよ り 厳し く チェ ッ ク される
よ う に し ま し た。
こ の変更に よ り 、 2012.4 以前のバー ツールで管理 さ れない Tcl フ ァ イ ル
ジ ョ ン で機能 し て い た XDC フ ァ イ を デザ イ ン ソ ー ス と し て制約セ ッ
ル を読み込んだ と き に、 ク リ テ ィ カ ト に追加で き る 機能が追加 さ れ て
ル警告 メ ッ セージが表示 さ れ る こ と い ます。詳細は、69 ページの 「Vivado
があ り ます。
XDC の変更」 ま たはア ンサー 54842
を参照 し て く だ さ い。
Vivado 合成お よ び イ ン プ リ メ ン テー
シ ョ ン の -effort オプシ ョ ン が置 き 換
え ら れてい ます。
run を実行す る と 、対応する オプシ ョ
ンに置 き 換え ら れます。
最新の オ プ シ ョ ン お よ び ス ト ラ テ
ジで再実行 し て く だ さ い。
新 し い Vivado デザ イ ンでは XST の使
用はお勧め し ま せん。 XST はデフ ォ
ル ト で非表示に な っ て い ま す。 XST
ス ト ラ テジは [Synthesis Settings] ダ イ
ア ロ グ ボ ッ ク ス では選択で き ません
が、 Tcl コ マン ド では使用で き ます。
な し 。 XST ス ト ラ テ ジ を使用す る 既
存のデザ イ ン は引 き 続 き 実行で き ま
す。 Vivado Design Suite のザ イ リ ン ク
ス IP は、 Vivado 合成を使用 し て作成
お よ び確認 さ れ ま す。 制約 を含む一
部の IP でエ ラ ーま たは警告が発生す
る 可能性があ り ます。
XST ではな く Vivado 合成 ス ト ラ テ
ジ を選択 し て く だ さ い。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
68
重要な情報
Vivado XDC の変更
XDC 制約フ ァ イ ルは、 プ ロ ジ ェ ク ト では Vivado Design Suite に よ り 管理 さ れ、 Vivado IDE でデザ イ ン の フ ロ アプ ラ
ン、 物理配置、 タ イ ミ ン グ制約な ど を変更す る と 、 XDC フ ァ イ ルに制約が記述 さ れ ます。 [File] → [Save Constraints]
ま たは [File] → [Save Constraints As] を ク リ ッ ク を ク リ ッ ク する と 、 制約が XDC フ ァ イ ルに保存 さ れ、 元の制約が変
更 さ れた制約に置 き 換え ら れ る か、 タ ーゲ ッ ト 制約フ ァ イ ルの最後に新 し い制約 と し て追加 さ れ ます。 XDC フ ァ イ
ルでサポー ト さ れ る コ マ ン ド は、 Vivado コ マ ン ド お よ び Tcl ビル ト イ ン コ マ ン ド のサブセ ッ ト です。 XDC フ ァ イ ル
では、 文字列 リ ス ト を操作す る コ マ ン ド 、 プ ロ シージ ャ 、 複雑なループお よ び条件はサポー ト さ れ ません。 こ れは、
Vivado ツールでは、 こ れ ら の制約が メ モ リ 内でデザ イ ンに適用 さ れ、 ツールで こ れ ら の構文を使用 し た制約を確実に
管理お よ び保存で き ないか ら です。 標準 XDC フ ァ イ ルで使用可能な コ マ ン ド の リ ス ト は、 ア ンサー 54842 を参照 し
て く だ さ い。
Vivado Design Suite 2013.1 か ら 、 XDC フ ァ イ ルでサポー ト さ れていない Tcl コ マ ン ド を確認す る 追加のチ ェ ッ ク が実
行 さ れ る よ う にな り ま し た。サポー ト さ れていない Tcl コ マ ン ド が見つか る と 、次の よ う な ク リ テ ィ カル警告 メ ッ セー
ジが表示 さ れます。
"CRITICAL WARNING:[Designutils 20-1307] Command 'lappend', 'lindex', 'lsort', or
'concat' is not supported in the xdc constraint file ...".
2013.1 では、 リ ス ト 操作やループな ど の Tcl のア ド バン ス機能の一部をプ ロ ジ ェ ク ト のデザ イ ン制約 と し て使用で き
る よ う にす る ため、 ツールで管理 さ れない Tcl ソ ース フ ァ イ ルをサポー ト する 新 し い機能が追加 さ れてい ます。 こ の
機能では、 Tcl コ マ ン ド フ ァ イ ルを制約セ ッ ト でデザ イ ン制約の ソ ー ス フ ァ イ ル と し て指定で き ま す。 ス ク リ プ ト
ベース の非プ ロ ジ ェ ク ト フ ロ ーでは、 デザ イ ン フ ロ ーの異な る 段階 (合成後のデザ イ ン を開いた後な ど) で、 汎用 Tcl
ス ク リ プ ト と し て Tcl コ マ ン ド フ ァ イ ルを実行で き ます。 ツールで管理 さ れない Tcl フ ァ イ ルを追加で き る 機能に よ
り 、 複雑な Tcl ス ク リ プ ト を使用 し てデザ イ ン制約を適用で き ますが、 [File] → [Save Constraints] コ マ ン ド を使用 し て
デザ イ ンの変更を ソ ース フ ァ イ ルに保存する こ と はで き ません。 Tcl ソ ース フ ァ イ ルはツールで管理 さ れません。
Vivado 高位合成
•
すべての資料で、 「 ス ループ ッ ト 」 と い う 用語は 「 イ ニ シ エーシ ョ ン イ ン タ ーバル」 ま たは 「 イ ン タ ーバル」
に変更 さ れてい ま す。
°
•
こ れは、 秒ご と の ク ロ ッ ク サ イ ク ル数で表すデザ イ ン スループ ッ ト と の混乱を避け る ためです。
RTL 協調シ ミ ュ レーシ ョ ン フ ロ ーで ModelSim に よ り 検証 さ れた浮動小数点デザ イ ンには、 ラ イ ブ ラ リ を使用す
る 必要があ り ます。
°
ラ イ ブ ラ リ は、 使用す る ModelSim の特定のバージ ョ ン用に コ ンパ イ ルする 必要があ り ます。
注記 : こ の実行方法は、 資料に記載 さ れてい ます。
•
SystemC デザ イ ン と C/C++ デザ イ ン を特定す る 必要はな く な り ま し た。add_files の –type オプシ ョ ンは削除
さ れてい ます。
Vivado IP のバージ ョ ン フ ォ ーマ ッ ト の変更
•
バージ ョ ン フ ォーマ ッ ト と ス ト ラ テジは、 すべてのザ イ リ ン ク ス IP で統一 さ れます。
°
°
•
フ ォーマ ッ ト は 「Major.Minor (Revision)」 です。
-
Major : 大 き な イ ン タ ーフ ェ イ スお よ び動作の変更
-
Minor : 小 さ な イ ン タ ーフ ェ イ スお よ び動作の変更 (1 ま たは 2 つのポー ト 変更)
-
Revision : 動作変更な し
IP に関す る 記述は、 Major.Minor フ ィ ール ド のみに基づいてい ます。
IP カ タ ロ グか ら は、 最新バージ ョ ンの IP のみ生成可能です。
°
それ以前のバージ ョ ンは生成で き ません。
°
デ ィ ス ク 上に存在す る 以前のバージ ョ ンで生成 さ れた既存の IP は、 いつで も 再利用で き ます。
°
削除 さ れた IP を変更ま たは生成す る には、 まず IP を ア ッ プグ レー ド する 必要があ り ます。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
69
重要な情報
既存 IP のア ッ プデー ト
•
•
•
Aurora 64B/66B (v8_0)
°
GTH サポー ト お よ びハー ド ウ ェ ア (HW) 検証
°
Vivado のみの コ ア (レ ガシな し )
°
ポー ト 名をすべて小文字に変更
°
ネ イ テ ィ ブ Vivado シ ミ ュ レー タ /MTI サポー ト
Aurora 8B/10B (v9_0)
°
GTP/GTH サポー ト お よ び HW 検証
°
Vivado のみの コ ア (レ ガシな し )
°
ポー ト 名をすべて小文字に変更
°
ネ イ テ ィ ブ Vivado シ ミ ュ レー タ /MTI サポー ト
PCI Express® Gen3/Gen2
°
GT ラ ッ パーを ア ッ プデー ト
°
プ ロ セ ッ サ間割 り 込み (IP イ ン テ グ レー タ ー ) レベル 0 をサポー ト
°
ルー ト ポー ト サポー ト を追加
•
Zynq 7030 お よ び 7045 デバ イ ス のサポー ト を追加
•
10G イ ーサネ ッ ト MAC
•
•
•
•
°
新 し い階層構造
°
コ ア と サンプル デザ イ ンに個別の XDC フ ァ イ ル
XAUI
°
新 し い階層構造
°
コ ア と サ ン プル デザ イ ン に個別の XDC フ ァ イ ル
°
GTP お よ び GTH ト ラ ン シーバー フ ァ イ ルを ア ッ プデー ト
RXAUI
°
新 し い階層構造、 ク ロ ッ キ ン グお よ び リ セ ッ ト モジ ュ ール
°
コ ア と サンプル デザ イ ンに個別の XDC フ ァ イ ル
°
GTP ト ラ ン シーバー フ ァ イ ルを ア ッ プデー ト
10G Ethernet PCS/PMA (10GBASE-R/10GBASE-KR)
°
新 し い階層構造、 ク ロ ッ キ ン グお よ び リ セ ッ ト モジ ュ ール
°
コ ア と サンプル デザ イ ンに個別の XDC フ ァ イ ル
°
GTX お よ び GTH ト ラ ン シーバー フ ァ イ ルを ア ッ プデー ト
°
Tri-Mode Ethernet MAC
°
Kintex-7 プ ロ ダ ク シ ョ ン
1000BASE-X/SGMII
°
•
QSGMII
°
•
GTP お よ び GTH ト ラ ン シーバー フ ァ イ ルを ア ッ プデー ト
GTP ト ラ ン シーバー フ ァ イ ルを ア ッ プデー ト
GMII to RGMII
°
自動ネ ゴ シエーシ ョ ン ロ ジ ッ ク を ア ッ プデー ト
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
70
既知の問題
•
AXI Ethernet
°
•
SPI-4.2
°
•
Virtex-7 プ ロ ダ ク シ ョ ン
PCI32 お よ び PCI64
°
•
7 シ リ ーズお よ び Zynq-7000 を IP イ ン テ グ レー タ ーでサポー ト
Kintex-7 プ ロ ダ ク シ ョ ン
IP の既知の問題は、 『IP リ リ ース ノ ー ト ガ イ ド 』 (XTP025) [参照 6] を参照 し て く だ さ い。
既知の問題
Vivado Design Suite の既知の問題は、 ア ンサー 5512 を参照 し て く だ さ い。
Vivado Design Suite 2013 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
71
付録 A
その他の リ ソ ース
ザイ リ ン ク ス リ ソ ース
ア ンサー、 資料、 ダ ウ ン ロ ー ド 、 フ ォー ラ ム な ど のサポー ト リ ソ ース については、 次のザ イ リ ン ク ス サポー ト サ イ
ト を参照 し て く だ さ い。
http://japan.xilinx.com/support
ザ イ リ ン ク ス資料で使用 さ れ る 用語集については、 次を参照 し て く だ さ い。
http://japan.xilinx.com/company/terms.htm.
ソ リ ュ ーシ ョ ン セ ン タ ー
デバ イ ス、 ツール、 IP のサポー ト については、 ザ イ リ ン ク ス ソ リ ュ ーシ ョ ン セ ン タ ーを参照 し て く だ さ い。 ト ピ ッ
ク には、 デザ イ ン ア シ ス タ ン ト 、 ア ド バ イ ザ リ 、 ト ラ ブルシ ュ ー ト ヒ ン ト な ど が含まれます。
Xilinx Documentation Navigator
ザ イ リ ン ク ス ツ ールお よ びハー ド ウ ェ ア資料は、Xilinx Documentation Navigator ま たはザ イ リ ン ク ス ウ ェ ブサ イ
ト か ら 表示で き ま す。 Documentation Navigator は、 Vivado™ Design Suite に統合 さ れて い る ザ イ リ ン ク ス 資料お
よ び ビ デオの カ タ ロ グ です。
Documentation Navigator の詳細は、 『Vivado Design Suite ユーザー ガ イ ド : 入門』 (UG910) を 参照 し て く だ さ い。
ラ イ セ ン スおよびエ ン ド ユーザー ラ イ セ ン ス契約
ザ イ リ ン ク ス は、 ザ イ リ ン ク ス デザ イ ン ツールで次のサー ド パーテ ィ ベン ダーの ラ イ セ ン ス を使用す る 許諾を受け
てい ます。 各 ラ イ セ ン ス は、 該当す る ソ フ ト ウ ェ アのみに適用 さ れ る も ので、 その他に適用 さ れ る も のではあ り ませ
ん。 サー ド パーテ ィ の所有す る ラ イ セ ン ス は英文の ま ま記載 し てい ます。 ラ イ セ ン ス フ ァ イ ルを生成する 前に、 ザ イ
リ ン ク ス デザ イ ン ツールお よ びサー ド パーテ ィ 製品のエン ド ユーザー ラ イ セ ン ス契約 (EULA) を許諾 し てお く 必要
があ り ます。
サー ド パーテ ィ ラ イ セ ン ス の詳細お よ び EULA については、
http://japan.xilinx.com/cgi-bin/docs/rdoc?v=2013.4;d=ug763_tplg.pdf を参照 し て く だ さ い。
Vivado Design Suite 2013.4 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
72
リ フ ァ レンス
ザ イ リ ン ク ス デザ イ ン ツール ラ イ セ ン ス の詳細お よ び EULA については、
http://japan.xilinx.com/cgi-bin/docs/rdoc?v=2013.4;d=end-user-license-agreement.pdf を参照 し て く だ さ い。
リ フ ァ レンス
1.
『Vivado Design Suite ユーザー ガ イ ド : ロ ジ ッ ク シ ミ ュ レーシ ョ ン』 (UG900)
2.
『Vivado Design Suite ユーザー ガ イ ド : パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン』 (UG909)
注記 : この資料は、リクエストに応じて配布させていただきます ( ア ンサー 52794 参照)。
3.
『Vivado Design Suite チ ュ ー ト リ アル : パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン』 (UG947)
注記 : この資料は、リクエストに応じて配布させていただきます ( ア ンサー 52794 参照)。
4.
『Vivado Design Suite ユーザー ガ イ ド : 階層デザ イ ン』 (UG905)
5.
『Vivado Design Suite チ ュ ー ト リ アル : 階層デザ イ ン』 (UG946)
注記 : この資料は、リクエストに応じて配布させていただきます ( ア ンサー 52794 参照)。
6.
IP リ リ ース ノ ー ト ガ イ ド (XTP025)
7.
USB ケーブル イ ン ス ト ール ガ イ ド (UG344)
8.
Platform Cable USB II デー タ シー ト (DS593)
9.
Parallel Cable IV デー タ シー ト (DS097)
10. ザ イ リ ン ク ス ダ ウ ン ロ ー ド セ ン タ ー (http://japan.xilinx.com/support/download/index.htm)
11. Xilinx Design Tools WebTalk ページ (http://japan.xilinx.com/ise/webtalk/)
12. Vivado Design Suite ビデオ チ ュ ー ト リ アル (http://japan.xilinx.com/training/vivado/index.htm)
13. Vivado Design Suite 資料 (japan.xilinx.com/support/documentation/dt_vivado2013-4.htm)
Vivado Design Suite 2013.4 リ リ ース ノ ー ト
UG973 (v2013.4) 2013 年 12 月 20 日
japan.xilinx.com
Send Feedback
73
Fly UP