...

この報告書の要約をダウンロードする

by user

on
Category: Documents
19

views

Report

Comments

Transcript

この報告書の要約をダウンロードする
15 先 端 -7
調査研究報告書の要約
I・3
分類・テーマ別
書
名
発行機関名
発行年
1・ 12
分 類・業 種 別
平 成 15 年 度 半 導 体 製 造 装 置 技 術 ロ ー ド マ ッ プ に 関 す る 調 査 研 究 報 告 書
社団法人
日本機械工業連合会・社団法人 日本半導体製造装置協会
H16(2004)
頁
数
572 頁
識別
15 先 端 -7
[目 次 ]
第1編
活動にあたって
第2編
リソグラフィ
第3編
ウェーハプロセス
第4編
Modeling & Simulation
第5編
計測
第6編
組立
第7編
検査
第8編
Factory Integration
[要 約 ]
第 1 編 活動にあたって
半 導 体 技 術 ロ ー ド マ ッ プ (ITRS2003)で は 、 デ バ イ ス の 微 細 化 ス ケ ジ ュ ー ル の ペ ー ス を 維
持 し て 行 く こ と が 明 記 さ れ 、 特 に MPU の 高 速 化 実 現 の 為 、 ゲ ー ト 線 幅 の 微 細 化 が 重 要 と 記
述されている。現在、半導体生産の世界地図は大きく変貌し製品の違いから装置に対して
異 な る 要 求 か ら 装 置 メ ー カ は リ ソ ー ス を ど う 投 入 す る か 等 、難 し い 判 断 が 求 め ら れ て い る 。
半導体産業復権へ向けてスタートした日本の国家プロジェクト及び民間プロジェクト
においてデバイス微細化、高性能化、低消費電力化へ先行した技術開発が進み、新技術・
新材料が相次ぎ発表されるに至っている。
こ の よ う な 半 導 体 産 業 を 取 り 巻 く ダ イ ナ ミ ッ ク な 動 き に 対 応 す べ く 、 2003 年 度 の SEAJ
-1-
装置技術ロードマップ専門委員会では、リソグラフィ、ウェーハプロセス、モデリング&
シ ミ ュ レ ー シ ョ ン (M&S)、 計 測 、 組 立 、 検 査 、 フ ァ ク ト リ ー イ ン テ グ レ ー シ ョ ン (FI)の 各
WG に 亘 っ て 、 ITRS2003 ノ ー ド 及 び そ こ に 示 さ れ る 技 術 要 求 に 対 応 す る よ う 2002 年 度 版 更
新 並 び に 、新 材 料 成 膜 装 置 技 術 、in-line 膜 厚 計 測 、in-situ 計 測 、ウ ェ ー ハ レ ベ ル バ ー ン
イ ン な ど SEAJ 独 自 の 活 動 に も 注 力 し た 。
市 場 ニ ー ズ に 端 を 発 し 、デ バ イ ス メ ー カ を 中 心 に 検 討 さ れ た デ バ イ ス プ ロ セ ス ロ ー ド マ
ッ プ を 遵 守 す る に は 、コ ン ソ ー シ ア ム 等 の 基 礎 技 術 力 、デ バ イ ス メ ー カ の プ ロ セ ス 技 術 力 、
装 置 メ ー カ の ハ ー ド /ソ フ ト 技 術 力 が 一 体 と な っ て 技 術 の 難 問 を 解 決 し て い く 必 要 が 有 る 。
SEAJ の 装 置 技 術 ロ ー ド マ ッ プ 専 門 委 員 会 で は 、 ハ ー ド /ソ フ ト 面 か ら 解 決 す べ き 課 題 に つ
い て 本 報 告 書 と し て 纏 め 、半 導 体 製 造 装 置 業 界 は じ め 、コ ン ソ ー シ ア ム 、国 研・大 学 の 方 々 、
デバイスメーカの方々、部材メーカの方々への共通の物差し・目標として広く活用して頂
き 、 連 携 す る こ と に よ っ て 関 係 業 界 ・ 団 体 と の Win-Win の 関 係 を 実 現 さ せ た い と 考 え て い
る。今後とも内容の充実を図ると共に、経済性や環境、エネルギー問題なども視野に入れ
た技術課題を明確にし、課題解決に集中できる体制を構築・提案していけるよう努力して
いく所存であり、デバイスメーカ、部材メーカ及び大学・国研関係者の特段のご協力とご
鞭撻をお願いする次第である。
本 報 告 書 は 2003 年 度 の 活 動 内 容 を 纏 め た も の で あ り 、 参 画 委 員 は じ め 関 係 各 位 の 多 大
な努力はもとより、デバイスメーカ、部材メーカ、大学関係者のご協力をもって完成され
たものである。ここに改めて感謝申し上げます。
第 2 編リソグラフィ
ITRS な ら び に STRJ の デ バ イ ス ロ ー ド マ ッ プ に は 、 デ バ イ ス メ ー カ の 観 点 に よ る 「 将 来
デバイスの実現に必要な、リソグラフィ装置の製品化要求」が示されている。それに対し
てこのリソグラフィ装置技術ロードマップでは、
「デバイスメーカから要求されるリソグラ
フィ装置」と「その装置を実現するために必要な技術開発、課題と解決策や候補、装置メ
ー カ か ら の 提 言 」を 明 確 に 対 比 し て 示 し 、
「 業 界 関 係 者 間 の 技 術 情 報 の 共 有 」に 役 立 て た い
と 考 え て い る 。2003 年 度 版 で は 、ITRS2003 に 合 わ せ た 改 訂 と 共 に 、章 構 成 の 見 直 し 、新 規
露光技術の体系付け直しなどの改訂を行った。
対 象 と す る ロ ー ド マ ッ プ は ITRS2003 を ベ ー ス と し 、 2002 年 115nm か ら 2011 年 40nm の
DRAM 1/2 Pitch ま で を 対 象 範 囲 と し た 。 ま た 、 通 常 の ロ ー ド マ ッ プ は テ ク ノ ロ ジ ー ノ ー ド
-2-
毎( 90nm、65nm 等 )に 示 さ れ る が 、リ ソ グ ラ フ ィ 装 置 で は よ り 細 か な 時 間 軸 区 分 が 必 要 で
あ る た め 、1 年 毎 の ロ ー ド マ ッ プ と し た 。
( DRAM 1/2 Pitch 2008 年 57nm、 2009 年 50nm、
2011 年 40nm と し た 。)
リ ソ グ ラ フ ィ 方 式 と し て は 、現 在 、我 が 国 で 検 討・開 発 さ れ て い る 技 術 を 中 心 に 記 述 し 、
また新規露光技術方式として提案されてきている技術の紹介とそれぞれの方式と位置付け
を 明 確 に し た 。ま た 露 光 装 置 の み で は な く 関 連 技 術 で あ る 光 源 技 術 、マ ス ク 描 画 技 術 、 コ
ータデベロッパなどに関しても検討を行った。本年度版より更に露光技術に不可欠である
マスク、レジストについても現状と今後の課題の記述を盛り込んだ。
リ ソ グ ラ フ ィ 方 式 は 大 別 し て 光 リ ソ グ ラ フ ィ と 電 子 ビ ー ム (EB)リ ソ グ ラ フ ィ の 2 通 り
に分けられる。光リソグラフィとして実績のある光露光装置は微細化に対応して短波長化
が 進 み 、現 在 は 248nm、193nm と い っ た エ キ シ マ レ ー ザ を 光 源 と す る 露 光 装 置 が 実 用 化 さ れ 、
そ の 延 長 と し て 液 浸 技 術 、 157nm 露 光 装 置 の 開 発 が 進 め ら れ て い る 。 ま た 、 126nm( Ar2)
等 の 可 能 性 も 検 討 さ れ て い る 。 さ ら に 1∼ 2 桁 短 波 長 の 光 を 用 い た EUV、 PXL と い っ た リ ソ
グラフィ方式は以前から実用化を目指した研究に取り組んでいる。
EB 露 光 は 微 細 加 工 能 力 を 生 か し 、マ ス ク 製 作 手 段 へ の 利 用 と 共 に 先 端 デ バ イ ス R&D 用 と
し て 既 に 確 立 さ れ た 技 術 で あ る 。近 年 、半 導 体 製 造 に 適 し た 高 ス ル ー プ ッ ト 対 応 EB 露 光 方
法 と し て 、 EPL( EB Projection Lithography)・ PEL( Proximity EB Lithography) と い っ
た マ ス ク 投 影 方 式 と ML2( Maskless Lithography) に 大 別 さ れ る 様 々 な 方 式 が 提 案 さ れ て
い る 。EB 露 光 は 解 像 度 が 高 く 、光 露 光 が 比 較 的 苦 手 な CH( コ ン タ ク ト ホ ー ル )露 光 等 で 威
力 を 発 揮 す る 。 ま た ML2 は デ バ イ ス 毎 に マ ス ク を 製 造 す る 必 要 が な い た め 、 マ ス ク の 製 造
TAT( Turn Around Time) が 問 題 と な る デ バ イ ス 生 産 や 次 世 代 デ バ イ ス の 開 発 ・ 試 作 に 適 し
た方式である。
ここでは、デバイスの多様化に伴い、開発、生産、販売などの形態が変化する状況を考
慮し、現在提案されている露光方式の特徴から、各種適用の可能性を検討した。
第3編
ウェーハプロセス
第1章
基板形成装置
歪 Si デ バ イ ス 製 作 用 と し て 重 要 と な る Si 及 び SiGe の エ ピ タ キ シ ャ ル 成 長 装 置 の 成 膜
方式は、枚葉方式(コールドウォール型)とバッチ方式(ホットウォール型)がある。枚
葉 方 式 で は ス ル ー プ ッ ト の 向 上 が 重 要 で あ り 、 例 え ば プ ラ ズ マ CVD 法 採 用 等 の 高 デ ポ レ ー
-3-
ト化の検討が必要である。バッチ式はホットウォール型のため、炉内堆積物除去のための
セルフクリーニング技術開発が急務である。
SOI 基 板 形 成 装 置 と し て 高 速 、低 消 費 電 力 LSI 用 SOI 基 板 の 代 表 的 な 3 つ の 基 板 (UNIBOND、
ELTRAN(Epitaxial Layer Transfer)及 び SIMOX(Separation by Implanted Oxygen))の 製 作
法概略、装置技術課題と解決策候補技術について検討した。
第2章 洗浄・乾燥装置
デバイス構成一部材料の金属への変更に伴う超精密洗浄に加え金属膜共存ウェーハの
洗浄後表面や成膜後のパーティクルや金属汚染レベルの厳しい抑制が必要である。配線プ
ロ セ ス で は 、 Low-k 材 、 エ ッ チ ン グ (ア ッ シ ン グ )の 種 々 組 合 せ の 考 慮 が 必 要 で あ る 。 各 種
金属材料導入により装置へのクロスコンタミネーションの厳しい抑制やウェーハ裏面やベ
ベル洗浄も必要になる。洗浄での表面ラフネス、高アスペクト比ビアホール洗浄での薬液
や純水浸入・乾燥困難、物理洗浄でのパターンダメージなどの解決策を検討した。
第3章
熱処理装置
デ バ イ ス の 高 集 積 化 に 伴 う 微 細 化 の 進 展 の た め に USJ( Ultra Shallow Junction) の 形
成 が 必 須 で あ り 、 こ れ を 前 提 と す る 熱 処 理 で は 以 下 の 2 条 件 を 満 た す 必 要 が あ る (1)イ オ
ン 注 入 層 の 結 晶 性 回 復 及 び ド ー パ ン ト の 十 分 な 活 性 化 (2)所 望 の 注 入 プ ロ フ ァ イ ル の 維 持 。
ITRS 2003 で の 解 決 策 候 補 は 、 短 期 的 に は 極 低 加 速 イ オ ン 注 入 や PIII(Plasma Immersion
Ion Implantation)に よ る 不 純 物 導 入 と ス パ イ ク ア ニ ー ル 等 の 技 術 に よ る 不 純 物 活 性 化 の
組 合 せ で あ り 、 そ の 後 は FLA(Flash Lamp Anneal)や LA(Laser Annealing)の ア ニ ー ル 技 術
である。候補技術概要を、熱源を用いる加熱、光パルスによる加熱に分けて検討した。
第4章
薄膜形成装置
ゲート絶縁膜形成用プラズマ装置には、プラズマダメージフリーと金属汚染フリーが望
ま れ 、 プ ラ ズ マ の 低 電 子 温 度 化 、 イ オ ン 照 射 エ ネ ル ギ ー 7eV 以 下 、 プ ラ ズ マ 電 位 10V 程 度
の必要がある。プラズマ源には、高周波リモートプラズマ、マグネトロンプラズマ及びマ
イ ク ロ 波 励 起 プ ラ ズ マ 等 が 提 案 さ れ て い る 。High-k 材 料 と し て Hf‐ O 系 薄 膜 等 が 検 討 さ れ
て お り 、 現 状 装 置 と し て ス パ ッ タ や CVD が 用 い ら れ て い る 。 High-k 成 膜 方 法 は 組 成 制 御
性 で MOCVD 法 が 優 れ て い る が 、 膜 厚 均 一 性 確 保 か ら ALD 装 置 が 採 用 さ れ る 可 能 性 が あ る 。
そ の 他 、DRAM キ ャ パ シ タ 用 、FeRAM 用 、MRAM 用 、メ タ ル 配 線 用 、配 線 層 絶 縁 用 の 各 薄 膜 形
成装置についても検討した。
-4-
第5章
エッチング装置・アッシング装置
ゲート電極形成工程では、リソグラフィの解像限界以下の物理ゲート長をトリミング技
術によって実現することが求められている。エッチングに対しては、下地の極薄ゲート絶
縁 膜 に 対 す る 超 高 選 択 性 と 微 細 化 に 対 応 し た 厳 し い CD 制 御 が 求 め ら れ る 。 ま た 、 LER(ラ
イ ン 端 荒 さ : Line Edge Roughness) が リ ー ク 電 流 に 影 響 す る こ と か ら 、 LER の 抑 制 が CD
制御に対して重要なものとなっている。ゲート用エッチング装置の課題と解決策候補をプ
ラズマ源、エッチング室、排気系、装置構成の構成要素ごとに検討した。
ま た 、STI 用 、キ ャ パ シ タ 電 極 用 、絶 縁 膜 用 、新 分 野 (FeRAM、MRAM、シ リ コ ン デ ィ ー プ )の
各エッチング及びアッシング装置について検討した。
第 6 章 イオン注入装置
現行コンセプト装置の最大の問題点は低エネルギー領域におけるビーム電流量の低下
で 、 生 産 性 に 限 界 が 来 る こ と が 予 想 さ れ て い る が 、 65∼ 45nm 以 降 で は 継 続 的 な 革 新 的 技
術 導 入 が 図 ら れ て い る 。現 在 そ の 分 野 で の 、研 究 、検 討 が 進 め ら れ て い る 技 術 の 内 、一 番
検 討 が 進 ん で い る の は プ ラ ズ マ ド ー ピ ン グ (PIII)や イ オ ン シ ャ ワ ー (Ion Shower:Ion Beam
Implantation without Mass Separation)で あ る 。 解 決 策 候 補 技 術 と し て 、 S/D・ イ ク ス テ
ン シ ョ ン 、 チ ャ ネ ル 部 、 ゲ ー ト 部 、 ウ ェ ル 、 イ オ ン 種 拡 大 ・安 全 性 確 保 技 術 、 低 温 注 入 、
プラズマドーピングの分類で検討した。
第 7 章 CMP 装 置
CMP プ ロ セ ス の 性 能 に は 平 坦 性 、 均 一 性 、 研 磨 レ ー ト が あ り 、 要 求 値 に は 研 磨 時 間 、 研
磨 圧 力 、相 対 速 度( 研 磨 ヘ ッ ド と 研 磨 定 盤 の 回 転 数 を 表 示 )、ス ラ リ ー 材 料 の 種 類 と 供 給 量 、
研 磨 パ ッ ド 材 料 の 種 類 な ど が 条 件 と し て 示 さ れ 、ポ リ シ ン グ 後 洗 浄 性 能 も 評 価 対 象 と な る 。
配 線 に 関 連 す る 要 求 技 術 は 、 新 材 料 へ の 対 応 、 平 坦 性 向 上 な ど が あ る 。 ま た 低 CoO の 面 で
は、多層化に伴って配線工程の占める影響度が大きくなり、装置への要求レベルは一層高
く な る 。 CMP 装 置 の 検 討 で は 不 可 欠 な Cu 配 線 お よ び Low-k 膜 に つ い て 検 討 し た 。
第8章
Cu め っ き 装 置
配線膜質向上のためにウェーハエッジのシールや接点及び電場の最適化、液流の制御、
アノードの最適化、洗浄の最適化、高純度めっき液及びブラックフィルムコントロールな
どが、歩留まり向上にはめっき液および添加剤の濃度制御やハンプフリーめっき及びエッ
ジエッチングのビルトインが、生産性向上には前後の工程の要求を考慮した装置設計及び
プロセス組み込み最適化、各ステップの高速化が挙げられる。微細化に伴う高アスペクト
-5-
比形状への埋め込み技術、高アスペクト比構造や極薄バリア膜寸法測定、その他バリアメ
タルダイレクトめっきや絶縁膜ダイレクトめっきに関しては新バリア材料及び無電解めっ
き 、 Cu 拡 散 防 止 絶 縁 膜 の 開 発 が 挙 げ ら れ 、 こ れ ら に つ い て 検 討 し た 。
第9章
関連する機器、システム、部材
真 空 ポ ン プ は 、消 費 電 力 の 半 導 体 工 場 全 体 に 対 す る 割 合 が 比 較 的 大 き く 、消 費 電 力
削減目標に対する代表例としてドライポンプとクライオポンプについて省エネルギ
ー 対 策 を 検 討 し た 。 高 周 波 電 源 は、主 にエッチング・CVD・アッシング・スパッタリング・酸 /
窒 化 装 置 のプラズマ発 生 用 エネルギー源 として使 用 され、アプリケーションごとの要 求 技 術 も
多 種 多 様 だが、共 通 な要 求 である省 エネルギー、小 形 化 、高 出 力 化 、広 い出 力 範 囲 での高
精 度 化 、マルチ周 波 数 化 等 を検 討 した。
第4編
Modeling and Simulation
半導体製造において、プロセスやデバイスのシミュレーション技術は比較的発達して
おり、また設計現場ではルーチンワーク化した計算機シミュレーションが実用化されてい
る。一方装置シミュレーション技術は特に物理・化学的なモデルをベースとする必要性か
ら一般的に難度が高く、設計現場でルーチンワーク化するまでには至っていない。
M&S の 第 一 の 意 義 は 、 開 発 期 間 短 縮 と 開 発 コ ス ト 低 減 で あ り 、 試 行 錯 誤 で の 装 置 性 能 改
善の一部でも計算機シミュレーションに置き換えれば開発時間と費用の大幅削減が期待で
きる。計算機シミュレーション発達による期待効果として新規アイデアによる半導体製造
装置の開発もあげられ、新装置開発をアイデア段階で検討が出来れば、装置開発期間とコ
ス ト の 大 幅 削 減 が 期 待 で き る 。 更 に は 、 装 置 設 計 用 CAD と し て M&S の 利 用 が 期 待 さ れ る 。
プ ロ セ ス 装 置 の 高 性 能 化 に 伴 い M&S 単 独 技 術 で は 不 十 分 で 、 M&S 技 術 と 制 御 技 術 と の 統
合で活用される時代になってきている。また制御技術の高機能化の為にプロセス装置内の
プ ロ セ ス 状 況 診 断 用 Virtual Sensor の 設 計 (モ デ リ ン グ )と い う 新 た な 技 術 が 要 求 さ れ つ つ
あ り 、こ の よ う な M&S 技 術 は 、制 御 技 術 と し て 注 目 さ れ て い る APC と 密 接 に 関 係 し て い る 。
こ こ で は 、 各 装 置 ・ 技 術 の M&S に 関 す る 現 状 分 析 を 行 い 、 次 に ニ ー ズ の 観 点 か ら 、 M&S 利
用者の装置設計者・プロセス開発者が将来にわたって要求するであろう項目を検討した。
更 に シ ー ズ の 観 点 を 加 味 し 、 M&S の 技 術 開 発 に お け る 解 決 策 ・ 課 題 を 予 測 し た 。 本 ロ ー ド
マ ッ プ で の は 、 熱 処 理 、 熱 CVD、 プ ラ ズ マ CVD、 PVD、 イ オ ン 注 入 、 エ ッ チ ン グ の 各 装 置 、
そ の 他( め っ き 、CMP、洗 浄 、露 光 、塗 布 )、計 算 機 技 術 、制 御 技 術 を 検 討 対 象 項 目 と し た 。
-6-
今 回 、 M&S に 欠 か せ な い 計 算 手 法 ・ 計 算 機 性 能 に 関 す る 検 討 も 行 い 、 計 算 機 技 術 と し て
一節を設けた。また、近年プロセスが複雑化し種々の現象間での干渉が無視出来なくなっ
てきており、装置を効率的良く動作させるためには、制御とモデリングが融合したモデル
ベ ー ス 制 御 や ば ら つ き を 抑 え る た め の APC(Advanced Process Control)の よ う な 技 術 が 重
要性を増してきた。本ロードマップではモデリング技術と制御技術が融合したような分野
も検討範囲に含め、制御技術として検討し一節にまとめた。
第5編
計測
計 測 W G で 取 り 扱 う 範 囲 は 、ITRS の Metrology よ り 形 状 観 察 、微 量 分 析 、膜 厚 測 定 の 3
分 野 、 Yield Enhancement よ り 欠 陥 検 査 、 そ し て 最 近 特 に 要 望 の あ る in-situ 計 測 の 計 5
分野とする。
微細化が更に加速される中、計測についてもその延長線上でその要求精度が上がってき
て い る が 、幾 つ か の 計 測 に つ い て は 解 の な い い わ ゆ る Red Brick Wall に 突 き 当 た っ て い る 。
これらの項目については、原理的な見直しが進められているほか、他の計測手法の見直し
が 行 わ れ て い る 。一 方 現 在 解 が あ る 計 測 項 目 に つ い て も 、Red Brick Wall が 近 づ い て お り 、
要 求 精 度 の 見 直 し に つ い て 議 論 す べ き と の 意 見 も あ る 。微 細 化 が 進 む 中 で 、新 材 料 採 用 や 、
新デバイス開発などで新たな計測対象や計測項目が増大しており、さらには従来のオフラ
イ ン 計 測 か ら イ ン ラ イ ン 計 測 へ 、 さ ら に は in-situ 計 測 な ど プ ロ セ ス か ら の 要 求 は 広 範 囲
にかつ複雑化している。
ITRS の 中 で は 、量 産 化 の 2 年 前 ま で に プ ロ セ ス 装 置 開 発 に 必 要 な 評 価 装 置 提 供 が 必 要 で
あるというかなり困難な課題が提起された。
計 測 対 象 が R&D 用 途 で あ る 内 は オ フ ラ イ ン 計 測 で 充 分 で あ る が 、 そ の 対 象 が 、 品 質 管 理
や 故 障 解 析 用 途 に な る と 、オ ン ラ イ ン 計 測 や 、イ ン ラ イ ン 計 測 が 必 須 と な る 。計 測 対 象 が プ
ロ セ ス パ ラ メ ー タ と な る と 、更 に 進 ん で 、in-situ 計 測 が 必 要 と な る 。こ の 変 遷 に 伴 い 、技
術的難易度も上がり、コストも上昇するが、性能上での高度さと、期待されているコスト
との間に大きな格差がある。
第6編
組立
第1章
バックグラインディング
SiP や IC カ ー ド な ど に 使 用 さ れ る 50μ m 以 下 の 極 薄 チ ッ プ は 、チ ッ プ 抗 折 強 度 向 上 、チ
-7-
ップ反り低減のため裏面の機械的ダメージ除去が必要となる。グラインダ研削とダメージ
層 除 去 を 組 合 わ せ た 加 工 法 や 、 薄 片 化 に 伴 う 抗 折 強 度 改 善 、ハンドリング時 の割 れへの対 応 、
バンプ付 きウェーハ等 への対 応 、後 工 程 で発 生 する諸 問 題 への対 応 につき検 討 した。
第2章
ダイシング
デ バ イ ス の 高 ク ロ ッ ク 化 、 低 消 費 電 力 化 、 小 型 化 の 市 場 要 求 に 対 し 90nm プ ロ セ ス に よ
る量産が本格的に開始され配線寸法縮小による配線間の電気的結合容量低減のため低誘電
率 (Low-k)絶 縁 膜 の 使 用 が 必 須 で あ る 。代 表 的 な 低 誘 電 率 の 材 料 と し て Black Diamond 等 は
機械的強度が低く、ダイシング工程でもダイヤモンドブレードの摩擦や切削水の水流によ
る膜剥がれ等を招き、対応策としてショートパルスレーザによるレーザグルービング、や
NIR( 近 赤 外 )レ ー ザ に よ る ウ ェ ー ハ 加 工 方 法 が あ る 。そ の 他 、薄 ウェーハ対 応 、新 たなダイ
シング技 術 への取 り組 み、洗 浄 効 率 化 、難 削 材 に対 する対 応 を検 討 した。
第3章
ボンディング
ダイボンディングへの技 術 要 求 は、デバイス側 からは、ウェーハ径 大 口 径 化 、ダイサイズ大 型
化 、 ダ イ薄 型 化 への 対 応 、 パッケージ側 か らは、 極 薄 小 型 パッケ ージ、 ダ イ積 層 パッケ ージ 、
MCP への対 応 が求 められ、主 な対 応 につき検 討 した。
チップと外 部 端 子 を接 続 する方 法 はいくつか存 在 するが、ボールボンディング方 式 のワイヤボ
ンディングによる接 続 は最 も使 用 頻 度 の高 い工 法 であるが、他 の方 式 (主 にフリップチップ工 法
等 )も増 加 傾 向 にある。ここでは ワ イ ヤ ボ ン デ ィ ン グ 、TAB、フリップチップボンディング につ
いて検 討 した。
第4章
パッケージング
パッケージを構 成 する構 造 や材 料 では、チップ厚 が 100μm を切 る薄 型 化 やそのスタック、ま
た、ワイヤではパッドピッチが 50μm 以 下 かつφ20μm を切 る細 線 化 やチップスタック品 の異 形
かつ長 ワイヤの採 用 増 加 等 、パッケージ内 のチップとワイヤの微 細 化 を検 討 した。
封 止 樹 脂 で は 主 に 環 境 対 策 を 目 的 と し た Pb、 Sb、 Br フ リ ー 化 、 フ リ ッ プ チ ッ プ の ア ン
ダーフィルや微細ワイヤに対応したファインフィラと低粘度化が進行するが、簡易封止性
の良い液状樹脂に比べコストや信頼性に優位な固形樹脂の主導が続くと予測される。
パッケージ封止成形技術ではマルチプランジャ式のトランスファモールドが今後も主
流として用いられるが、フリップチップ接合部などの部分封止成形パッケージや少量生産
品には、その簡易性から液状樹脂で封止成形するポッティングや印刷法が用いられる。
パ ッ ケ ー ジ 端 子 成 形 は QFP な ど 金 属 リ ー ド フ レ ー ム の パ ッ ケ ー ジ を 金 型 で 端 子 成 形 す る
-8-
方 式 と 、 BGA や CSP パ ッ ケ ー ジ な ど ボ ー ル 状 端 子 を は ん だ 材 で 成 形 す る 方 式 が あ り 、 後 者
は高密度化に対応したパッケージとして今後も急激に普及拡大することが予測される。
個 片 化 装 置 は 従 来 の 金 型 だ け で な く 、 特 に リ ー ド フ レ ー ム や 樹 脂 基 板 お よ び WLP な ど 一 括
モールドされたパッケージの個片化はダイサ切断が主流であるが、ウォータジェットやレ
ーザ等による切断装置も更なるコスト低減ニーズを解決するものとして検討した。
第 5章
マーキング
マーキング方式としては主にインク方式とレーザ方式があり、インク方式ではスタンプ
等によるパッド印刷方式とインクフィルムをレーザで転写する方式等が用いられている。
一 方 、 レ ー ザ 方 式 で は 主 に CO2 レ ー ザ と YAG レ ー ザ 方 式 が 用 い ら れ る 。 今 後 の 全 体 動 向 は
文字の微細化や高速化が要求され、更にはパッケージや材質の多様化への対応等から微細
で印字内容がフレキシブルなレーザマークの割合が増加するものと予測される。
第6章
システム・イン・パッケージの動向
半 導 体 パ ッ ケ ー ジ 動 向 は 次 の LSI 実 装 に 関 し て 小 型 化 ・ 軽 量 化 の 方 向 と 多 端 子 化 で あ る
が、電子システムに関しては単なる機能と高速化だけでは収まらず、大容量と超高速演算
及 び 超 高 速 伝 送 を 低 エ ネ ル ギ ー 、低 コ ス ト 且 つ 短 い 開 発 期 間 で 提 供 す る こ と が 求 め ら れ る 。
対 応 す る 技 術 と し て 、マ ル チ チ ッ プ モ ジ ュ ー ル (MCM)と そ の ア ド バ ン ス 技 術 と し て の シ ス テ
ム・イ ン・パ ッ ケ ー ジ (SiP)あ る い は シ ス テ ム・オ ン・パ ッ ケ ー ジ (SoP)が 注 目 さ れ て い る 。
SiPの 最 適 化 に は 半 導 体 デ バ イ ス プ ロ セ ス と 設 計 、 基 板 設 計 及 び 筐 体 設 計 と 半 導 体 パ ッ
ケ ー ジ の 各 技 術 の 融 合 が 必 要 で あ る 。 SiPに お け る 3次 元 化 LSIと マ ル チ チ ッ プ LSIの 平 面 置
き の ミ ッ ク ス が 今 後 の 主 流 の 動 き と な り 、イ ン タ ー ポ ー ザ ー の 重 要 性 が 際 立 っ て く る 。LSI
と イ ン タ ー ポ ー ザ ー 融 合 の た め の 材 料・装 置 開 発 が 進 む 。周 辺 技 術 と 言 わ れ て い た 、材 料 、
部品、熱設計、冷却、ノイズ削減とテスト解析評価の各技術が今後の重点的技術研究開発
必 要 事 項 と な る 。こ れ ら を ま と め る 統 合 設 計 CAD技 術 と 10μ m を 切 る 接 合 技 術 な ど の 装 置 や
生産設備開発が先行して進んでいくものとして検討した。
第7編
検査
第1章
テスタ
今 年 度 は STRJ の デ バ イ ス ・ ロ ー ド マ ッ プ が 更 新 さ れ 、 こ れ に 合 せ て 汎 用 DRAM テ ス タ 、
SoC 用 テ ス タ 、 フ ラ ッ シ ュ メ モ リ 用 テ ス タ の ロ ー ド マ ッ プ に つ い て 見 直 し を 進 め た 。 ま た
「 環 境 保 全 へ の 取 組 み 」と し て テ ス タ で は 消 費 電 力 の 削 減 が 課 題 で あ り 、DUT (Device Under
-9-
Test) 1 個 あ た り の テ ス タ 消 費 電 力 に つ い て そ の 動 向 を 盛 り 込 ん だ 。 今 後 の 技 術 課 題 と し
て、
「 信 号 の 低 電 圧 低 電 力 化 、微 小 電 流 測 定 」、
「 KGD 流 通 に 向 け た ウ ェ ー ハ 検 査 技 術 の 確 立 」、
「 ATE 装 置 専 用 部 品 の 流 通 」 お よ び 「 低 コ ス ト 化 要 求 」 に つ い て 検 討 し た 。
第 2 章ウェーハプローバ
近年の半導体集積回路製造技術の微細化や大規模化に伴い、位置決め装置の位置認識技
術 や 機 械 的 精 度 向 上 、デ バ イ ス 多 ピ ン 化 に 伴 う テ ス タ の テ ス ト ヘ ッ ド 重 量 増 大 や プ ロ ー ブ
カード接触圧力増加等に依る機械強度確保ならびにウェーハチャック質量増加に対する
移 動 加 速 度 に 依 る 振 動 抑 制 、パ ッ ケ ー ジ 技 術 進 化 に 伴 う ウ ェ ー ハ 厚 減 少 に 対 す る 搬 送 技 術 、
プ ロ ー ブ カ ー ド 形 態 多 様 化 に 対 す る プ ロ ー ブ 先 端 位 置 認 識 技 術 向 上 、 DUTの 省 電 力 化 や 高
速化に伴うノイズ抑制、ミニファブから要求される装置フットプリント抑制や省電力化
等 々 、様 々 な 観 点 か ら 相 反 す る 装 置 要 素 の 整 合 と そ れ を 実 現 す る ブ レ ー ク ス ル ー が 求 め ら
れており、各々検討した。
第3章
プローブカード
半導体デバイスの設計動向及びテスタの機能や性能動向に対する、プローブカードの
機 械 的・電 気 的 機 能 や 性 能 の 動 向 を 検 討 の 範 囲 と し た 。
プローブカードは接触端子の機
械的動作原理からカンチレバー型、ヴァーチカル型、メンブレン型の 3 つに大別される。
今後の先進的プローブカードに於いても接触端子の機械的動作原理は最終的に上記 3 つの
何 れ か に 帰 着 す る 。技 術 要 求 に 対 す る ソ リ ュ ー シ ョ ン と し て こ れ ら 3 タ イ プ の 折 衷 案 的 な
構 造 が 提 唱 さ れ る 事 も 考 え ら れ る が 、本 項 で は 外 観 上 で 母 体 と な っ て い る 構 造 を 基 準 に 分
類 す る の で は 無 く 、あ く ま で も 接 触 端 子 部 の 機 械 的 動 作 原 理 を 基 準 と し て 上 記 3 タ イ プ に
分類して検討した。
第4章
ハンドラ
既存ロードマップの再見直しと既存ハンドラの動向見直しに加え、新たに自重落下ハン
ドラのロードマップ作成とストリップ・ハンドラの検討を追加した。いずれのハンドラも
量 産 IC を 対 象 と し 、そ の 年 に リ リ ー ス が 予 想 さ れ る ハ ン ド ラ の 最 も 標 準 的 な 機 能 と 性 能 を
示す。適用トレイ、同時測定数、インデックスタイム、処理能力、分類数、測定温度/温
度 精 度 、 床 面 積 、 自 重 落 下 ハ ン ド ラ 、 適 用 チ ュ ー ブ 、 JAM 率 の 項 目 を 検 討 し た 。
第 5 章 ソケット
ソ ケ ッ ト は パ ッ ケ ー ジ 化 さ れ た IC の 検 査 工 程 で デ バ イ ス の 機 能 を チ ェ ッ ク 並 び に 選 別
を行う検査治具であり各種の電気特性を必要とし、又検査するデバイスと測定するテスタ
- 10 -
間を接続させる治具でもありハンドラ装置部品中、唯一直接デバイス端子に触れる部品で
ある。その為パッケージ形態の動向に非常に影響を受け易い部品といえる。
微細多ピン化そして高速化するパッケージに対し、よりすぐれた電気特性として、接触
安 定 、 高 周 波 特 性 、 高 寿 命 、 高 精 度 位 置 決 めを 要 求 さ れ て お り 、 各 々 検 討 し た 。
第 6 章 WLBI( Wafer Level Burn-in)
バーンインスクリーニングは、近年、素子の微細化のみならず、新材料等の導入により
一 層 必 要 性 が 増 大 し メ モ リ 内 蔵 シ ス テ ム LSI 等 で も 必 須 の 工 程 と な っ て い る 。ま た SiP や 、
MCM(Multi-Chip Module)等 、 ベ ア チ ッ プ で の 高 密 度 実 装 を 行 う 上 で も ウ ェ ー ハ 状 態 で バ ー
ンイン・品質保証されたベアチップの供給が必要となる。
WLBI は 、主 に KGD ニ ー ズ 、バ ー ン イ ン コ ス ト 低 減 、テ ス ト コ ス ト 低 減 の 3 点 か ら 導 入 の
メ リ ッ ト が 注 目 さ れ て い る 。 加 熱 /冷 却 方 法 検 討 、 バ ー ン イ ン /テ ス ト 容 易 化 、 効 果 的 ス ト
レ ス 、 コ ン タ ク ト 面 積 拡 大 (300mm お よ び マ ル チ 数 増 加 )の 観 点 か ら 解 決 策 を 検 討 し た 。
第8編
Factory Integration
FI( Factory Integration)は 固 有 技 術 を 検 討 す る 他 の WG と は 異 な り 生 産 技 術 全 体 に 視
点 を 置 い て い る 。 本 来 、 STRJ WG8 ( FI 担 当 ) で 検 討 し て い る 分 野 は (1) 工 場 運 用
(2)製 造 装 置
(3)材 料 搬 送 シ ス テ ム
(4)工 場 情 報 制 御 シ ス テ ム
(5)フ ァ シ リ テ ィ に 分
類 さ れ 、 そ の 検 討 範 囲 は 広 く 、 ま た SEAJ と し て は 検 討 す る こ と が 難 し い 分 野 で も あ る 。
今 年 度 は SEAJ メ ン バ ー も ITRS/STRJ の ロ ー ド マ ッ プ 活 動 に 積 極 的 に 参 画 し 、従 来 と 比
較 し て 大 き く SEAJ の 意 見 を ITRS ロ ー ド マ ッ プ に 反 映 す る こ と が で き た 。 そ の 主 な 内 容
は材料搬送システムとファシリティの分野を中心に、装置メーカ、搬送メーカ、ファシ
リ テ ィ メ ー カ 等 の 意 見・視 点 で 技 術 的 要 求 項 目 の 見 直 し 、解 決 策 の 提 案 を 実 施 し て き た 。
本 ロ ー ド マ ッ プ は ITRS2003 の Factory Integration に 記 述 さ れ た 内 容 に 装 置 メ ー カ 、
搬送メーカ、ファシリティメーカ、材料メーカ、ソフトハウス等の業者側の視点から作
成 し た ロ ー ド マ ッ プ で あ り 、ITRS の ロ ー ド マ ッ プ を 補 完 す る 観 点 と と も に SEAJ 独 自 の 視
点 か ら 作 成 さ れ て い る 。特 に 本 ロ ー ド マ ッ プ 作 成 に あ た り SEAJ 独 自 の 視 点 で 検 討 し た の
が フ ロ ー シ ョ ッ プ と APC(Advanced Process Control)に つ い て で あ る 。 ま た 、 材 料 メ ー カ
等 の 視 点 か ら FOUP(Front-Opening Unified Pod)関 連 事 項 に 関 し て も 昨 年 か ら 継 続 で 検 討
を加えている。
本 年 度 は SEAJ 単 独 で の 検 討 と と も に 、 STRJ と の 詳 細 な 擦 り 合 わ せ も 適 宜 実 施 し て ロ ー
- 11 -
ド マ ッ プ を 作 成 し て い る 。 SEAJ 独 自 の フ ロ ー シ ョ ッ プ に つ い て の 検 討 も STRJ 側 と 数 回 に
わ た り 説 明 し て お り 、来 年 度 以 降 に よ り 詳 細 に 検 討 す る 為 の 準 備 に な る も の と 考 え て い る 。
本ロードマップは検討項目毎に技術的要求・予測・課題・解決策候補を検討した。検討項
目は以下の通りである。
(1)工 場 運 用
(5)フ ァ シ リ テ ィ
(2)製 造 装 置
(3)材 料 搬 送 シ ス テ ム
(6)フ ロ ー シ ョ ッ プ
(7)そ の 他
(4)工 場 情 報 制 御 シ ス テ ム
(a)APC
(b)FOUP 関 連 事 項 。
この事業は、競輪の補助金を受けて実施したものです。
- 12 -
Fly UP