...

リリース ノート

by user

on
Category: Documents
7

views

Report

Comments

Transcript

リリース ノート
Vivado Design Suite
ユーザー ガ イ ド
リ リ ース ノ ー ト 、 イ ン ス ト ールお
よび ラ イ セ ン ス
UG973 (v2015.1) 2015 年 4 月 1 日
本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。
資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最
新情報につきましては、必ず最新英語版をご参照ください。
改訂履歴
次の表に、 こ の文書の改訂履歴を示 し ます。
日付
バージ ョ ン
2015 年 4 月 1 日
2015.1
改訂内容
次のセ ク シ ョ ンの内容が新規
• 「新機能」
• 「ダ ウ ン ロ ー ド お よ び イ ン ス ト ール」
• 「 ラ イ セ ン ス の取得お よ び管理」
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
2
目次
目次 3
第 1 章 : リ リ ース ノ ー ト 2015.1
新機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
重要な情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
第 2 章 : アーキテ ク チ ャ サポー ト および要件
オペレーテ ィ ン グ シ ス テ ム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
アーキ テ ク チ ャ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
互換性のあ る サー ド パーテ ィ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シ ス テ ム要件 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
13
14
15
16
第 3 章 : ダウン ロー ド および イ ン ス ト ール
Vivado Design Suite ツールのダ ウ ン ロ ー ド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Vivado Design Suite ツールの イ ン ス ト ール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ケーブル ド ラ イ バーの イ ン ス ト ール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
その他のツールお よ びデバ イ ス の追加 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ネ ッ ト ワー ク イ ン ス ト ール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
バ ッ チ モー ド イ ン ス ト ール フ ロ ー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ア ッ プデー ト の取得 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Vivado Design Suite ツールのア ン イ ン ス ト ール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
18
20
31
31
33
35
37
38
第 4 章 : WebTalk
WebTalk への参加. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
WebTalk イ ン ス ト ールのプ リ フ ァ レ ン ス設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
WebTalk ユーザー プ リ フ ァ レ ン ス の設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
WebTalk イ ン ス ト ールお よ びユーザー プ リ フ ァ レ ン ス の確認 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
収集 さ れ る デー タ の種類 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
デー タ の送信 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
39
39
41
42
42
42
第 5 章 : ラ イ セ ン スの取得および管理
ラ イ セ ン ス概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ユーザー マシ ンでの ラ イ セ ン ス の管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
リ フ ァ レ ン ス : ザ イ リ ン ク ス製品 ラ イ セ ン ス サ イ ト の使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ア ク テ ィ ベーシ ョ ン ノ ー ド ロ ッ ク ( ク ラ イ ア ン ト ) ラ イ セ ン ス の作成 と イ ン ス ト ール . . . . . . . . . . . . . . . . . . . . . .
ア ク テ ィ ベーシ ョ ン フ ロ ーテ ィ ン グ (サーバー ) ラ イ セ ン ス の作成、 イ ン ス ト ール、 指定 . . . . . . . . . . . . . . . . . .
ザ イ リ ン ク スへのア ク テ ィ ベーシ ョ ン ラ イ セ ン ス の返却 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ラ イ セ ン ス の借用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
証明書ベース ラ イ セ ン ス の生成 と イ ン ス ト ール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ユーザー マシ ンへの証明書ベース の ノ ー ド ロ ッ ク ラ イ セ ン ス フ ァ イ ルの イ ン ス ト ール . . . . . . . . . . . . . . . . . . .
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
43
46
49
51
55
59
61
65
68
3
証明書ベース の フ ロ ーテ ィ ン グ ラ イ セ ン ス の指定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ク ラ イ ア ン ト マシ ンか ら の フ ロ ーテ ィ ン グ ラ イ セ ン ス の指定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ザ イ リ ン ク ス製品 ラ イ セ ン ス サ イ ト での ラ イ セ ン ス管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
古い ラ イ セ ン ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ユーザーの ラ イ セ ン ス ア カ ウ ン ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ユーザー タ イ プ と ア ク シ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ザ イ リ ン ク ス ユーザー ア カ ウ ン ト 情報の変更 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ツールお よ び IP の購入情報. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ユーザー ア ク セ ス の管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
69
69
70
73
74
74
75
76
76
付録 A : その他の リ ソ ースおよび法的通知
ザ イ リ ン ク ス リ ソ ース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
ソ リ ュ ーシ ョ ン セ ン タ ー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
Xilinx Documentation Navigator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
ラ イ セ ン スお よ びエン ド ユーザー ラ イ セ ン ス契約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ト レーニ ン グ リ ソ ース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
79
80
80
81
4
第 1章
リ リ ース ノ ー ト 2015.1
新機能
Vivado® Design Suite 2015.1 では、 シ ス テ ム検証が高速化 さ れ、 Zynq®-7000 All Programmable SoC 開発の生産性が向
上 し てい ます。 こ の リ リ ース では Vivado Lab Edition が提供 さ れてお り 、 Vivado シ ミ ュ レー タ お よ びサー ド パーテ ィ
シ ミ ュ レーシ ョ ン フ ロ ーの高速化、 イ ン タ ラ ク テ ィ ブな ク ロ ッ ク 乗せ換え (CDC) 解析、 ザ イ リ ン ク ス ソ フ ト ウ ェ ア
開発キ ッ ト (SDK) を使用 し たシ ス テ ム パフ ォーマ ン ス解析の向上な ど の改善点が含まれます。ま た、XCVU440 FPGA
を含むい く つかの新規デバ イ ス がサポー ト さ れ る よ う にな っ てい ます。
デバ イ ス サポー ト
こ の リ リ ース では、 次のデバ イ ス が新たにサポー ト さ れてい ます。
表 1‐1 : Vivado 2015.1 のデバイ ス サポー ト
製品レ ベル
Vivado 2015.1 のデバイ ス サポー ト
新規デバイ ス
次の UltraScale™ デバ イ ス をサポー ト :
• Virtex® UltraScale デバ イ ス :
° XCVU125、 XCVU190、 XCVU440
次の 7 シ リ ーズ パ ッ ケージ を追加 し 、 EU RoHS (危険物質に関す る 制限) 指令を サ
ポー ト :
• FBV、 FFV、 SBV
パブ リ ッ ク ア ク セス
次のデバ イ ス をプ ロ ダ ク シ ョ ン でサポー ト
• Kintex® UltraScale デバ イ ス :
° XCKU035、 XCKU040
アー リ ー ア ク セス
• Virtex UltraScale デバ イ ス :
° XCVU160
• こ れ ら のデバ イ ス を使用す る には、 最寄の販売代理店ま たは FAE に ご連絡 く だ
さ い。
ビ ッ ト ス ト リ ームの生成
• UltraScale デバ イ ス の ビ ッ ト ス ト リ ーム生成は次のデバ イ ス に制限 :
• Virtex UltraScale :
° XCVU095 ES1
• Kintex UltraScale :
° XCKU040、 XCKU060 ES2、 XCKU115 ES2
Vivado Design Suite 2014 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
5
第 1 章 : リ リ ース ノ ー ト 2015.1
イ ン ス ト ール
Vivado 2015.1 リ リ ース か ら 、 Linux での イ ン ス ト ールにルー ト ま たは sudo 権限は必要な く な り ま し た。 こ れま では、
ケーブル ド ラ イ バーを イ ネーブルにす る のに こ れ ら の権限が必要で し た。 こ の リ リ ース では、 ケーブル ド ラ イ バー
はルー ト /sudo コ マ ン ド シ ェ ルか ら 別の ス ク リ プ ト を実行 し て手動で イ ン ス ト ールす る 必要があ り ます。 Linux ケー
ブル ド ラ イ バーの イ ン ス ト ールの詳細は、 「ケーブル ド ラ イ バーの イ ン ス ト ール」 を参照 し て く だ さ い。
ラ イセンス
Vivado ラ イ セ ン スの借用
•
2015.1 リ リ ース以降、 Vivado のサブ ス ク リ プシ ョ ン ラ イ セ ン ス を更新 し た後、 借用可能な フ ロ ーテ ィ ン グ ラ イ
セ ン ス を生成で き ます。 こ れは、 ア ク テ ィ ベーシ ョ ン ラ イ セ ン ス の場合にのみ可能です。
•
ラ イ セ ン ス が生成 さ れた と き に、 ラ イ セ ン ス管理者が借用可能な ラ イ セ ン ス シー ト の数を判断で き ます。
•
ネ ッ ト ワー ク ラ イ セ ン ス ク ラ イ ア ン ト で 1 つのフ ロ ーテ ィ ン グ ラ イ セ ン ス を借用 し 、 そのマシ ン で一定期間オ
フ ラ ンで使用で き ます。
•
借用期間が切れ る と 、 ラ イ セ ン ス は再びフ ロ ーテ ィ ン グ ラ イ セ ン ス サーバーで有効にな り ます。 ラ イ セ ン ス借
用の詳細は、 第 5 章 「 ラ イ セ ン ス の取得お よ び管理」 を参照 し て く だ さ い。
ソ フ ト ウ ェ ア開発キ ッ ト (SDK)
高度な イ ン シ ス テム パ フ ォ ーマ ン ス解析お よび検証
Zynq-7000 All Programmable SoC の開発を高速化す る ため、 ベア メ タ ルお よ び Linux アプ リ ケーシ ョ ン用のシ ス テ ム
パフ ォーマ ン スお よ び解析ツールボ ッ ク ス が拡張 さ れてい ます。 ザ イ リ ン ク ス SDK を使用 し て、 プ ロ セ ッ サ サブシ
ス テ ム (PS) の主なパフ ォーマ ン ス メ ト リ ッ ク や、 PS、 プ ロ グ ラ マブル ロ ジ ッ ク (PL)、 お よ び外部 メ モ リ の間の帯域
幅解析な ど、SoC デザ イ ンのパフ ォーマ ン スお よ び帯域幅を解析で き ます。Zynq-7000 All Programmable SoC ZC702 お
よ び ZC706 評価ボー ド 用に、AXI ト ラ フ ィ ッ ク ジ ェ ネ レー タ ーを使用 し た シ ス テ ム モデ リ ン グ デザ イ ンが提供 さ れ
てい ます。
Vivado Design Suite 2014 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
6
第 1 章 : リ リ ース ノ ー ト 2015.1
Vivado Design Edition ツール
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
•
7 シ リ ーズ、 Zynq、 ま たは UltraScale デバ イ ス でのパーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン (PR) 用に、 Partial
Reconfiguration Controller を追加
°
•
•
こ の IP は PR シ ス テ ムの中心 と な る も ので、 ハー ド ウ ェ ア ま たは ソ フ ト ウ ェ ア ト リ ガー イ ベン ト が発生 し
た と き に メ モ リ か ら フ ェ ッ チ し 、 コ ン フ ィ ギ ュ レーシ ョ ン ポー ト のパーシ ャ ル ビ ッ ト ス ト リ ーム に送信 し
ます。
°
AXI4-Stream お よ び AXI4-Lite イ ン タ ーフ ェ イ ス をサポー ト し 、 動的にカ ス タ マ イ ズ可能です。
°
Partial Reconfiguration Controller IP の詳細は、 PG193 を参照 し て く だ さ い。
UltraScale デバ イ ス のサポー ト を拡張
°
こ れま でにサポー ト さ れていた KU040、 KU060、 お よ び VU095 に加え、 KU115、 VU125、 お よ び VU190 デ
バ イ ス を イ ンプ リ メ ン テーシ ョ ンのみでサポー ト
°
ビ ッ ト ス ト リ ームの生成は ES2 シ リ コ ン (VU440 を除 く Virtex UltraScale) ま たはプ ロ ダ ク シ ョ ン シ リ コ ン
(Kintex UltraScale お よ び VU440) が入手可能にな り 、 検証 さ れ る ま でデ ィ ス エーブル
詳細は、 『Vivado Design Suite ユーザー ガ イ ド : パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン』 (UG909) [参照 4] の 「 コ
ン フ ィ ギ ュ レーシ ョ ンの概要」 を参照 し て く だ さ い。
Tandem コ ン フ ィ ギ ュ レーシ ョ ン
•
Tandem PROM お よ び Tandem PCIe® を、 パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンがサポー ト さ れてい る UltraScale
デバ イ ス (KU115、 VU125、 お よ び VU190) で使用可能
•
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン と 同様、 ビ ッ ト ス ト リ ームの生成は ES2 シ リ コ ン (VU440 を除 く Virtex
UltraScale) ま たはプ ロ ダ ク シ ョ ン シ リ コ ン (Kintex UltraScale お よ び VU440) が入手可能にな り 、 検証 さ れ る ま で
デ ィ ス エーブル
•
詳細は、『UltraScale Architecture Gen3 Integrated Block for PCI Express 製品ガ イ ド 』 (PG156) v3.1 を参照し て く だ さ い。
RTL 合成
•
Windows でマルチ コ ア をサポー ト (Linux では既にサポー ト 済み)
•
デフ ォ ル ト 合成設定 (flatten_hierarchy は rebuilt) での階層セル ピ ンの名前を改善
•
全般的に統合 DSP ブ ロ ッ ク の推論を向上 (特に UltraScale DSP ブ ロ ッ ク 特有の二乗モー ド の推論)
•
合成 ロ グ フ ァ イ ルに新 し く 有限ス テー ト マシ ン (FSM) の レ ポー ト を追加
シ ミ ュ レーシ ョ ン フ ロー
•
Aldec Active-HDL お よ び Riviera-PRO を統合
•
ModelSim お よ び QuestaSim を個別に統合 し て ModelSim PE お よ び DE をサポー ト
•
シ ミ ュ レーシ ョ ン ス ク リ プ ト のアルゴ リ ズ ム を向上する こ と に よ り コ ンパ イ ルを 2.5 倍高速化
シ ミ ュ レーシ ョ ン パフ ォ ーマ ン ス
•
新規 UltraScale メ モ リ (MIG) BFM モデルを提供 し 、 シ ミ ュ レーシ ョ ン を 4 倍高速化
•
UltraScale PCIe シ ミ ュ レーシ ョ ンのパフ ォーマ ン ス を向上
•
MMCM お よ び PLL モデルを ア ッ プデー ト し てシ ミ ュ レーシ ョ ン を高速化
Vivado Design Suite 2014 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
7
第 1 章 : リ リ ース ノ ー ト 2015.1
Vivado シ ミ ュ レー タ
•
UltraScale メ モ リ のシ ミ ュ レーシ ョ ン をサポー ト
•
デバ ッ グ EOU の機能 :
°
•
テ キ ス ト エデ ィ タ ーお よ び波形でのデバ ッ グ操作を イ ネーブル
-
レ ポー ト ド ラ イ バー
-
[Force] ダ イ ア ロ グ ボ ッ ク ス
°
テ キ ス ト エデ ィ タ ー と 波形の間での ク ロ ス プ ロ ーブ
°
右 ク リ ッ ク メ ニ ュ ーか ら 波形、 オブジ ェ ク ト 、 お よ び ソ ース コ ー ド の信号を検索
その他の Vivado シ ミ ュ レー タ のパフ ォーマ ン ス向上点 :
°
PCIe シ ミ ュ レーシ ョ ン を 25% 高速化
°
デ ィ ス ク の フ ッ ト プ リ ン ト を平均 10 分の 1 に削減
Vivado 物理イ ン プ リ メ ン テーシ ョ ンおよび消費電力ツール
•
UltraScale 3D IC イ ン タ ーコ ネ ク ト リ ソ ース の フ リ ッ プ フ ロ ッ プのサポー ト を向上
°
タ イ ミ ン グが向上す る 場合に Laguna サ イ ト に レ ジ ス タ を自動配置
°
Pblock を使用 し た Laguna サ イ ト 範囲へのマ ッ プ
°
LOC 割 り 当てお よ び IDE での ド ラ ッ グ ア ン ド ド ロ ッ プ を使用 し て特定の Laguna サ イ ト に手動マ ッ プ
•
配線後の物理最適化 (phys_opt_design) を使用 し たデザ イ ン パフ ォーマ ン ス を向上。 サポー ト さ れ る すべて
のデバ イ ス で、 -directive オプシ ョ ンのすべての値が使用可能にな り ま し た。
•
UltraScale デバ イ ス で、 リ セ ッ ト (セ ッ ト / リ セ ッ ト /プ リ セ ッ ト / ク リ ア) を使用 し ない レ ジ ス タ を リ セ ッ ト が使用
さ れ る CLB に配置可能。 リ セ ッ ト を使用 し ない レ ジ ス タ では リ セ ッ ト は無視 さ れます。
•
デザ イ ン解析レ ポー ト : report_design_analysis コ マ ン ド に、最上位デザ イ ンの密集 メ ト リ ッ ク を レ ポー ト
す る -congestion オプシ ョ ン を追加。 place_design お よ び route_design コ マ ン ド を実行 し た後、 同 じ
セ ッ シ ョ ンで report_design_analysis を実行する と 、 得 ら れ る 情報量が最 も 多 く な り ます。
•
IDE を使用 し て消費電力を レ ポー ト す る 際に、 レ ポー ト の実行間で ス イ ッ チン グ ア ク テ ィ ビ テ ィ 設定を保持
•
CRC レ ポー ト : Vivado 統合設計環境 (IDE) サポー ト を追加。 CDC レ ポー ト に よ り 、 新 し く 追加 さ れた 4 個の ト
ポ ロ ジ を含む 16 個の CDC ト ポ ロ ジが特定 さ れます。 GUI レ ポー ト には ク ロ ッ ク ペア ご と のサマ リ 、 ルール タ
イ プご と のサマ リ が含まれ、 回路図お よ び RTL への ク ロ ス プ ロ ーブが可能です。
Vivado IP イ ン テグ レー タ ー
•
ボ ト ム ア ッ プ合成フ ロ ー オプシ ョ ンに よ り デザ イ ンの反復実行を高速化。 各 IP はそれぞれ合成 さ れ る ので、 再
合成が必要なのは変更 し た IP のみです。
•
IP イ ン テ グ レー タ ー用に最適化 さ れた新 し い レ イ ア ウ ト を導入
•
デザ イ ンの生成お よ び検証を含むプ ロ ジ ェ ク ト フ ロ ーの時間を最大 50% 削減
•
リ ビ ジ ョ ン制御の使いやす さ を向上 :
°
推奨 さ れ る 手法に従っ て リ モー ト ソ ース に対 し て write_bd_tcl コ マ ン ド をサポー ト
°
同 じ バージ ョ ンの Vivado で、.bd フ ァ イ ルのみか ら デザ イ ン を再生成可能 (ブ ロ ッ ク の位置/ コ メ ン ト は .bd
フ ァ イ ルに保存 さ れない)
•
デザ イ ンの検証済みス テー ト での保存をサポー ト 。 生成中に検証を再実行す る 必要はあ り ません。
•
[Add IP] を ク リ ッ ク し た と き に表示 さ れ る ダ イ ア ロ グ ボ ッ ク ス での検索機能を向上、IP の詳細に簡単にア ク セ ス
可能
Vivado Design Suite 2014 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
8
第 1 章 : リ リ ース ノ ー ト 2015.1
Vivado IP パ ッ ケージ ャ ー
•
ブ ロ ッ ク デザ イ ンのパ ッ ケージ をサポー ト
•
パ ッ ケージ さ れた IP を IP カ タ ロ グのカ ス タ ム カ テ ゴ リ に追加可能
•
IP カ ス タ マ イ ズ GUI に依存関係オプシ ョ ン を追加
Vivado IP フ ロー
IP 合成のキ ャ ッ シ ュ を イ ネーブルにす る オプシ ョ ン を追加 し 、 デザ イ ン を反復実行す る 場合に合成時間を短縮
Vivado IP カ タ ログ
Vivado IP の [Alliance Partners] フ ォ ルダーに Northwest Logic DMA Back-End IP を追加
Vivado デバ ッ グ •
•
7 シ リ ーズ、 Zynq、 お よ び UltraScale デバ イ スすべて をサポー ト する Vivado Lab Edition を提供。 こ れは、 ラ ボ環
境で使用す る ための新 し い コ ンパ ク ト な ス タ ン ド ア ロ ン製品です。
°
ダ ウ ン ロ ー ド サ イ ズ (1GB) お よ びフ ッ ト プ リ ン ト サ イ ズが小 さ い
°
証明書、 ア ク テ ィ ベーシ ョ ン ラ イ セ ン ス キー、 ま たはフ ァ イ ルは不要
°
64 ビ ッ ト お よ び 32 ビ ッ ト OS プ ラ ッ ト フ ォーム をサポー ト
°
プ ロ グ ラ ムお よ び ロ ジ ッ ク /シ リ アル I/O デバ ッ グのすべての機能を提供
MARK_DEBUG の向上点 :
°
•
HDL フ ロ ーでの名前の保持を向上
ハー ド ウ ェ ア マネージ ャ ーの ウ ィ ン ド ウ を管理 し やす く 変更
°
°
ダ ッ シ ュ ボー ド の レ イ ア ウ ト を完全にカ ス タ マ イ ズ可能
-
ダ ッ シ ュ ボー ド を ア ッ プデー ト す る コ ン フ ィ ギ ュ レーシ ョ ン可能な ド ッ キ ン グ マネージ ャ ー
-
複数の VIO、 ま たは VIO お よ び ILA コ ア を表示する よ う 設定可能
プ ロ ジ ェ ク ト の レ イ ア ウ ト お よ び内容を保持
消費電力解析
IDE の [Report Power] コ マ ン ド お よ び report_power Tcl コ マ ン ド で、 UltraScale SSI デ バ イ ス を 含むすべ て の
UltraScale デバ イ ス をサポー ト
Vivado System Edition ツール
Vivado HLS
•
ソ フ ト ウ ェ アで定義 さ れた無線アプ リ ケーシ ョ ン (数値制御オシ レー タ ー (NCO)、 QAM 変調器、 お よ び復調器)
に焦点を置いた新 し い合成可能な C++ ラ イ ブ ラ リ 関数。 詳細は、 『Vivado Design Suite ユーザー ガ イ ド : 高位合
成』 (UG902) [参照 3] を参照 し て く だ さ い。
•
LogiCore DDS (Direct Digital Synthesizer) IP をサポー ト
•
OpenCL カーネルの コ ンパ イ ル
•
AXI マ ス タ ーの 64 ビ ッ ト ア ド レ ス指定
•
全般的な QoR (結果の品質) の向上
Vivado Design Suite 2014 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
9
第 1 章 : リ リ ース ノ ー ト 2015.1
Vivado System Generator for DSP
•
ア ド バン ス ハー ド ウ ェ ア協調シ ミ ュ レーシ ョ ン バース ト モー ド のサポー ト に よ り シ ミ ュ レーシ ョ ンのパフ ォー
マ ン ス を 100 倍向上
•
タ イ ミ ン グ解析の向上に よ り 、 ク ロ ス プ ロ ーブ を使用 し て タ イ ミ ン グ が満た さ れていないパ ス をすばや く 特定
可能
•
ゲー ト ウ ェ イ の補足セ ッ ト を調整す る ため Vivado IP イ ン テ グ レー タ ーか ら Zynq-7000 AP SoC プ ラ ッ ト フ ォーム
デザ イ ン を解析す る 機能が追加 さ れ、 簡単で正確な IP 開発が可能
•
複数の AXI4-Lite イ ン タ ーフ ェ イ ス のサポー ト が向上 さ れ、 レ ジ ス タ を個別に ク ロ ッ ク ド メ イ ンに揃え る こ と が
可能
•
MATLAB® 2015A をサポー ト
新規 IP (Intellectual Property)
UHD-SDI : 次をサポー ト す る SMPTE 定義のビデオ イ ン タ ーフ ェ イ ス用の新規 IP
•
ST259 (270Mbps)
•
SMPTE ST292 (1.485Gbps お よ び 1.485/1.001 Gbps)
•
SMPTE ST 372、 SMPTE ST 4245-x (3G-SDI)
•
SMPTE 2081-x (6Gbps )
•
SMPTE 208-x (12Gbps )
7 シ リ ーズ Kintex、 Zynq、 Virtex (GTX XCVR のみ)、 UltraScale Kintex お よ び Virtex (GTH のみ) がサポー ト さ れます。
重要な情報
32 ビ ッ ト OS サポー ト の削除
Vivado 2015.1 か ら 、 32 ビ ッ ト OS お よ びアプ リ ケーシ ョ ンのサポー ト は、 デザ イ ン入力お よ び イ ンプ リ メ ン テーシ ョ
ン フ ロ ーすべてで削除 さ れてい ます。 Windows 7 お よ び Red Hat Enterprise Linux 6 の 32 ビ ッ ト は、 Vivado 2015.1 Lab
Edition ではサポー ト さ れます。 Lab Edition は、 プ ロ グ ラ ムお よ びデバ ッ グ ツールの無償のエデ ィ シ ョ ンです。
Vivado Design Suite 2014 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
10
第 1 章 : リ リ ース ノ ー ト 2015.1
既存 IP のア ッ プデー ト
次の表に、 2015.1 リ リ ース での既存の IP のア ッ プデー ト を示 し ます。
表 1‐2 : 既存 IP のア ッ プデー ト
既存の IP
ア ッ プデー ト の内容
Integrated 100G Ethernet for
UltraScale (CMAC)
• シ ンプ レ ッ ク ス Tx お よ び Rx サポー ト を追加
10G Ethernet Subsystem
•
•
•
•
レ イ テ ン シの短い 32 ビ ッ ト イ ーサネ ッ ト MAC/PCS をサポー ト
UltraScale デバ イ ス に 1588 ハー ド ウ ェ ア タ イ ム ス タ ンプ を追加
ス タ ッ ク ド VLAN のサポー ト を追加
64 ビ ッ ト IP の レ イ テ ン シ を削減
AXI 10G Ethernet MAC
•
•
•
•
10G Ethernet MAC お よ び 10GBASE-KR ま たは 10GBASE-R
IP イ ン テ グ レー タ ーお よ び IP カ タ ロ グか ら 使用可能
UltraScale Kintex デバ イ ス のサポー ト を追加
Zynq-7000 AP SoC お よ び 7 シ リ ーズ防衛グ レー ド デバ イ ス を追加
10G Ethernet PCS/PMA
• 64 ビ ッ ト IP の レ イ テ ン シお よ びサ イ ズ を削減
XAUI
• UltraScale GTY のサポー ト を追加
RXAUI
• UltraScale GTY のサポー ト を追加
10G BASE-R
• 新 し いオプシ ョ ンの 32 ビ ッ ト の レ イ テ ン シが短 く サ イ ズが削減 さ れた IP
• Zynq-7000 AP SoC お よ び 7 シ リ ーズ防衛グ レー ド デバ イ ス を追加
100G IEEE 802.3bj Reed-Solomon
Forward Error Correction IP
• Virtex UltraScale をサポー ト
• Integrated 100G Ethernet MAC ま たは Soft 100G Ethernet MAC に接続
• SR4、 CWDM4、 PSM4、 ER4f な ど の光学 ソ リ ュ ーシ ョ ン を イ ネーブル
AXI 1G/2.5G Ethernet Subsystem
•
•
•
•
•
Kintex-7、Virtex-7、Zynq、お よ び UltraScale フ ァ ミ リ で 2.5G のサポー ト を追加
2500BASE-X ま たは 2.5G SGMII シ ン グル レー ト をサポー ト
Tri-Mode Ethernet Media Access Controller (TEMAC)
1G/2.5G Ethernet PCS/PMA or SGMII
Kintex-7、 Virtex-7、 Zynq、 お よ び UltraScale フ ァ ミ リ で 2.5G SGMII シ ン グ
ル レー ト のサポー ト を追加
PCI Express®
•
•
•
•
•
•
Gen3 PCI Express ハー ド ブ ロ ッ ク の AXI-MM サポー ト (Virtex-7 XT/HT)
UltraScale FPGA デバ イ ス のユーザー ガ イ ド のパ ッ ケージ移行セ ク シ ョ ン
UltraScale FPGA デバ イ ス の Tandem PCIe®/PROM サポー ト (ベー タ )
GT ウ ィ ザー ド を ア ッ プグ レー ド
PLL お よ び コ ア ク ロ ッ ク を選択す る GUI オプシ ョ ン を ア ッ プデー ト
Tandem PCIe で追加のデバ イ ス/パ ッ ケージ をサポー ト
Aurora
•
•
•
•
•
•
追加の UltraScale FPGA デバ イ ス をサポー ト
ラ ボ ツールを イ ネーブルに し た シ ミ ュ レーシ ョ ンのサポー ト
AXI4-Lite か ら DRP への イ ン タ ーフ ェ イ ス に準拠 (Aurora 64B/66B)
ラ イ ン レー ト のサポー ト を 16.375G に拡張
フ ロ ー制御 イ ン タ ーフ ェ イ ス を グループ化 し 、 AXI4-ST に準拠
GUI で レーン位置を選択可能
IBERT for UltraScale
• すべての UltraScale IBERT コ アでエ ラ ーがすべて レ ポー ト さ れない問題を
修正
• 非常に長いテ ス ト ま たは深い ス キ ャ ン を実行する 場合は、 IP を再生成す る
必要があ り ます。
• その他すべての場合、ア ッ プグ レー ド を強 く お勧め し ます (ザ イ リ ン ク ス ア
ンサー 63768 を参照)。
Vivado Design Suite 2014 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
11
第 1 章 : リ リ ース ノ ー ト 2015.1
表 1‐2 : 既存 IP のア ッ プデー ト (続き)
既存の IP
ア ッ プデー ト の内容
UltraScale メ モ リ コ ン ト ロ ー ラ ー
• I/O プ ラ ン ニ ン グが統合 さ れ、 IP を カ ス タ マ イ ズ し 直 さ ずに I/O を変更 し た
り タ ーゲ ッ ト デバ イ ス を変更す る こ と が可能
• カ ス タ ム パーツのサポー ト を追加
• ほ と ん ど の ト ラ フ ィ ッ ク パ タ ーン で DDR 効率 と 同様の効率に向上
• 高速シ ミ ュ レーシ ョ ン モデルの追加に よ り 、 シ ミ ュ レーシ ョ ン を 6 倍ス
ピー ド ア ッ プ
• すべてのシ ミ ュ レー タ をサポー ト
• デュ アル ラ ン ク 、 x4 DQS、 RDIMM、 お よ び QDRII+ (BL2) を追加
DisplayPort
• UltraScale GTH の コ ンパ イ ルお よ びシ ミ ュ レーシ ョ ン を追加
• ソ ース (TX) お よ びシ ン ク (RX) でマルチ ス ト リ ーム転送をサポー ト
(最大ス ト リ ーム数 4)
• SST モー ド でサポー ト さ れ る オーデ ィ オ チ ャ ネル数を 8 本に増加
• シ ン ク お よ び ソ ース の ス タ ン ド ア ロ ン ド ラ イ バーを入手可能
注記 : パーツ名は、 シ リ コ ンが入手可能か ど う かに対応 し てい ます。 XCKU040 ES1 はサポー ト さ れな く な っ てお り 、
複数の ES1 パーツ が ES2 に変更 さ れてい ます。
Documentation Navigator
•
ザ イ リ ン ク ス サポー ト ウ ェ ブサ イ ト で資料を検索す る [Web Search Results] タ ブを統合
•
メ イ ンのカ タ ロ グ ビ ュ ーでデザ イ ン ハブ をサポー ト
°
•
推奨 さ れ る 主な概念お よ び FAQ で情報を習得
各デザ イ ン ハブに [Send Feedback] リ ン ク を追加
Vivado Design Suite 資料のア ッ プデー ト
Vivado Design Suite 2015.1 では、 ツールの リ リ ース時に リ リ ース さ れない資料があ り ます。 DocNav で [Update Catalog]
ボ タ ン を ク リ ッ ク し 、 2015.1 の最新の資料を確認 し て く だ さ い。
ラ イセンス
ア ク テ ィ ベーシ ョ ン ラ イ セ ン ス は、 USB ド ン グル、 SOLARIS OS 上で実行 し てい る フ ロ ーテ ィ ン グ サーバー、 ま た
は ト リ プル リ ダ ン ダ ン ト サーバー コ ン フ ィ ギ ュ レーシ ョ ンではサポー ト さ れてい ません。
IP の既知の問題および変更 リ ス ト
IP の既知の問題は、 『IP リ リ ース ノ ー ト ガ イ ド 』 (XTP025) [参照 8] を参照 し て く だ さ い。
既知の問題
Vivado Design Suite の既知の問題は、 ア ンサー 63538 を参照 し て く だ さ い。
Vivado Design Suite 2014 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
12
第 2章
アーキテ ク チ ャ サポー ト および要件
オペ レーテ ィ ング シ ス テム
ザ イ リ ン ク ス でサポー ト さ れ る OS は、 x86 お よ び x86-64 プ ロ セ ッ サ アーキ テ ク チ ャ の OS のみです。
Microsoft Windows サポー ト
•
Windows 7 お よ び 7 SP1 Professional (64 ビ ッ ト )、 英語版/日本語版
•
Windows 8.1 Professional (64 ビ ッ ト )、 英語版/日本語版
•
Vivado® Lab Edition には、 Windows 7 SP1 Professional、 32 ビ ッ ト オペレーテ ィ ン グ シ ス テ ム をサポー ト す る ザ イ
リ ン ク ス ツールセ ッ ト のみが含まれます。 Lab Edition では、 64 ビ ッ ト シ ス テ ム も サポー ト さ れます。
Linux サポー ト
•
Red Hat Enterprise Workstation 7.0 (64 ビ ッ ト )
•
Red Hat Enterprise Workstation 6.4-6.6 (64 ビ ッ ト )
•
SUSE Linux Enterprise 12.0 (64 ビ ッ ト )
•
Cent OS 7.0 (64 ビ ッ ト )
•
Ubuntu Linux 14.04 LTS (64 ビ ッ ト )
•
Vivado Lab Edition には、 Red Hat Enterprise Workstation 6.4-6.6、 32 ビ ッ ト オペレーテ ィ ン グ シ ス テ ム をサポー ト
す る ザ イ リ ン ク ス ツールセ ッ ト のみが含まれます。 Lab Edition では、 64 ビ ッ ト シ ス テ ム も サポー ト さ れます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
13
第 2 章 : アーキテ ク チ ャ サポー ト および要件
アーキテ ク チ ャ
次の表は、 Vivado® Design Suite WebPACK™ ツール と その他すべての Vivado Design Suite エデ ィ シ ョ ンの コ マーシ ャ
ル製品のアーキ テ ク チ ャ サポー ト を リ ス ト し てい ます。
コ マーシ ャ ル製品以外 :
•
ザ イ リ ン ク ス オー ト モーテ ィ ブ デバ イ ス はすべて Vivado Design Suite WebPACK ツールでサポー ト さ れます。
•
デ ィ フ ェ ン ス グ レー ド のザ イ リ ン ク ス FPGA デバ イ ス は、 同等の コ マーシ ャ ル パーツ サ イ ズのサポー ト さ れ る
エデ ィ シ ョ ンでサポー ト さ れます。
表 2‐1 : アーキテ ク チ ャ サポー ト
Vivado WebPACK ツール
Vivado Design Suite
(全エデ ィ シ ョ ン)
Zynq® デバ イ ス
Zynq®-7000 AP SoC デバ イ ス
Zynq-7000 AP SoC デバ イ ス
• XC7Z010、 XC7Z015、 XC7Z020、 XC7Z030 • すべて
Virtex® FPGA
Virtex-7 FPGA
• なし
Virtex UltraScale FPGA
• なし
Virtex-7 FPGA
• すべて
Virtex UltraScale FPGA
• すべて
Kintex® FPGA
Kintex-7 FPGA
• XC7K70T、 XC7K160T
Kintex UltraScale FPGA
• なし
Kintex-7 FPGA
• すべて
Kintex UltraScale FPGA
• すべて
Artix® FPGA
Artix-7 FPGA
Artix-7 FPGA
• X C 7 A 3 5 T 、 X C 7 A 5 0 T 、 X C 7 A 7 5 T 、 • すべて
XC7A100T、 XC7A200T
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
14
第 2 章 : アーキテ ク チ ャ サポー ト および要件
互換性のあ るサー ド パーテ ィ ツール
表 2‐2 : 互換性のあるサー ド パーテ ィ ツール
Red Hat Linux
Red Hat Linux‐64
SUSE Linux
Mentor Graphic ModelSim
SE/DE/PE (10.3d)
あり
あり
あり
あり
あり
なし
Mentor Graphics Questa
Advanced Simulator(10.3d)
あり
あり
あり
あり
あり
なし
Cadence Incisive Enterprise
Simulator (IES) (14.10.011)
あり
あり
あり
なし
なし
なし
Synopsys VCS and VCS MX
(I-2014.03-SP1)
あり
あり
あり
なし
なし
なし
The MathWorks MATLAB® お
よ び Simulink® with
Fixed-Point Toolbox (2014a、
2014b、 お よ び 2015a)
あり
あり
なし
あり
あり
あり
Aldec Active-HDL (10.1sp1)a
なし
なし
なし
あり
あり
なし
Aldec Riviera-PRO (2015.02)
あり
あり
あり
あり
あり
なし
Synopsys Synplify/Synplify Pro
(J-2014.09-SP1)c
あり
あり
あり
あり
あり
なし
Mentor Graphics Precision
RTL/Plus (2012c)
あり
あり
あり
あり
あり
なし
Cadence Encounter Conformal
(9.1)d
あり
あり
あり
なし
なし
なし
OneSpin 360 (2014_12)
あり
あり
あり
なし
なし
なし
サー ド パーテ ィ ツール
Windows‐7 32 Windows‐7 64 ビッ ト
ビッ ト
Ubuntu
シ ミ ュ レーシ ョ ン
合成b
等価性チ ェ ッ ク
a.
Aldec シ ミ ュ レー タ のサポー ト は Aldec 社 よ り 提供 さ れてい ます。
b. RTL ソ ース には暗号化 さ れた フ ァ イ ルが含まれ る 可能性があ る ので、 ほ と ん ど の Vivado IP は Vivado 合成でのみ
合成で き ます。 こ れ ら の IP をサー ド パーテ ィ 合成フ ロ ーで使用す る には、 Vivado ツールか ら 合成済みネ ッ ト リ ス ト
を最適な形式でエ ク ス ポー ト し て、 サー ド パーテ ィ 合成プ ロ ジ ェ ク ト で使用で き る よ う に し ます。
c.
Synplify Overlay ま たはサービ ス パ ッ ク の利用については、 Synopsys 社にお問い合わせ く だ さ い。
d.
Cadence Encounter Conformal は、 Synopsys 社の Synplify を使用 し た場合にのみ RTL2Gate 用にサポー ト さ れます。
System Generator のサポー ト は、 The MathWorks MATLAB お よ び Simulink ツール と 互換性のあ る オペレーテ ィ ン グ シ
ス テ ムに制限 さ れます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
15
第 2 章 : アーキテ ク チ ャ サポー ト および要件
シ ス テム要件
こ のセ ク シ ョ ン では、 シ ス テ ム メ モ リ 要件、 ケーブル イ ン ス ト ールお よ びその他の要件お よ び推奨事項について説
明 し ます。
演習には、 MATLAB 2014a (ま たはそれ以降) お よ び Vivado Design Suite 2014.2 (ま たはそれ以降) が必要です。
推奨 さ れる シ ス テム メ モ リ
Vivado Design Suite ツールの推奨 メ モ リ については、 http://japan.xilinx.com/design-tools/vivado/memory.htm を参照 し て
く だ さ い。
OS と 使用可能な メ モ リ
Microsoft Windows お よ び Linux OS のアーキ テ ク チ ャ 場合、 ザ イ リ ン ク ス プ ロ グ ラ ムに使用で き る メ モ リ の最大容量
に制限があ り 、大規模デバ イ スお よ び複雑なデバ イ ス を作成す る 際に問題 と な る こ と があ り ます。Vivado Design Suite
には最適化 メ モ リ が含ま れてお り 、 ザ イ リ ン ク ス ツール用に RAM を増加で き る よ う にな っ てい ます。
Linux
32 ビ ッ ト の Red Hat Enterprise Linux では、 大規模 メ モ リ のカーネルを使用 し て各プ ロ セ ス に 4GB 割 り 当て る こ と が
で き ま す。 詳細については、 Red Hat のサポー ト サ イ ト (http://www.redhat.com/docs/manuals/enterprise/) を参照 し て く
だ さ い。
ケーブル イ ン ス ト ール要件
ザ イ リ ン ク ス デザ イ ン ツールで タ ーゲ ッ ト ハー ド ウ ェ ア をプ ロ グ ラ ムお よ び コ ン フ ィ ギ ュ レーシ ョ ンす る には、 ハ
イ パフ ォーマ ン ス な ケーブル、 Platform Cable USB II が必要です。
注記 : デバ ッ グ ま たはプ ロ グ ラ ムに使用 さ れていた Xilinx Parallel Cable IV は、 サポー ト さ れな く な っ てい ます。
推奨 : Platform Cable USB II を イ ン ス ト ールす る 場合は、 少な く と も USB 1.1 ポー ト が必要に な り ま す。 最適な パ
フ ォーマ ン ス には、 USB 2.0 ポー ト で Platform Cable USB II を使用する こ と をお勧め し ます。
ケーブルは、 Windows 7、 Red Hat Linux Enterprise、 SUSE Linux Enterprise 12 の 32 ビ ッ ト お よ び 64 ビ ッ ト バージ ョ ン
で公式にサポー ト さ れてい ます。 その他の注意点は、 次を参照 し て く だ さ い。
•
ルー ト デ ィ レ ク ト リ への権限が必要です。
•
SUSE Linux Enterprise 11 :Platform Cable USB II が正 し く 動作す る ためには、 fxload ソ フ ト ウ ェ アパ ッ ケージが必
要です。 fxload パ ッ ケージは SUSE Linux Enterprise 11 には自動的に イ ン ス ト ール さ れないので、 そのユーザーま
たはシ ス テ ム管理者が イ ン ス ト ール し てお く 必要があ り ます。
•
Linux LibUSB サポー ト :LibUSB パ ッ ケージに基づ く Platform Cable USB II のサポー ト は、ザ イ リ ン ク ス の Web サ
イ ト か ら 入手で き ます。 詳細は、 ア ンサー 29310 を参照 し て く だ さ い。
ザ イ リ ン ク ス ケーブルに関す る その他の情報は、 次のマニ ュ アルを参照 し て く だ さ い。
•
『USB ケーブル イ ン ス ト ール ガ イ ド 』 (UG344) [参照 9]
•
『Platform Cable USB II デー タ シー ト 』 (DS593) [参照 10]
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
16
第 2 章 : アーキテ ク チ ャ サポー ト および要件
装置 と ア ク セス権
次の表は、 関連装置、 ア ク セ ス権、 ネ ッ ト ワー ク 接続の条件を示 し てい ます。
表 2‐3 : 装置 と ア ク セス権の要件 項目
要件
デ ィ レ ク ト リ のア ク セ ス権
編集す る デザ イ ン フ ァ イ ルが含 ま れ る デ ィ レ ク ト リ すべて の書 き 込み権が必要で
す。
モニ タ ー
解像度 1024x768 ピ ク セル以上の 16 ビ ッ ト カ ラ ー VGA をお勧め し ます。
ド ラ イブ
Vivado Design Suite には DVD-ROMが必要です (Web か ら のダ ウ ン ロ ー ド ではな く 、
DVD を請求 し て イ ン ス ト ールす る 場合)。
ポー ト
デバ イ ス のプ ロ グ ラ ム には、 ザ イ リ ン ク ス プ ロ グ ラ ム ケーブル用にパ ラ レ ル ポー
ト 、USB ポー ト のいずれかが必要です。ポー ト の仕様については、 ケーブルのマニ ュ
アルを参照 し て く だ さ い。
注記 : ケーブル ド ラ イ バー ソ フ ト ウ ェ アの イ ン ス ト ールには、 Windows 7 が必要で
す。 こ れ ら の OS のいずれかを使用 し ていない場合、 ケーブルが正 し く 動作 し ない こ
と があ り ます。
注記 : Exceed、 ReflectionX、 XWin32 の よ う な X サーバー / リ モー ト デス ク ト ッ プ サーバーはサポー ト さ れません。
ネ ッ ト ワー ク時刻の同期化
ソ フ ト ウ ェ アが イ ン ス ト ール さ れた コ ン ピ ュ ー タ と は別のネ ッ ト ワ ー ク コ ン ピ ュ ー タ にユーザーのデザ イ ン フ ァ イ
ルを保存す る 場合、 ど ち ら の コ ン ピ ュ ー タ も 同時刻に設定する 必要があ り ます。 ソ フ ト ウ ェ アの フ ァ ン ク シ ョ ン を最
適にす る ため、 時刻は定期的に合わせて く だ さ い。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
17
第 3章
ダウン ロー ド および イ ン ス ト ール
こ の 章 で は、 Vivado® Integrated Design Environment (IDE)、 Vivado HLS お よ び System Generator for DSP な ど を 含む
Vivado Design Suite のダ ウ ン ロ ー ド お よ び イ ン ス ト ール方法について説明 し ます。
Vivado Design Suite ツールのダウン ロー ド
ザ イ リ ン ク ス デザ イ ン ツールには、 複数のダ ウ ン ロ ー ド お よ び イ ン ス ト ール方法があ り ます。
2015.1 か ら は、 新たに Vivado Lab Edition も リ リ ー ス さ れ、 ラ ボ設定でデバ イ ス を プ ロ グ ラ ムお よ びデバ ッ グす る 専
用の効率的な環境が提供 さ れ る よ う にな っ てい ます。
ヒ ン ト : Vivado Lab Edition には ラ イ セ ン ス は必要あ り ません。
フル Vivado エデ ィ シ ョ ンのいずれか を イ ン ス ト ールす る 場合は、 2 つの イ ン ス ト ールのいずれかを選択で き ます。 単
一フ ァ イ ルの フル製品 イ ン ス ト ー ラ ーか、ザ イ リ ン ク ス ウ ェ ブサ イ ト を使用 し て必要な フ ァ イ ルだけ を ダ ウ ン ロ ー ド
可能な軽量 イ ン ス ト ー ラ ーのいずれか を使用で き ます。 軽量の ウ ェ ブベース の イ ン ス ト ー ラ ーを使用する と 、 ダ ウ ン
ロ ー ド 容量が削減で き 、 ダ ウ ン ロ ー ド お よ び イ ン ス ト ール プ ロ セ ス をかな り 高速にで き ます。
すべてのエデ ィ シ ョ ンお よ びダ ウ ン ロ ー ド オプシ ョ ンは、 ザ イ リ ン ク ス ウ ェ ブサ イ ト
(http://japan.xilinx.com/support/download/index.htm) を参照 し て く だ さ い。
ザ イ リ ン ク ス ダ ウ ン ロ ー ド セ ン タ ーの フ ァ イ ルはほ と ん ど が Akamai ダ ウ ン ロ ー ド マネージ ャ を使用 し て ダ ウ ン
ロ ー ド さ れます。 ダ ウ ン ロ ー ド 前に、 次を設定 し ておいて く だ さ い。
•
http://xilinx.entitlenow.com か ら のポ ッ プア ッ プ を許可する
•
保護項目 も そ う でない項目 も 同 じ ページに表示 さ れ る よ う にセキ ュ リ テ ィ 設定をす る
•
Java を イ ン ス ト ール し て Akamai マネージ ャ を実行で き る よ う にす る
Vivado Design Suite の フル エデ ィ シ ョ ン を ダ ウ ン ロ ー ド す る には、 次を実行 し ます。
1.
ダ ウ ン ロ ー ド サ イ ト で [Vivado デザ イ ン ツール] タ ブを ク リ ッ ク し ます。
2.
左側か ら ダ ウ ン ロ ー ド す る ツールのバージ ョ ン を ク リ ッ ク し ます。
3.
ダ ウ ン ロ ー ド す る イ ン ス ト ー ラ ーの リ ン ク を ク リ ッ ク し ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
18
第 3 章 : ダウン ロー ド お よび イ ン ス ト ール
X-Ref Target - Figure 3-1
図 3‐1 : ダウン ロー ド サイ ト
Vivado Lab Edition ツールを ダ ウ ン ロ ー ド す る には、[Vivado デザ イ ン ツール] タ ブで 2015.1 以降のバージ ョ ン を ク リ ッ
ク し て、 Vivado Lab Edition に関す る フ ァ イ ルを ダ ウ ン ロ ー ド し ます。
注記 : Lab Edition イ ン ス ト ー ラ ーは、 32 ビ ッ ト ま たは 64 ビ ッ ト マシ ン で実行で き ます。 フル エデ ィ シ ョ ン イ ン ス
ト ー ラ ーは、 64 ビ ッ ト マシ ンでのみ実行で き ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
19
第 3 章 : ダウン ロー ド お よび イ ン ス ト ール
Vivado Design Suite ツールのイ ン ス ト ール
こ のセ ク シ ョ ンでは、 すべての OS に共通する Vivado Design Suite の イ ン ス ト ール方法を示 し ます。
イ ン ス ト ールの準備
重要 : イ ン ス ト ールを開始す る 前に、 次を実行 し ておき ます。
•
シ ス テ ム ま たは製品オプシ ョ ンに伴 う イ ン ス ト ールの問題については、 第 1 章の 「重要情報」 セ ク シ ョ ン を参照
し て く だ さ い。
•
シ ス テ ムが第 2 章 「アーキ テ ク チ ャ サポー ト お よ び要件」 に示 さ れてい る 必要条件を満た し てい る か ど う か確認
し ます。
•
イ ン ス ト ール時間を削減す る ため、 ア ンチ ウ イ ルス ソ フ ト ウ ェ ア をオ フ に し ます。
•
イ ン ス ト ールを開始す る 前に、 すべてのプ ロ グ ラ ム を終了 し ます。
•
Vivado Design Suite の イ ン ス ト ー ラ ーは、 Windows では XILINX の よ う な グ ロ ーバル環境変数を設定 し ません。
Lab Edition、 フ ル製品ダウン ロー ド 、 または DVD
Lab Edition ま たはフル製品を イ ン ス ト ールす る 場合は、 ダ ウ ン ロ ー ド し た フ ァ イ ルを解凍 し て、 xsetup (Linux の場合)
ま た は xsetup.exe (Windows の場合) を 実行 し ま す。 フ ル エデ ィ シ ョ ン 製品のみ を 含む DVD を 受け取 っ た 場合は、
xsetup(.exe) を直接 ク リ ッ ク し ます。
推奨 : ダ ウ ン ロ ー ド し た tar.gz フ ァ イ ルを解凍す る には、 7-zip ま たは WinZip (v.15.0 以降) の使用をお勧め し ます。
軽量イ ン ス ト ー ラ ーのダウン ロー ド
軽量 イ ン ス ト ー ラ ー (Vivado ウ ェ ブ イ ン ス ト ール ク ラ イ ア ン ト ) の リ ン ク を ク リ ッ ク し 、 ザ イ リ ン ク ス のア カ ウ ン ト
にサ イ ン イ ン し て、 ア カ ウ ン ト 情報の変更が必要な場合は変更 し 、 [次へ] を ク リ ッ ク する と 、 次が表示 さ れます。 [実
行] を ク リ ッ ク し て イ ン ス ト ー ラ ーを実行 し ます (ま たは、[保存] を ク リ ッ ク し て フ ァ イ ルを任意のデ ィ レ ク ト リ に保
存 し てか ら 、 実行 し ます)。 ロ グ イ ン画面が表示 さ れた ら 、 通常のザ イ リ ン ク ス ロ グ イ ン ID を使用 し て ロ グ イ ン し ま
す。
ロ グ イ ン ID を入れた ら 、 従来の ウ ェ ブベース の イ ン ス ト ールか、 フル イ ン ス ト ール イ メ ージのダ ウ ン ロ ー ド か を選
択で き ます。[Download and Install Now] を選択す る と 、次の画面に特定の ツールお よ びデバ イ ス フ ァ ミ リ を選択で き 、
その選択に関連す る フ ァ イ ルのみがダ ウ ン ロ ー ド さ れ、 イ ン ス ト ール さ れます。 [Download Full Image] を選択 し た場
合は、 ダ ウ ン ロ ー ド デ ィ レ ク ト リ を ユーザーが指定 し て、 Windows のみか Linux のみか、 両方のオペレーテ ィ ン グ
シ ス テ ム をサポー ト す る イ ン ス ト ールか を選択す る 必要があ り ます。 [Download Full Image] を選択 し た場合は、 こ れ
以上オプシ ョ ン を選択す る 必要はな く 、 ダ ウ ン ロ ー ド デ ィ レ ク ト リ か ら xsetup アプ リ ケーシ ョ ン を実行 し て別々に
イ ン ス ト ールを実行す る 必要があ り ます。
注記 : Lab Edition は、 軽量 イ ン ス ト ー ラ ーではサポー ト さ れません。 Lan Edition 用の単一の イ メ ージ フ ァ イ ルを ダ ウ
ン ロ ー ド し て く だ さ い。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
20
第 3 章 : ダウン ロー ド お よび イ ン ス ト ール
X-Ref Target - Figure 3-2
図 3‐2 : Vivado Design Suite のイ ン ス ト ール ‐ イ ン ス ト ール ソ ースの選択
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
21
第 3 章 : ダウン ロー ド お よび イ ン ス ト ール
イ ン タ ーネ ッ ト 接続
イ ン ス ト ー ラ ーは、 Windows のシ ス テ ム プ ロ キ シ設定を使用 し て イ ン タ ーネ ッ ト に接続 し ます。 接続には、 [ コ ン ト
ロ ール パネル] → [ イ ン タ ーネ ッ ト オプシ ョ ン] での設定が使用 さ れ ま す。 Linux ユーザーの場合は、 Firefox ブ ラ ウ
ザーのプ ロ キ シ設定が接続に使用 さ れます。
X-Ref Target - Figure 3-3
図 3‐3 : Vivado Design Suite のイ ン ス ト ール ‐ 接続
接続に問題があ る 場合は、 次を確認 し て く だ さ い。
1.
別のプ ロ キ シ設定を使用す る 場合は、 [Manual Proxy Configuration] オプシ ョ ンで設定を指定 し ます。
‘
X-Ref Target - Figure 3-4
図 3‐4 : Vivado Design Suite のイ ン ス ト ールー プ ロキシ設定の変更
2.
会社の フ ァ イ ア ウ ォ ールにユーザー名 と パ ス ワ ー ド を使用 し たプ ロ キ シ認証が必要か ど う か を確認 し て く だ さ
い。 必要であれば、 上記のダ イ ア ロ グ ボ ッ ク ス で [Manual Proxy Configuration] で設定 し ます。
3.
Linux ユーザーが Firefox ブ ラ ウ ザで [Use system settings] ま たは [Auto-detect settings] のいずれか を選択 し た場合
は、 イ ン ス ト ー ラ ーでプ ロ キ シ を手動で設定す る 必要があ り ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
22
第 3 章 : ダウン ロー ド お よび イ ン ス ト ール
使用許諾契約
イ ン ス ト ールを続行す る 前に、 使用許諾契約をお読み く だ さ い。 契約条件を許諾で き ない場合は、 イ ン ス ト ールを
キ ャ ン セル し てザ イ リ ン ク ス ま でお問い合わせ く だ さ い。
X-Ref Target - Figure 3-5
図 3‐5 : Vivado Design Suite のイ ン ス ト ール ‐ 使用契約許諾
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
23
第 3 章 : ダウン ロー ド お よび イ ン ス ト ール
エデ ィ シ ョ ンの選択
必要なエデ ィ シ ョ ン ま たは ス タ ン ド ア ロ ン ツールを選択 し ます。ソ フ ト ウ ェ ア開発キ ッ ト (SDK) は、Vivado のエデ ィ
シ ョ ン (WebPACK、 System Edition、 Design Edition) の一部 と し て イ ン ス ト ールす る こ と も で き ます。
X-Ref Target - Figure 3-6
図 3‐6 : Vivado Design Suite のイ ン ス ト ール ‐ 製品の選択
Vivado の WebPACK お よ び Design Edition は、 イ ン ス ト ール後に System Edition にア ッ プグ レ ー ド す る こ と も で き ま
す。 詳細は、 31 ページの 「その他の ツールお よ びデバ イ ス の追加」 を参照 し て く だ さ い。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
24
第 3 章 : ダウン ロー ド お よび イ ン ス ト ール
ツール、 デバイ ス、 オプ シ ョ ン
デザ イ ン ツール、デバ イ ス フ ァ ミ リ お よ び イ ン ス ト ール オプシ ョ ン を選択 し て イ ン ス ト ールを カ ス タ マ イ ズ し ます。
必要な も のだけ を選択す る こ と で、 製品のダ ウ ン ロ ー ド お よ び イ ン ス ト ールにかか る 時間を削減で き ます。 後か ら 追
加す る には、 OS の [ ス タ ー ト ] メ ニ ュ ーか Vivado の [Help] メ ニ ュ ーのいずれかで [Add Design Tools or Devices] を ク
リ ッ ク し ます。
X-Ref Target - Figure 3-7
図 3‐7 : Vivado Design Suite のイ ン ス ト ール ー Vivado System Edition
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
25
第 3 章 : ダウン ロー ド お よび イ ン ス ト ール
シ ョ ー ト カ ッ ト および フ ァ イルの関連付け
プ ロ グ ラ ム グループ入力 ([ス タ ー ト ] メ ニ ュ ー ) と デス ク ト ッ プ シ ョ ー ト カ ッ ト の作成はカ ス タ マ イ ズで き ます。 オ
プシ ョ ンで、 こ の Vivado バージ ョ ン を使用 し て Vivado プ ロ ジ ェ ク ト フ ァ イ ルを開始す る ための フ ァ イ ルの関連付け
を作成す る こ と も で き ます。 シ ョ ー ト カ ッ ト 作成お よ びフ ァ イ ルの関連付けオプシ ョ ンは、 現在のユーザーま たはす
べてのユーザーに適用で き ます。
X-Ref Target - Figure 3-8
図 3‐8 : Vivado Design Suite のイ ン ス ト ール ‐ イ ン ス ト ール デ ィ レ ク ト リ の選択
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
26
第 3 章 : ダウン ロー ド お よび イ ン ス ト ール
イ ン ス ト ール サマ リ の確認
最後に イ ン ス ト ール サマ リ を確認 し て、 [Install] を ク リ ッ ク し ます。
X-Ref Target - Figure 3-9
図 3‐9 : Vivado Design Suite の イ ン ス ト ール - サマ リ
残 り のイ ン ス ト ール プ ロ セス
次が表示 さ れた ら 、 ザ イ リ ン ク ス プ ラ ッ ト フ ォ ーム ケーブル ま たは評価版プ ラ ッ ト フ ォ ーム JTAG が接続 さ れてい
る 場合は、 はず し て、 [OK] を ク リ ッ ク し ます。
X-Ref Target - Figure 3-10
図 3‐10 : ケー ブル ド ラ イ バー イ ン ス ト ー ラ ー
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
27
第 3 章 : ダウン ロー ド お よび イ ン ス ト ール
WinPcap の イ ン ス ト ールが必要な場合は、 イ ン ス ト ー ラ ーが起動 さ れます。 [Next] を ク リ ッ ク し て、[I Agree] を ク リ ッ
ク し て イ ン ス ト ールを し て く だ さ い。
X-Ref Target - Figure 3-11
図 3‐11 : WinPcap イ ン ス ト ー ラ ー
WinPcap が既に イ ン ス ト ール さ れてい る 場合は、 次の よ う な メ ッ セージが表示 さ れ ますので、 [Cancel] を ク リ ッ ク し
て、 WinPcap の イ ン ス ト ールを キ ャ ン セルで き ます。
X-Ref Target - Figure 3-12
図 3‐12 : WinPCap の警告 メ ッ セージ
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
28
第 3 章 : ダウン ロー ド お よび イ ン ス ト ール
MATLAB が認識 さ れなかっ た場合は次が表示 さ れ る ので、MATLAB を使用する 場合は [Find MATLAB] を ク リ ッ ク し
て イ ン ス ト ール デ ィ レ ク ト リ を指定 し ます。 使用 し ない場合は、 [OK] を ク リ ッ ク し ます。
X-Ref Target - Figure 3-13
図 3‐13 : MATLAB イ ン ス ト ール デ ィ レ ク ト リ の選択
次が表示 さ れた ら 、 イ ン ス ト ールは終了です。
X-Ref Target - Figure 3-14
図 3‐14 : イ ン ス ト ール終了 メ ッ セージ
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
29
第 3 章 : ダウン ロー ド お よび イ ン ス ト ール
Vivado License Manager が起動 さ れます。
X-Ref Target - Figure 3-15
図 3‐15 : Vivado License Manager
詳細は、 「 ラ イ セ ン ス の取得お よ び管理」 の章を参照 し て く だ さ い。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
30
第 3 章 : ダウン ロー ド お よび イ ン ス ト ール
ケーブル ド ラ イバーのイ ン ス ト ール
Windows の場合、 イ ン ス ト ー ラ ーに [Install Cable Drivers] がオプシ ョ ンで表示 さ れます。
Linux の場合、 ド ラ イ バーの イ ン ス ト ールにルー ト ま たは sudo ア ク セ ス が必要なので、 Vivado 2015.1 の Linux イ ン ス
ト ー ラ ーか ら は削除 さ れてい ます。 通常の Vivado イ ン ス ト ー ラ ーは、 ルー ト ま たは sudo 権限な し に Linux で実行で
き る よ う にな り ま し た。Linux にケーブル ド ラ イ バーを イ ン ス ト ールす る には、ルー ト ま たは sudo ポ ス ト イ ン ス ト ー
ル と し て実行す る 必要のあ る ス ク リ プ ト を使用で き ます。
スク リプ ト ディ レク ト リ :
<Vivado Install Dir>/data/xicom/cable_drivers/lin64/install_script/install_drivers/
ス ク リ プ ト 名 : install_drivers
その他のツールおよびデバイ スの追加
イ ン ス ト ール後にその他の ツール、 デバ イ ス を後か ら 追加 し た り 、 Vivado エデ ィ シ ョ ン を ア ッ プグ レー ド す る こ と も
で き ます。 こ れは、 デバ イ スお よ びツールの一部だけ を イ ン ス ト ールす る よ う に選択 し た場合に便利です。
新 し い ツールま たはデバ イ ス を追加す る には、 次を ク リ ッ ク し ます。
•
[ス タ ー ト ] → [Xilinx Design Tools] →> [Vivado <version>] → [Add Design Tools or Devices]
•
Vivado で [Help] → [Add Design Tools or Devices]
Vivado の WebPACK ま たは Design Edition を イ ン ス ト ール し た場合は、 エデ ィ シ ョ ン を ア ッ プグ レー ド す る オプシ ョ
ン も 選択で き ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
31
第 3 章 : ダウン ロー ド お よび イ ン ス ト ール
X-Ref Target - Figure 3-16
図 3‐16 : Vivado Design Suite のイ ン ス ト ール ‐ エデ ィ シ ョ ンの選択
上記の選択に基づいて、 現在の イ ン ス ト ールに追加可能な ツールお よ びデバ イ スすべてが表示 さ れます。
Xilinx Information Center (XIC) か ら ツールま たはデバ イ ス を追加する こ と も で き ます。 こ の フ ロ ーを使用す る 場合は、
「ア ッ プデー ト の取得」 を参照 し て く だ さ い。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
32
第 3 章 : ダウン ロー ド お よび イ ン ス ト ール
ネ ッ ト ワー ク イ ン ス ト ール
ネ ッ ト ワー ク 上に ソ フ ト ウ ェ ア を イ ン ス ト ールす る と 、そのネ ッ ト ワ ー ク ド ラ イ ブの ソ フ ト ウ ェ アに複数の ク ラ イ ア
ン ト マシ ンか ら ア ク セ ス で き ます。 ネ ッ ト ワー ク 上の ソ フ ト ウ ェ ア を使用す る 場合、 環境変数、 レ ジ ス ト リ 、 プ ロ グ
ラ ム グループな どすべてにネ ッ ト ワ ー ク のデ ィ レ ク ト リ が指定 さ れてい る 必要が あ り ま す。 次のセ ク シ ョ ン では、
ネ ッ ト ワー ク を設定す る 手順について説明 し ます。
Linux ク ラ イ ア ン ト
ソ フ ト ウ ェ アが イ ン ス ト ール さ れたデ ィ レ ク ト リ の settings32.(c)sh ま たは settings64.(c)sh (使用 し てい
る シ ェ ルに よ っ て異な る ) を source コ マ ン ド で実行 し ます。 こ れに よ り 、 環境が こ の イ ン ス ト ール デ ィ レ ク ト リ を指
定す る よ う に設定 さ れます。
ネ ッ ト ワー ク 上の イ ン ス ト ール デ ィ レ ク ト リ か ら デザ イ ン ツールを実行す る には、 X Windows Display Manager を起
動 し 、 DISPLAY 環境変数を設定す る 必要があ り ます。 デ ィ ス プ レ イ の名前に DISPLAY と 定義 し ます。 DISPLAY は
通常 unix:0.0 に し ます。 た と えば、 次の構文は bigben と い う ホ ス ト 上のツールを実行 し 、 mynode と い う ク ラ イ ア
ン ト マシ ンのモニ タ ーにグ ラ フ ィ ッ ク を表示 し ます。
setenv DISPLAY mynode:0.0
xhost = bigbenPC Clients
Microsoft Windows ク ラ イ ア ン ト
1.
デザ イ ン ツールを PC ネ ッ ト ワ ー ク サーバーに イ ン ス ト ール し ます。 こ の際、 ユーザーがデザ イ ン ツールの イ
ン ス ト ール デ ィ レ ク ト リ の場所を知っ てい る こ と 、ま たユーザーにそのデ ィ レ ク ト リ へのア ク セ ス権があ る こ と
を確認 し て く だ さ い。
2.
ロ ーカル ク ラ イ ア ン ト マシ ンか ら 、 ネ ッ ト ワー ク 上の
<install_root>\.xinstall\Vivado_<version>\bin デ ィ レ ク ト リ にあ る
networkShortcutSetup.exe フ ァ イ ルを実行 し ます。
こ の プ ロ グ ラ ム を 実行す る と 、 Windows 設定のバ ッ チ フ ァ イ ル と プ ロ グ ラ ム グ ループ ま た はデ ス ク ト ッ プ
シ ョ ー ト カ ッ ト が設定 さ れ、 リ モー ト デ ィ レ ク ト リ か ら ザ イ リ ン ク ス ツールが実行 さ れます。
3.
ロ ーカル マシ ンか ら Vivado Design Suite ツールを起動する には、[ス タ ー ト ] → [プ ロ グ ラ ム] か ら 該当す る ツール
を選択す る か、 デス ク ト ッ プのシ ョ ー ト カ ッ ト を ダブル ク リ ッ ク し ます。
割 り 当て済みネ ッ ト ワー ク ド ラ イ ブへのイ ン ス ト ール
ザ イ リ ン ク ス デザ イ ン ツールは、 ルー ト デ ィ レ ク ト リ の下のフ ォ ルダ (C: \Xilinx) に イ ン ス ト ール さ れ る よ う に
設定 さ れてい ます。 ロ ーカル ド ラ イ ブに イ ン ス ト ールす る 場合は、 通常 イ ン ス ト ー ラ ーで こ のデ ィ レ ク ト リ にな っ て
い ます。
こ の問題を回避す る には、 UNC パ ス (た と えば \\network_loc\Xilinx\) を指定す る か、 ネ ッ ト ワ ー ク デ ィ レ ク
ト リ の下に イ ン ス ト ール デ ィ レ ク ト リ \Xilinx を作成 し ます (例 : N:\Xilinx)。
Windows 7 のデフ ォ ル ト のセキ ュ リ テ ィ レベルの ま ま では、 割 り 当て たネ ッ ト ワ ー ク ド ラ イ ブ を選択す る こ と がで
き ません。 ザ イ リ ン ク ス デザ イ ン ツールを割 り 当てたネ ッ ト ワー ク ド ラ イ ブに イ ン ス ト ールす る には、 次の手順で
ア カ ウ ン ト 制御設定を変更す る 必要があ り ます。
1.
Windows の [ス タ ー ト ] メ ニ ュ ーか ら コ ン ト ロ ール パネルを開 き 、 [ユーザー ア カ ウ ン ト ] を ク リ ッ ク し ます。 コ
ン ト ロ ール パネルがカ テ ゴ リ 表示にな っ てい る 場合は、 [ユーザー ア カ ウ ン ト ] を 2 画面続けて ク リ ッ ク し ます。
2.
[ユーザー ア カ ウ ン ト 制御設定の変更] を ク リ ッ ク し 、 変更で き る よ う に し ます。
3.
ス ラ イ ダーの位置を次の図の よ う に下か ら 2 つ目に移動 し ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
33
第 3 章 : ダウン ロー ド お よび イ ン ス ト ール
4.
[OK] を ク リ ッ ク し ます。
X-Ref Target - Figure 3-17
図 3‐17 : Vivado Design Suite のイ ン ス ト ールー ユーザー ア カ ウン ト 制御設定
推奨 : イ ン ス ト ール後は、 同様の方法でユーザー ア カ ウ ン ト の設定を設定前の状態に戻 し てお く こ と をお勧め し ま
す。
注記 : ザ イ リ ン ク ス イ ン ス ト ー ラ ーを使用 し てネ ッ ト ワ ー ク ド ラ イ ブ を指定す る こ と はで き ません。 こ の場合、割 り
当て ら れたネ ッ ト ワー ク ド ラ イ ブ を含む イ ン ス ト ール パ ス を手動で入力す る 必要があ り ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
34
第 3 章 : ダウン ロー ド お よび イ ン ス ト ール
バ ッ チ モー ド イ ン ス ト ール フ ロー
Vivado 2015.1 か ら 、 イ ン ス ト ー ラ ーがバ ッ チ プ ロ セ ス と し て実行で き る よ う にな り ま し た。 標準的なエデ ィ シ ョ ン
を実行す る には、 イ ン ス ト ール デ ィ レ ク ト リ を指定す る か、 イ ン ス ト ー ラ ーに イ ン ス ト ール デ ィ レ ク ト リ と 、 ど の
ツール、 デバ イ ス、 オプシ ョ ン を イ ン ス ト ールする のか伝え る コ ン フ ィ ギ ュ レーシ ョ ン フ ァ イ ルを取得 し てい る 必要
があ り ます。イ ン ス ト ー ラ ーには、よ く 使用 さ れ る コ ン フ ィ ギ ュ レーシ ョ ンに基づいて リ フ ァ レ ン ス オプシ ョ ン フ ァ
イ ルを生成で き る モー ド があ る ので、 イ ン ス ト ールを さ ら に編集 し て カ ス タ マ イ ズで き ます。
推奨 : こ の リ フ ァ レ ン ス は各四半期 リ リ ース ご と に生成 し て、 新 し いデバ イ ス、 オプシ ョ ン ま たはその他の変更点が
ユーザーのオプシ ョ ン フ ァ イ ルに含まれ る よ う にする こ と をお勧め し ます。
バ ッ チ モー ド の使用を開始す る には、 まず コ マ ン ド シ ェ ルを開いて、 抽出 し た イ ン ス ト ー ラ ーを保存 し たデ ィ レ ク
ト リ に移動 し ます。
注記 : Windows の場合、 管理者権限で コ マ ン ド ウ ィ ン ド ウ を開 き 、 次のオプシ ョ ン を使用 し た xsetup.exe ではな く 、
\bin デ ィ レ ク ト リ の xsetup.bat フ ァ イ ルを実行 し ます。
コ ン フ ィ ギ ュ レーシ ョ ン フ ァ イルの生成
xsetup -b ConfigGen を実行 し ます。
こ れで次の メ ニ ュ ーが表示 さ れ る イ ン タ ラ ク テ ィ ブ モー ド にな り ます。次に リ ス ト さ れ る エデ ィ シ ョ ンか ら 選択 し て
く だ さ い。
1.
Vivado WebPACK
2.
Vivado Design Edition
3.
Vivado System Edition
4.
Documentation Navigator (ス タ ン ド ア ロ ン)
エデ ィ シ ョ ン を選択す る と 、 コ ン フ ィ ギ ュ レーシ ョ ン フ ァ イ ルのデ ィ レ ク ト リ と フ ァ イ ル名が表示 さ れ、 イ ン タ ラ ク
テ ィ ブ モー ド が終了 し ます。
次は、 WebPACK コ ン フ ィ ギ ュ レーシ ョ ン フ ァ イ ルの例です。
#### Vivado WebPACK Install Configuration ####
Edition=Vivado WebPACK
Destination=C:\Xilinx
Modules=Vivado:1,Vivado High Level Synthesis:0,Software Development
Kit:0,DocNav:0,Artix-7,Kintex-7,Zynq-7000:1
#### Shortcut creation ####
CreateProgramGroupShortcuts=1
CreateShortcutsForAllUsers=0
ProgramGroupFolder=Xilinx Design Tools
CreateDesktopShortcuts=1
CreateFileAssociation=1
#### Post install tasks ####
## Post install tasks can be configured as shown below.
InstallOptions=Configure WebTalk:1,Install and Initialize Trusted Storage
Licensing:1,Generating installed device list:1,Install VC++ runtime libraries for
64-bit OS:1,Install Cable Drivers:0,Acquire or Manage a License Key:0,run:xic:1
基本的には、 コ ン フ ィ ギ ュ レーシ ョ ン フ ァ イ ルの各オプシ ョ ンは GUI のオプシ ョ ン と 同 じ で、 値 1 はそのオプシ ョ
ンが選択 さ れてい る こ と を、 値 0 はそのオプシ ョ ンが選択 さ れていない こ と を示 し ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
35
第 3 章 : ダウン ロー ド お よび イ ン ス ト ール
イ ン ス ト ー ラ ーの実行
こ こ ま ででユーザーの イ ン ス ト ール プ リ フ ァ レ ン ス を反映す る コ ン フ ィ ギ ュ レ ーシ ョ ン フ ァ イ ルを編集 し たので、
次は イ ン ス ト ー ラ ーを実行 し ます。 イ ン ス ト ー ラ ー コ マ ン ド ラ イ ンの一部 と し て、 ザ イ リ ン ク スお よ びサー ド パー
テ ィ の使用許諾契約を承認 し 、 WebTalk の契約条件を理解 し てい る こ と を示す必要があ り ます。
ザイ リ ン ク ス エ ン ド ユーザー使用許諾契約 (EULA)
http://japan.xilinx.com/support/documentation/sw_manuals_j/xilinx2015_1/end-user-license-agreement.pdf
サー ド パーテ ィ エ ン ド ユーザー使用許諾契約 (EULA)
http://japan.xilinx.com/support/documentation/sw_manuals_j/xilinx2015_1/ug763_tplg.pdf
WebTalk 契約条件
[I agree] を ク リ ッ ク す る こ と で、 上記の WebTalk に関す る 契約条件のセ ク シ ョ ン 13 を読み、
http://japan.xilinx.com/webtalk の WebTalk FAQ を読む機会が与え ら れた こ と を確認 し ます。 セ ク シ ョ ン 13(c) に記
述 さ れ る 特定の条件が当ては ま る 場合は、 WebTalk をオ フ にで き る こ と を理解 し ます。 条件が該当 し ない場合
は、 ソ フ ト ウ ェ ア を ア ン イ ン ス ト ールす る か、 イ ン タ ーネ ッ ト に接続 さ れていないマシ ンで ソ フ ト ウ ェ ア を使
用す る こ と で、 WebTalk をデ ィ ス エーブルにで き ます。 該当条件を満たす こ と がで き ない場合、 ま たは こ の よ う
な情報の伝達を回避す る ための適切な手順を踏めない場合は、 セ ク シ ョ ン 13(b) に記述 さ れた目的でセ ク シ ョ ン
13(a) で記述 さ れた情報をザ イ リ ン ク ス が収集する こ と に同意 し ます。
上記のそれぞれの項目の同意を示すには、 コ マ ン ド ラ イ ン オプシ ョ ンの -a ま たは --agree を使用 し ます。 上記の
1 つが リ ス ト に含まれない場合、 ま たは agree オプシ ョ ンが指定 さ れない場合、 エ ラ ー メ ッ セージが表示 さ れて イ ン
ス ト ー ラ ーが停止 し て、 イ ン ス ト ールがで き ません。
コ マ ン ド ラ イ ン例
次は、 コ ン フ ィ ギ ュ レーシ ョ ン フ ァ イ ルを使用 し た典型的な新 し い イ ン ス ト ールを実行する コ マ ン ド ラ イ ン例です。
xsetup --agree XilinxEULA,3rdPartyEULA,WebTalkTerms --batch Install
install_config.txt
--config
ザ イ リ ン ク ス のデフ ォ ル ト エデ ィ シ ョ ン コ ン フ ィ ギ ュ レーシ ョ ンの 1 つを使用す る 場合、 --config オプシ ョ ン を
指定す る 必要はあ り ませんが、 デ ィ ス テ ィ ネーシ ョ ン デ ィ レ ク ト リ は コ ン フ ィ ギ ュ レーシ ョ ン フ ァ イ ルに含ま れ る
ので、 こ れを コ マ ン ド ラ イ ンで指定す る 必要があ り ます。
xsetup --agree 3rdPartyEULA,WebTalkTerms,XilinxEULA --batch Install --edition
"Vivado System Edition" --location "C:\Xilinx"
above コ マ ン ド は、 指定 し たエデ ィ シ ョ ンのデフ ォ ル ト コ ン フ ィ ギ ュ レーシ ョ ン オプシ ョ ン を使用 し ます。 デフ ォ ル
ト コ ン フ ィ ギ ュ レーシ ョ ン オプシ ョ ン を確認す る には、上記に示す よ う に –b ConfigGen モー ド を使用 し ます。 Vivado
イ ン ス ト ー ラ ーのバ ッ チ モー ド は、 ア ン イ ン ス ト ール と ア ッ プグ レー ド (後か ら ツールお よ びデバ イ ス を追加) も 実
行で き ま す。 イ ン ス ト ー ラ ーのバ ッ チ オ プ シ ョ ン の リ ス ト すべて を 確認す る には、 xsetup -h ま た は xsetup
--help を実行 し ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
36
第 3 章 : ダウン ロー ド お よび イ ン ス ト ール
ア ッ プデー ト の取得
ザ イ リ ン ク ス では、 四半期ベース で Vivado Design Suite ツールのア ッ プデー ト バージ ョ ン を リ リ ースす る 予定です。
ア ッ プデー ト には、 デバ イ ス サポー ト のア ッ プデー ト 、 新機能、 バグ修正な ど が含まれます。 次のセ ク シ ョ ン では、
Xilinx Information Center か ら ソ フ ト ウ ェ ア ア ッ プデー ト を入手する 方法について説明 し ます。
Xilinx Information Center
Xilinx Information Center (XIC) は、 XilinxNotify に代わ る 次世代の機能で、 タ ス ク バー (Windows の場合) にあ り 、 ザ イ
リ ン ク ス か ら の新 リ リ ースお よ びア ッ プデー ト が定期的にチ ェ ッ ク さ れます。 通知を表示 し た り 解除 し た り 、 ア ッ プ
デー ト を イ ン ス ト ール し た り で き ます。
ま た、 XIC か ら すべてのザ イ リ ン ク ス ツールお よ び イ ン ス ト ールを ユーザーが管理で き る よ う にな り ま し た。 新 し い
[Manage Installs] タ ブか ら は ラ イ セ ン ス を ア ッ プデー ト し た り 、確認 し た り 、すべて を ア ン イ ン ス ト ール し た り で き ま
す。
X-Ref Target - Figure 3-18
図 3‐18 : Xilinx Information Center (XIC)
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
37
第 3 章 : ダウン ロー ド お よび イ ン ス ト ール
Vivado Design Suite ツールのア ン イ ン ス ト ール
ア ン イ ン ス ト ールす る 場合、 ザ イ リ ン ク ス イ ン ス ト ール デ ィ レ ク ト リ か ら プ ロ ジ ェ ク ト フ ァ イ ルを移動 し ておかな
い と 、 削除 さ れて し ま い ます。
注記 : Xilinx Documentation Navigator は、 ア ン イ ン ス ト ール中に削除 さ れません。 こ れは、 複数バージ ョ ンのザ イ リ ン
ク ス ツールで共通の ス タ ン ド ア ロ ン アプ リ ケーシ ョ ン です。 必要でなければ、 別途ア ン イ ン ス ト ールす る 必要があ
り ます。
ア ン イ ン ス ト ール
ア ン イ ン ス ト ールす る 場合、 ザ イ リ ン ク ス イ ン ス ト ール デ ィ レ ク ト リ か ら プ ロ ジ ェ ク ト フ ァ イ ルを移動 し ておかな
い と 、 削除 さ れて し ま い ます。 Documentation Navigator お よ び Xilinx Information Center のア ン イ ン ス ト ールに関す る
情報については、 次を参照 し て く だ さ い。
Documentation Navigator のア ン イ ン ス ト ール
Xilinx Documentation Navigator は、 ア ン イ ン ス ト ール中に削除 さ れ ません。 こ れは、 複数バージ ョ ン のザ イ リ ン ク ス
ツールで共通の ス タ ン ド ア ロ ン アプ リ ケーシ ョ ン です。 必要な く な っ た場合は、 [ ス タ ー ト ] メ ニ ュ ーのプ ロ グ ラ ム
グループの [Uninstall DocNav]、 ま たは コ ン ト ロ ール パネルの [プ ロ グ ラ ム と 機能] か ら 別にア ン イ ン ス ト ールする 必
要があ り ます。
Xilinx Information Center のア ン イ ン ス ト ール
Xilinx Information Center は、 ア ン イ ン ス ト ール中に削除 さ れません。 こ れは、 複数バージ ョ ンのザ イ リ ン ク ス ツール
で共通の ス タ ン ド ア ロ ン アプ リ ケーシ ョ ンです。 必要な く な っ た場合は、 コ ン ト ロ ール パネルの [プ ロ グ ラ ム と 機能
] か ら 別にア ン イ ン ス ト ールす る 必要があ り ます。
Microsoft Windows でのア ン イ ン ス ト ール
Vivado Design Suite ツール製品を ア ン イ ン ス ト ールす る には、 [ス タ ー ト ] メ ニ ュ ー → [Xilinx Design Tools] → [Vivado
2015.1] → [Accessories] → [Uninstall Vivado 2015.1] を ク リ ッ ク し ます。
Linux でのア ン イ ン ス ト ール ザ イ リ ン ク ス製品を ア ン イ ン ス ト ールす る には、 [ス タ ー ト ] メ ニ ュ ーか ら その製品の [Uninstall] メ ニ ュ ーを ク リ ッ ク
し ます。 た と えば、 Vivado Design Suite を ア ン イ ン ス ト ールする には、 [Start] → [All Programs] → [Xilinx Design Tools]
→ [Vivado 2015.1] → [Uninstall] を ク リ ッ ク し ます。
プ ロ グ ラ ム グループに入力が な い場合は、 次の コ マ ン ド ラ イ ン オプ シ ョ ン を 使用 し て ア ン イ ン ス ト ール し ま す。
<install_path>\.xinstall\Vivado_2015.1\ xsetup.exe –Uninstall
[Uninstall] か ら 該当す る 入力を使用す る か、 プ ロ グ ラ ム の コ ン ト ロ ール パネル オプシ ョ ン を変更 し て も ア ン イ ン ス
ト ールで き ます (Windows の場合)。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
38
第 4章
WebTalk
WebTalk 機能を使用す る と 、 ザ イ リ ン ク ス FPGA デバ イ ス、 ソ フ ト ウ ェ アお よ び IP の使用に関す る 統計をザ イ リ ン ク
ス に送信で き ます。 WebTalk で収集お よ び送信 さ れた情報は、 カ ス タ マーに と っ て重要な機能を向上す る こ と に焦点
を当ててザ イ リ ン ク ス が開発活動を行い、 カ ス タ マーの現在お よ び将来のニーズに よ り 迅速に対応で き る よ う 活用 さ
せていただ き ます。 WebTalk を オ ン にす る と 、 お客様の Vivado® Design Suite の使用に関す る 情報がザ イ リ ン ク スへ
提供 さ れます。
WebTalk への参加
次の場合を除いて Webtalk への参加は任意 と し ます。
•
WebPack™ ラ イ セ ン ス を使用 し てい る 。
•
プ レ リ リ ース の ソ フ ト ウ ェ ア ま たはデバ イ ス を使用 し てい る 。
こ の よ う な場合、 プ リ フ ァ レ ン ス設定に関わ ら ず、 WebTalk に よ る デー タ 収集お よ び送信は常に実行 さ れます。 それ
以外の場合は、 WebTalk をオ フ にする と デー タ は送信 さ れません。
次の表は、 ザ イ リ ン ク ス ラ イ セ ン ス、 WebTalk イ ン ス ト ール プ リ フ ァ レ ン ス、 お よ びユーザーのプ リ フ ァ レ ン ス設
定に基づいて、 ザ イ リ ン ク スへ配線後のデザ イ ンか ら のデー タ が ど の よ う に送信 さ れ る かについて ま と めてい ます。
表 4‐1 : ビ ッ ト ス ト リ ーム生成または配線デザイ ン フ ローでの WebTalk の動作
WebTalk ユーザー WebTalk イ ン ス ト ール ザイ リ ン ク への
プ リ フ ァ レ ン スが
プ リ フ ァ レ ン スがオン
WebTalk デー タ の送信
オン
アー リ ー ア ク セス
サポー ト
ラ イセンス
○
該当な し
該当な し
該当な し
○
X
WebPACK™
該当な し
該当な し
○
X
Edition ラ イ セ ン ス
X
該当な し
X
X
Edition ラ イ セ ン ス
○
X
X
X
Edition ラ イ セ ン ス
○
○
○
注記 : デバ イ ス が WebPack の場合、 ツールでは まず最初に WebPack ラ イ セ ン ス が検索 さ れます。
WebTalk イ ン ス ト ールのプ リ フ ァ レ ン ス設定
WebTalk は、 次の よ う に、 イ ン ス ト ール中ま たは イ ン ス ト ール後にグ ロ ーバルにオン/オ フ にで き ます。 イ ン ス ト ール
中は、[Enable WebTalk to send software, IP and device usage statistics to Xilinx (Always enabled for WebPACK license)] チ ェ ッ
ク ボ ッ ク ス で WebTalk イ ン ス ト ール オプシ ョ ン を オン/オ フ にで き ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
39
第 4 章 : WebTalk
X-Ref Target - Figure 4-1
図 4‐1 : WebTalk のイ ン ス ト ール オプ シ ョ ン
WebTalk イ ン ス ト ール オプシ ョ ン を オン ま たはオ フ にす る には、 Tcl コ マ ン ド config_webtalk を使用 し ます。
config_webtalk -install on|off
on : WebTalk をオンに し ます。
off : WebTalk を オ フ に し ます。
イ ン ス ト ール設定は、 次のデ ィ レ ク ト リ に保存 さ れます。
•
Windows 7 :<install dir>/vivado/data/webtalk/webtalksettings
•
Linux : <install dir>/vivado/data/webtalk/webtalksettings
注記 : イ ン ス ト ール デ ィ レ ク ト リ に書 き 込むには、 管理者権限が必要です。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
40
第 4 章 : WebTalk
WebTalk ユーザー プ リ フ ァ レ ン スの設定
WebTalk ユーザー オプシ ョ ンは、[Tools] → [Options] → [General] を ク リ ッ ク す る と 表示 さ れ る 次の画面でオン/オ フ に
で き ます。
X-Ref Target - Figure 4-2
図 4‐2 : WebTalk ユーザー プ リ フ ァ レ ン ス
イ ン ス ト ール後には、 Tcl コ マ ン ド config_webtalk を使用す る と WebTalk をオン ま たはオ フ にで き ます。
config_webtalk -user on|off
on : 現在のユーザーの WebTalk を オンに し ます。
off : 現在のユーザーの WebTalk を オ フ に し ます。
ユーザー設定は、 次のデ ィ レ ク ト リ に保存 さ れます。
•
Windows 7 :
%APPDATA%\Xilinx\Common\<version>\webtalk
説明 :
%APPDATA% は次の と お り です。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
41
第 4 章 : WebTalk
C:\Users\<user>\AppData\Roaming
•
Linux :
%APPDATA%/.Xilinx/Common/<version>/webtalk
説明 :
%APPDATA% は次の と お り です。
/home/<user>
WebTalk イ ン ス ト ールおよびユーザー プ リ フ ァ レ ン
スの確認
Tcl コ マ ン ド config_webtalk を使用 し て、 WebTalk の設定を確認する こ と も 可能です。 コ マ ン ド ラ イ ン オプシ ョ
ン -info を使用す る と 、 イ ン ス ト ール設定お よ びユーザー設定の値が レ ポー ト さ れます。
config_webtalk -info
収集 さ れるデー タ の種類
WebTalk では、 お客様のデザ イ ン を リ バース エン ジニア リ ン グす る こ と を可能にす る ネ ッ ト リ ス ト やその他の機密情
報は収集 さ れません。 WebTalk では、 次のデー タ が収集 さ れます。
•
ツールのバージ ョ ン
•
プ ラ ッ ト フ ォーム情報 (OS、 プ ロ セ ッ サの速度お よ び数、 メ イ ン メ モ リ な ど)
•
プ ロ ジ ェ ク ト ID
•
承認 コ ー ド
•
生成日
•
タ ーゲ ッ ト デバ イ スお よ びフ ァ ミ リ 情報
収集 さ れ る デー タ の種類の詳細は、 Xilinx Design Tools WebTalk ウ ェ ブページ[参照 13] を参照 し て く だ さ い。デザ イ ン
で収集 さ れた WebTalk デー タ を確認す る 場合は、 プ ロ ジ ェ ク ト デ ィ レ ク ト リ に含まれてい る
usage_statistics_webtalk.xml フ ァ イ ルを開 き ます。 usage_statistics_webtalk.xml フ ァ イ ルでは、
ザ イ リ ン ク ス に送信 さ れたデー タ も 簡単に確認で き ます。
デー タ の送信
WebTalk は、 ビ ッ ト ス ト リ ーム生成ま たはデザ イ ン配線後に起動 さ れます。 WebTalk はビ ッ ト ス ト リ ーム後ま たは配
線デザ イ ンの コ ンパ イ ル後に起動 さ れます。収集 さ れたデー タ は usage_statistics_webtalk.xml フ ァ イ ルに ま
と め ら れ、 HTTPS (Hypertext Transfer Protocol Secure) ポ ス ト を介 し てザ イ リ ン ク ス に送信 さ れ ま す。 デザ イ ン を コ ン
パ イ ルす る たびに、 前の usage_statistics_webtalk.xml フ ァ イ ルの内容が上書 き さ れます。 ま た、 WebTalk で
は同等の HTML フ ァ イ ル usage_statistics_webtalk.html が書 き 出 さ れ る ので、 ザ イ リ ン ク ス に転送 さ れたデー タ を確認
す る と き に便利です。 vivado.log (ま たは runme.log) フ ァ イ ルが記述 さ れ、 ザ イ リ ン ク スへの フ ァ イ ル送信が正
し く 完了 し たか ど う かの情報 も 確認で き ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
42
第 5章
ラ イ セ ン スの取得および管理
ザ イ リ ン ク ス の製品 ラ イ セ ン ス (Product Licensing) サ イ ト か ら は、ザ イ リ ン ク ス ソ フ ト ウ ェ アお よ び IP 製品のダ ウ ン
ロ ー ド 、ラ イ セ ン ス、評価な ど のオン ラ イ ン サービ ス を利用で き ます。こ の章では、製品 ラ イ セ ン ス サ イ ト の FLEXnet
ラ イ セ ン ス生成機能ついて説明 し てい ます。
ラ イ セ ン ス概要
2 種類の製品ラ イ セ ン ス
ザ イ リ ン ク ス デザ イ ン ツールでは、 次の 2 種類のザ イ リ ン ク ス エン ド ユーザー 使用許諾契約が提供 さ れてい ます。
•
証明書ベースの ラ イ セ ン ス : こ れは、 ISE® 11.1 リ リ ース の ISE Design Suite か ら 導入 さ れた ラ イ セ ン ス許諾方法
です。証明書 と は、ザ イ リ ン ク ス製品 ラ イ セ ン ス サ イ ト か ら 発行 さ れ る ラ イ セ ン ス フ ァ イ ル (.lic) の こ と で、ユー
ザーの入力 し た ホ ス ト ID (個別マシ ン を認識) に基づいて、 指定 さ れたサーバーま たは ラ イ セ ン ス ド ン グルにそ
れぞれ対応 し ます。Vivado ツールでは ラ ン タ イ ム中に有効な ラ イ セ ン ス機能をチ ェ ッ ク す る ために こ の ラ イ セ ン
ス証明書にア ク セ スす る 必要があ る ので、 こ の フ ァ イ ルはマシ ン上の ラ イ セ ン ス検索パ ス に保存 し てお く 必要が
あ り ます。
•
ア ク テ ィ ベーシ ョ ン ラ イ セ ン ス : 権限のあ る 各マシ ン ご と にフ ァ イ ルは必要な く 、 ク ラ イ ア ン ト ま たはサーバー
のハー ド ド ラ イ ブの信頼 さ れ る デ ィ レ ク ト リ に許可証明書が保存 さ れます。 2014 年 4 月 よ り 後に購入 し た新 し
い Vivado ツール ラ イ セ ン ス のほ と ん ど で、 ア ク テ ィ ベーシ ョ ン ベース ラ イ セ ン ス と い う ラ イ セ ン ス テ ク ノ ロ
ジが使用 さ れてい ま す。 Vivado を管理者権限で イ ン ス ト ール し た場合は、 こ の信頼ス ト レージ エ リ アが自動的
に イ ン ス ト ール さ れて、 Windows オペレーテ ィ ン グ シ ス テ ム で初期化 さ れ る はずです。 さ れない場合は、 その
他のア ク テ ィ ベーシ ョ ン ラ イ セ ン ス の処理前に信頼ス ト レージ エ リ ア を イ ン ス ト ール し て初期化す る 必要があ
り ます。 詳細は、 「ユーザー マシ ンへの証明書ベース の ノ ー ド ロ ッ ク ラ イ セ ン ス フ ァ イ ルの イ ン ス ト ール」 ま
たは 「ア ク テ ィ ベーシ ョ ン フ ロ ーテ ィ ン グ (サーバー ) ラ イ セ ン ス の作成、 イ ン ス ト ール、 指定」 を参照 し て く
だ さ い。
Vivado ツールは こ の信頼 さ れ る ス ト レージ デ ィ レ ク ト リ に適切な証明書が含ま れていれば、 実行がで き る よ う
にな っ てい ます。 ア ク テ ィ ベーシ ョ ン ベース の ラ イ セ ン ス では ラ イ セ ン ス フ ァ イ ルが使用 さ れないので、 USB
ラ イ セ ン ス ド ン グル と は一緒に使用で き ません。
証明書ラ イ セ ン スに関する用語
•
ホ ス ト ID (Host ID) : 証明書 ラ イ セ ン ス内の ID で、 こ の ID を使用 し てその コ ン ピ ュ ー タ ーへの ラ イ セ ン ス が ま
と め ら れます。 通常の ID は、 ハー ド ド ラ イ ブ容量 ID、 イ ーサネ ッ ト ポー ト MAC ア ド レ ス、 ま たは USB ド ン
グル ID な ど です。
•
ノ ー ド ロ ッ ク ラ イ セ ン ス (Node-Locked License) : 特定マシ ンに対 し て製品権利の単一シー ト 分を使用で き る よ
う にな り ます。
•
フ ロ ーテ ィ ン グ ラ イ セ ン ス (Floating License) : ネ ッ ト ワー ク サーバーに保存 さ れ、 ラ イ セ ン ス はアプ リ ケーシ ョ
ンが起動 さ れ る と チ ェ ッ ク ア ウ ト さ れます。 同時に使用で き る ユーザーの数は、 購入 し た ラ イ セ ン ス の数に よ っ
て異な り ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
43
第 5 章 : ラ イ セ ン スの取得および管理
•
ラ イ セ ン ス リ ホ ス ト (License Rehosting) : マシ ンのハー ド ウ ェ ア変更、 ハー ド ウ ェ ア ド ラ イ ブ エ ラ ー、 ま たは ラ
イ セ ン ス の別のマシ ンへの移行の際に、 生成済み ラ イ セ ン ス のホ ス ト ID を変更す る こ と です。
•
ラ イ セ ン ス削除 (License Deletion) : マシ ンか ら ラ イ セ ン ス を削除 し 、 権利をザ イ リ ン ク ス製品 ラ イ セ ン ス ア カ ウ
ン ト に戻す こ と です。
•
廃棄宣誓書 (Affidavit of Destruction) : リ ホ ス ト ま たは削除 さ れた ラ イ セ ン ス の ラ イ セ ン ス フ ァ イ ル (.lic) を破棄
し 、 使用 し ない と い う こ と を ク リ ッ ク し て許諾 し ます。
ア ク テ ィ ベーシ ョ ン ラ イ セ ン スに関する用語
•
ク ラ イ ア ン ト ラ イ セ ン ス (Client License) : 特定マシ ンに対 し て製品権利の単一シー ト 分を使用で き る よ う にな り
ます。 こ れは、 証明書ベース の ノ ー ド ロ ッ ク ラ イ セ ン ス に該当す る ア ク テ ィ ベーシ ョ ン ベース の ラ イ セ ン ス で
す。
•
サーバー ラ イ セ ン ス (Server License) : 証明書ベース の フ ロ ーテ ィ ン グ ラ イ セ ン ス に該当す る ア ク テ ィ ベーシ ョ
ン ベース の ラ イ セ ン ス です。 ネ ッ ト ワ ー ク サーバーに保存 さ れ、 ラ イ セ ン ス はアプ リ ケーシ ョ ンが起動 さ れ る
と チ ェ ッ ク ア ウ ト さ れます。 同時に使用で き る ユーザーの数は、 購入 し た ラ イ セ ン ス の数に よ っ て異な り ます。
•
信頼ス ト レージ (Trusted Storage) : ア ク テ ィ ベーシ ョ ン ラ イ セ ン ス のホ ス ト 情報お よ び権利が保存 さ れ る デ ィ レ
ク ト リ です。
•
リ ク エ ス ト 作成 (Request Creation) : ア ク テ ィ ベーシ ョ ン ラ イ セ ン ス は、 リ ク エ ス ト /フルフ ィ ル メ ン ト シ ス テ ム
に基づいてい ます。 新 し い ラ イ セ ン ス に対す る リ ク エ ス ト が まず信頼ス ト レージに記録 さ れ る 必要があ り ます。
こ れ は、 [Obtain License] 画面 の [Connect Now] ま た は [Save Link As] ボ タ ン を ク リ ッ ク す る と Vivado License
Manager で自動的に実行 さ れ ま す。 ま た は、 フ ロ ーテ ィ ン グ ア ク テ ィ ベーシ ョ ン ラ イ セ ン ス の設定に必要な
xlicsrvrmgr ツールに同様の コ マ ン ド ラ イ ン引数を使用 し て も 自動的に実行 さ れます。 リ ク エ ス ト ID が作成
さ れ、 それがホ ス ト 情報 と 共にザ イ リ ン ク ス製品 ラ イ セ ン ス サ イ ト に送信 さ れ ま す。 ラ イ セ ン ス が ラ イ セ ン ス
サ イ ト で生成 さ れ る と 、 同 じ リ ク エ ス ト ID で フ ルフ ィ ル メ ン ト XML フ ァ イ ルが作成 さ れ ま す。 ア ク テ ィ ベー
シ ョ ン フルフ ィ ル メ ン ト XML フ ァ イ ルが信頼ス ト レージに読み込まれ、 フルフ ィ ル メ ン ト の リ ク エ ス ト ID が
信頼ス ト レージに保存 さ れた も の と 一致すれば、 ア ク テ ィ ベーシ ョ ンが問題な く 実行 さ れます。 ラ イ セ ン ス がア
ク テ ィ ベーシ ョ ン さ れれば、 その リ ク エ ス ト は非ア ク テ ィ ベー ト さ れて、 別の ラ イ セ ン ス の新 し い リ ク エ ス ト が
で き る よ う にな り ます。
•
ラ イ セ ン ス返却 (Return License) : ア ク テ ィ ベーシ ョ ンの場合、 ラ イ セ ン ス リ ホ ス ト 、 ラ イ セ ン ス削除、 廃棄宣言
書は必要な く な り ま し た。 ア ク テ ィ ベーシ ョ ンでは、 ユーザーが ク ラ イ ア ン ト ま たはサーバー マシ ンか ら ザ イ リ
ン ク スへ ラ イ セ ン ス の返却をす る こ と がで き ます。 返却 リ ク エ ス ト があ る と 、 ラ イ セ ン ス が ロ ーカル マシ ン で無
効にな り 、 返却 リ ク エ ス ト がザ イ リ ン ク ス製品 ラ イ セ ン ス サ イ ト へ送信 さ れ ま す。 それが処理 さ れ る と 、 ユー
ザーの製品 ラ イ セ ン ス ア カ ウ ン ト に権限が戻 り 、 メ ッ セージが信頼 さ れ る ス ト レージに送信 さ れ、 マシ ンか ら 返
却 ラ イ セ ン ス が削除 さ れます。
ラ イ セ ン スの互換性
Vivado 2014.1 以降の リ リ ース では、 証明書ベース と ア ク テ ィ ベーシ ョ ン ベース の両方の ラ イ セ ン ス が認識 さ れます。
ラ イ セ ン ス バージ ョ ン と 日付が、 ツールの使用 さ れ る 間有効であれば、 ラ イ セ ン ス が証明書ベース であ る かア ク テ ィ
ベーシ ョ ン ベース であ る かは関係あ り ません。
ア ク テ ィ ベーシ ョ ン ラ イ セ ン スの違い
信頼ス ト レージ エ リ ア を許諾す る には、 ザ イ リ ン ク ス製品 ラ イ セ ン ス サ イ ト か ら ク ラ イ ア ン ト ま たはサーバー マシ
ンへア ク テ ィ ベーシ ョ ン レ コ ー ド を送信す る 必要があ り ます。 現在の と こ ろ、 こ れは暗号化 さ れた許諾を含む XML
フ ァ イ ルを使用 し て実行 さ れます。 ア ク テ ィ ベーシ ョ ン ラ イ セ ン ス を生成する と 、 こ れま での証明書ベース の ラ イ セ
ン ス フ ァ イ ル (.lic) の場合 と 同様、 XML フ ァ イ ルが電子 メ ールで送信 さ れます。 証明書ベース の .lic フ ァ イ ルはザ イ
リ ン ク ス ソ フ ト ウ ェ アで続けて ア ク セ ス さ れ る 必要があ る ので、有効な ラ イ セ ン ス検索パ ス に保持 さ れ る 必要があ り
ます。 XML ア ク テ ィ ベーシ ョ ン レ コ ー ド は、 許諾を信頼ス ト レージ エ リ アに読み込むために使用 さ れます。 許諾が
読み込まれた ら 、 XML ア ク テ ィ ベーシ ョ ン レ コ ー ド は必要な く な り ます。
ア ク テ ィ ベーシ ョ ン権限に基づいた ラ イ セ ン ス を生成す る には、ラ イ セ ン ス を まず ク ラ イ ア ン ト ま たはサーバー コ ン
ピ ュ ー タ ーの信頼ス ト レージ エ リ アで リ ク エ ス ト する 必要があ り ます。 ク ラ イ ア ン ト ( ノ ー ド ロ ッ ク ) ア ク テ ィ ベー
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
44
第 5 章 : ラ イ セ ン スの取得および管理
シ ョ ン ラ イ セ ン ス リ ク エ ス ト の場合は、Vivado License Manager か xlicclientmgr コ マ ン ド ラ イ ン ユーテ ィ リ テ ィ を使
用す る 必要が あ り 、 サーバー (フ ロ ーテ ィ ン グ) ラ イ セ ン ス リ ク エ ス ト の場合は、 xlicsrvrmgr コ マ ン ド ラ イ ン ユー
テ ィ リ テ ィ を使用す る 必要があ り ます。 xlicclientmgr ま たは xlicsrvrmgr ユーテ ィ リ テ ィ の詳細は、 「xlicclientmgr コ マ
ン ド ラ イ ン ユーテ ィ リ テ ィ の使用」ま たは「xlicsrvrmgr コ マ ン ド ラ イ ン ユーテ ィ リ テ ィ の使用」を参照 し て く だ さ い。
こ の リ ク エ ス ト が処理 さ れた ら 、 ザ イ リ ン ク ス製品 ラ イ セ ン ス サ イ ト の URL が生成 さ れ、 そ こ にザ イ リ ン ク ス製品
ラ イ セ ン ス サ イ ト の リ ク エ ス ト ID と マシ ン特有の ID 情報が含まれます。 こ の URL は、 ウ ェ ブ ブ ラ ウ ザに貼 り 付け
ま す。 ア ク テ ィ ベー シ ョ ン 権限 が あ れば、 ザ イ リ ン ク ス 製品 ラ イ セ ン ス サ イ ト の [Create New Licenses] タ ブ の
[Activation-Based Licenses] セ ク シ ョ ンに表示 さ れます。
ザ イ リ ン ク ス製品 ラ イ セ ン ス サ イ ト を直接入力す る か、 古いザ イ リ ン ク ス ラ イ セ ン ス マネージ ャ ーか ら ア ク セ スす
る 場合は、 ア ク テ ィ ベーシ ョ ン ベース の ラ イ セ ン ス を生成す る のに必要なマシ ンの ID が表示 さ れない こ と があ り ま
す。 こ の場合、 ウ ェ ブサ イ ト の [Activation-Based Licenses] 部分がア ク テ ィ ブではな く な り 、 証明書ベース の ラ イ セ ン
ス のみが生成で き る よ う にな り ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
45
第 5 章 : ラ イ セ ン スの取得および管理
ユーザー マシ ン でのラ イ セ ン スの管理
Vivado License Manager の使用
Vivado® License Manager (VLM) は、ノ ー ド ロ ッ ク ( ク ラ イ ア ン ト ) ア ク テ ィ ベーシ ョ ン ラ イ セ ン ス専用です。ア ク テ ィ
ベーシ ョ ン ベー ス ラ イ セ ン ス を使用 し た ラ イ セ ン ス サーバーの場合は、 「xlicsrvrmgr コ マ ン ド ラ イ ン ユーテ ィ リ
テ ィ の使用」 セ ク シ ョ ン を参照 し て く だ さ い。 Vivado License Manager は、 Vivado エデ ィ シ ョ ンお よ び多 く の ス タ ン
ド ア ロ ン ツールを イ ン ス ト ールす る と イ ン ス ト ール さ れます。
X-Ref Target - Figure 5-1
図 5‐1 : Vivado License Manager
Vivado License Manager は次の手順で開 く こ と がで き ます。
•
Linux には、 ザ イ リ ン ク ス環境が読み込まれた コ マ ン ド ラ イ ン シ ェ ルに VLM と 入力 し ます。 Windows 7 ま たはそ
れ以前のバージ ョ ンの場合は、 [ス タ ー ト ] → [Xilinx Design Tools] → [Vivado 2015.1] → [Manage Xilinx licenses] を
ク リ ッ ク し ます。
•
Windows 8.1 の場合は、 ス タ ー ト 画面か ら すべてのアプ リ を リ ス ト し て、 [Manage Xilinx Licenses] アプ リ を実行 し
ます。 Vivado License Manager は、 Vivado で [Help] → [Obtain A License Key] ま たは [Help] → [Manage License] を
ク リ ッ ク し て も 実行で き ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
46
第 5 章 : ラ イ セ ン スの取得および管理
Vivado License Manager が使用 さ れ る 通常の タ ス ク は、 次の と お り です。
•
ラ イ セ ン ス の取得 (Obtain License) : 複数の ラ イ セ ン ス オプシ ョ ンか ら 選択 し 、ザ イ リ ン ク ス製品 ラ イ セ ン ス サ イ
ト にア ク セ ス し 、 ラ イ セ ン ス生成プ ロ セ ス を終了 し ます。 ア ク テ ィ ベーシ ョ ン ベース の権限の ラ イ セ ン ス を生成
す る には、 Vivado License Manager を使用 し てザ イ リ ン ク ス製品 ラ イ セ ン ス サ イ ト にア ク セ スす る 必要があ り ま
す。 [Obtain License] 画面で イ ン タ ーネ ッ ト 接続があ る 場合は [Connect Now] を、 ない場合は [Save Link As] を ク
リ ッ ク し ます。 [Save Link As] を ク リ ッ ク す る と 、 URL のパ ラ メ ー タ ーを使用 し て Vivado License Manager が通常
ザ イ リ ン ク ス製品 ラ イ セ ン ス サ イ ト に渡す情報が、 後で使用で き る よ う に HTML フ ァ イ ルに保存 さ れます。
•
ラ イ セ ン ス ス テー タ ス の表示 (Viewing License Status) : ど の ラ イ セ ン ス が ロ ーカル マシ ン で表示 さ れ る か を確認
で き ます。 こ れは ラ イ セ ン ス問題をデバ ッ グす る 際に便利です。
•
ラ イ セ ン ス の ロ ーカル マシ ンへの読み込み (Load License) : 証明書 ラ イ セ ン ス (.lic) ま たはア ク テ ィ ベーシ ョ ン
フルフ ィ ル メ ン ト フ ァ イ ル (.xml) を受信 し た ら 、 マシ ンの適切なデ ィ レ ク ト リ に保存 し ます。 詳細な手順につい
ては、 該当す る ラ イ セ ン ス タ イ プの 「 ラ イ セ ン ス キーの イ ン ス ト ール」 セ ク シ ョ ン を参照 し て く だ さ い。
•
ザ イ リ ン ク スへの ラ イ セ ン ス の返却 (Return License to Xilinx) : ラ イ セ ン ス が ロ ーカル マシ ンに必要な く な っ た
ら 、 ザ イ リ ン ク ス に返却 し て、 権限を ラ イ セ ン ス ア カ ウ ン ト に戻 し ます。
•
ラ イ セ ン ス検索デ ィ レ ク ト リ の表示お よ び設定 (Windows の場合) (License Search Location) : Vivado では、 複数の
デフ ォ ル ト デ ィ レ ク ト リ か ら ラ イ セ ン ス が検索 さ れ ます。 ラ イ セ ン ス がマシ ン外やフ ロ ーテ ィ ン グ ラ イ セ ン ス
サーバー上にあ る 場合、 ラ イ セ ン スへのパ ス を指定す る 必要があ り ます。
推奨 : ザ イ リ ン ク ス ラ イ セ ン ス フ ァ イ ルのデ ィ レ ク ト リ は XILINXD_LICENSE_FILE 環境変数で指定す る こ と をお
勧め し ます。 LM_LICENSE_FILE も 使用はで き ますが、 こ れは主にザ イ リ ン ク ス以外ま たは レ ガシー ラ イ セ ン ス パ
ス を使用す る ための変数です。
xlicclientmgr コ マ ン ド ラ イ ン ユーテ ィ リ テ ィ の使用
xlicclientmgr は、 ア ク テ ィ ベーシ ョ ン ラ イ セ ン ス リ ク エ ス ト 作成す る か、 ク ラ イ ア ン ト ( ノ ー ド ロ ッ ク ) コ ン ピ ュ ー
タ ーの信頼ス ト レージ エ リ ア を管理す る ユーテ ィ リ テ ィ です。 サーバー (フ ロ ーテ ィ ン グ) コ ン ピ ュ ー タ ーの信頼ス
ト レージ エ リ アでの作成 と 保存については、 次の xlicsrvrmgr ユーテ ィ リ テ ィ の詳細を参照 し て く だ さ い。
xlicclientmgr には、 グ ラ フ ィ カルな Vivado License Manager ユーテ ィ リ テ ィ と 同 じ 機能が多 く 提供 さ れてい ますが、 ア
ク テ ィ ベーシ ョ ン ラ イ セ ン ス に限定 さ れます。 xlicclientmgr はザ イ リ ン ク ス ツール イ ン ス ト ールの <Install
Directory>\Vivado\2015.1\bin デ ィ レ ク ト リ に含まれます。
重要な xlicclientmgr コ マ ン ド オプ シ ョ ン
•
-help all : xlicclientmgr の使用情報が表示 さ れます。
•
-v or -v “format=long” : マシ ンの信頼ス ト レージ エ リ アの内容の リ ス ト ま たは詳細 リ ス ト が表示 さ れ
ます。
•
-cr <XML RequestFileName> [-r fulfillmentID] : 信頼ス ト レージに リ ク エ ス ト を作成 し ます。こ れ
は、ザ イ リ ン ク ス か ら ア ク テ ィ ベーシ ョ ン ラ イ セ ン ス を リ ク エ ス ト す る ための コ マ ン ド で、XML 形式でア ク テ ィ
ベーシ ョ ン リ ク エ ス ト が作成 さ れ、 ザ イ リ ン ク ス製品 ラ イ セ ン ス サ イ ト で使用する 情報 と 一緒に URL が含まれ
る HTML フ ァ イ ル も 作成 さ れます。 –r オプシ ョ ン を使用す る と 、 指定 し た フルフ ィ ル メ ン ト ID を含む ラ イ セ ン
ス をザ イ リ ン ク ス に返却す る リ ク エ ス ト が作成 さ れます。
•
-p <responseFIleName> : XML フ ァ イ ルを信頼ス ト レージに送 り ます。 こ れは、 基本的に Vivado License
Manager の [Load License] 画面の [Activate License] ボ タ ン を実行 し たの と 同 じ コ マ ン ド です。
•
-returnTransaction "request=<requestFileName>" "response=<responseFileName>"
"proxy=<host:port> [<proxy userId> <proxy passwd>]" : ロ ーカル マシ ンか ら ザ イ リ ン ク ス製品 ラ
イ セ ン ス サ イ ト へ返却 リ ク エ ス ト が送信 さ れます。
注記 : 返却 リ ク エ ス ト は、 XML フ ァ イ ル形式で既に作成 さ れてい る 必要が あ り ま す。 こ の返却 リ ク エ ス ト は、
xlicclientmgr に -cr お よ び -r オプシ ョ ン を使用 し て既に作成 さ れてい る はずです。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
47
第 5 章 : ラ イ セ ン スの取得および管理
xlicsrvrmgr コ マ ン ド ラ イ ン ユーテ ィ リ テ ィ の使用
xlicsvrmgr はア ク テ ィ ベーシ ョ ン ラ イ セ ン ス リ ク エ ス ト を作成す る か、 フ ロ ーテ ィ ン グ ラ イ セ ン ス サーバー コ ン
ピ ュ ー タ ーの信頼 ス ト レージ エ リ アに作成 し す る ユーテ ィ リ テ ィ です。 ア ク テ ィ ベーシ ョ ン ベース の権限に基づい
て フ ロ ーテ ィ ン グ ラ イ セ ン ス を生成す る 場合は、 xlicsvrmgr ユーテ ィ リ テ ィ を まず実行 し て OMS ウ ェ ブサ イ ト にア
ク セ スす る 必要があ り ます。 Vivado License Manager では、 ク ラ イ ア ン ト ( ノ ー ド ロ ッ ク ) ア ク テ ィ ベーシ ョ ンの ト ラ
ンザ ク シ ョ ンのみがサポー ト さ れます。 フ ロ ーテ ィ ン グ サーバー ラ イ セ ン ス の リ ク エ ス ト には、xlicsrvrmgr を使用す
る 必要があ り ます。
xlicsrvrmgr は、 http://japan.xilinx.com/download/index.htm の License Management Tools フ ィ ール ド か ら ダ ウ ン ロ ー ド で
き ます。 こ のユーテ ィ リ テ ィ は、 ザ イ リ ン ク ス ツール イ ン ス ト ール デ ィ レ ク ト リ の
<Install Directory>\Vivado\2015.1\bin に も 含まれます。
xlicsrvrmgr を初めて実行する前の手順
xlicsrvrmgr を フ ロ ーテ ィ ン グ ラ イ セ ン ス サーバーで実行す る のが初めての場合は、 ア ク テ ィ ベーシ ョ ン権限の
保存 さ れ る コ ン ピ ュ ー タ ーの信頼ス ト レージ エ リ アが まず イ ン ス ト ール さ れて、初期化 さ れてい る こ と を確認 し て く
だ さ い。 ラ イ セ ン ス管理ツールのダ ウ ン ロ ー ド には、 OS 別の初期化ユーテ ィ リ テ ィ が含ま れ ます。 ラ イ セ ン ス管理
ツールが解凍 さ れた <OS><bitwidth>.o デ ィ レ ク ト リ (例 : c:\servertools\win64.o\) か ら 、 次の コ マ ン ド を
実行 し ます。
•
Windows : installanchorservice.exe xilinxd Xilinx-Design-Suite-Software
•
Linux : install_fnp.sh
重要な xlicsrvrmgr コ マ ン ド オプ シ ョ ン
•
-help all : xlicsrvrmgr の使用情報が表示 さ れます。
•
-v or -v “format=long” : マシ ンの信頼ス ト レージ エ リ アの内容の リ ス ト ま たは詳細 リ ス ト が表示 さ れ
ます。
•
-cr <XML RequestFileName> [-r fulfillmentID] : 信頼ス ト レージに リ ク エ ス ト を作成 し ます。
こ れは、 ザ イ リ ン ク ス か ら ア ク テ ィ ベーシ ョ ン ラ イ セ ン ス を リ ク エ ス ト す る ための コ マ ン ド で、 XML 形式でア
ク テ ィ ベーシ ョ ン リ ク エ ス ト が作成 さ れ、 ザ イ リ ン ク ス製品 ラ イ セ ン ス サ イ ト で使用する 情報 と 一緒に URL が
含まれ る HTML フ ァ イ ル も 作成 さ れます。 –r オプシ ョ ン を使用す る と 、 指定 し た フルフ ィ ル メ ン ト ID を含む ラ
イ セ ン ス をザ イ リ ン ク ス に返却す る リ ク エ ス ト が作成 さ れます。
注記 : フ ロ ーテ ィ ン グ ラ イ セ ン ス サーバー用のア ク テ ィ ベーシ ョ ン ラ イ セ ン ス を新 し く 作成す る 場合は、 こ の
-cr オプシ ョ ン を実行 し て、 結果の HTML フ ァ イ ルの URL を使用 し てザ イ リ ン ク ス製品 ラ イ セ ン ス サ イ ト にア
ク セ スす る 必要があ り ます。 製品 ラ イ セ ン ス サ イ ト に直接ア ク セ スする か、 リ ン ク を ク リ ッ ク し てア ク セ スす る
場合は、 ウ ェ ブサ イ ト の [Activation] セ ク シ ョ ンが非ア ク テ ィ ブにな り ます。
•
-p <responseFIleName> : XML フ ァ イ ルを信頼ス ト レージに送 り ます。
•
-returnTransaction "request=<requestFileName>" "response=<responseFileName>"
"proxy=<host:port> [<proxy userId> <proxy passwd>]" : ロ ーカル マシ ンか ら ザ イ リ ン ク ス製品 ラ
イ セ ン ス サ イ ト へ返却 リ ク エ ス ト が送信 さ れます。
注記 : 返却 リ ク エ ス ト は、 XML フ ァ イ ル形式で 既に 作成 さ れ て い る 必要が あ り ま す。 こ の 返却 リ ク エ ス ト は、
xlicclientmgr に -cr お よ び -r オプシ ョ ン を使用 し て既に作成 さ れてい る はずです。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
48
第 5 章 : ラ イ セ ン スの取得および管理
リ フ ァ レ ン ス : ザイ リ ン ク ス製品ラ イ セ ン ス サイ ト
の使用
ザ イ リ ン ク ス製品 ラ イ セ ン ス (Product Licensing) サ イ ト では、 証明書ベース と ア ク テ ィ ベーシ ョ ン ベース の両方の ラ
イ セ ン ス が生成で き ます。 こ のサ イ ト では、 証明書ベース の ラ イ セ ン ス が変更で き る ほか、 ラ イ セ ン ス発注に関す る
情報 も 含ま れます。 前述の よ う に、 ア ク テ ィ ベーシ ョ ン ラ イ セ ン ス の作成には、 Vivado License Manager か ら ザ イ リ
ン ク ス製品 ラ イ セ ン ス サ イ ト にア ク セ スす る か、適切な コ マ ン ド ラ イ ン ユーテ ィ リ テ ィ を使用す る 必要があ り ます。
製品 ラ イ セ ン ス サ イ ト には、 生成す る ラ イ セ ン ス の種類に よ っ て さ ま ざ ま な方法でア ク セ ス で き ます。
•
証明書ベース の ラ イ セ ン ス を使用す る 製品を購入 し た場合は、 注文確認 メ ールに含まれ る リ ン ク を ク リ ッ ク し て
く だ さ い。 こ の リ ン ク を ク リ ッ ク す る と 、 購入 し た製品の権利情報を含むア カ ウ ン ト に直接ア ク セ ス で き ます。
•
ア ク テ ィ ベーシ ョ ン ベース の ラ イ セ ン ス を使用する 製品を購入 し た場合は、 Vivado License Manager か
xlicclientmgr ま たは xlicsrvrmgr を使用 し て、 ラ イ セ ン ス リ ク エ ス ト を生成 し ます。 ラ イ セ ン ス リ ク エ ス ト 情報
は Vivado License Manager ま たは コ マ ン ド ラ イ ン ツールか ら URL を介 し て送信 さ れ、 製品権限を含むア カ ウ ン
ト へア ク セ ス で き る よ う にな り ます。
•
IP 製品を評価す る 場合は、 http://japan.xilinx.com/ipcenter の該当する IP 製品ページの [評価] リ ン ク を ク リ ッ ク し
ます。
•
製品 ラ イ セ ン ス サ イ ト には、 http://japan.xilinx.com/getlicense か ら 直接ア ク セ ス で き ます。 こ の方法でサ イ ト にア
ク セ スす る 場合は、 ア ク テ ィ ベーシ ョ ン ベース の ラ イ セ ン ス は作成で き ませんが、 証明書ベース の ラ イ セ ン ス は
作成で き 、 ラ イ セ ン ス のア カ ウ ン ト 管理の機能 も 実行で き ます。
ザ イ リ ン ク ス製品 ラ イ セ ン ス サ イ ト にア ク セ スする には、まず登録す る か、登録情報を入力す る 必要があ り ます。
X-Ref Target - Figure 5-2
図 5‐2 : ザイ リ ン ク ス製品ラ イ セ ン ス サイ ト ‐ サイ ン イ ン ページ
既にザ イ リ ン ク ス ユーザー ア カ ウ ン ト をお持ちの場合は、 ユーザー ID と パ ス ワ ー ド を入力 し て、 登録情報が正
し いか ど う か を確認 し ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
49
第 5 章 : ラ イ セ ン スの取得および管理
ア カ ウ ン ト を ま だ作成 し ていない場合は、[Create Account] ボ タ ン を ク リ ッ ク し 、ア カ ウ ン ト を作成 し て く だ さ い。
X-Ref Target - Figure 5-3
図 5‐3 : 新規ラ イ セ ン スの作成
リ フ ァ レ ン ス : 製品選択
購入済みま たは評価す る 製品の ラ イ セ ン ス を、 次の手順で生成 し ます。
1.
[Account] ド ロ ッ プダ ウ ン リ ス ト か ら 製品 ラ イ セ ン ス ア カ ウ ン ト を選択 し ます。
注記 : 評価版ま たは無償の製品を使用す る 場合は、 こ の ド ロ ッ プダ ウ ン リ ス ト は表示 さ れません。
2.
キ ッ ト と 一緒に購入 し た ソ フ ト ウ ェ ア ま たは IP 製品 ラ イ セ ン ス のバ ウ チ ャ ー コ ー ド があ る 場合は、 それを入力
し ます (オプシ ョ ン)。
3.
製品権限の表に評価版ま たは無償の IP 製品の権限を追加 し ます (オプシ ョ ン)。
4.
製品権限の表か ら 製品を選択 し ます。
こ れ ら の権限は証明書ベー ス ま たはア ク テ ィ ベーシ ョ ン ベー ス の ラ イ セ ン ス の 2 つのカ テ ゴ リ に大 き く 分類 さ れ ま
す。 選択可能な製品権限の タ イ プは、 [Full] (購入済み)、 [No Charge] (無償)、 [Evaluation] (評価版) のいずれかにな り ま
す。 フル ラ イ セ ン スお よ び無償 ラ イ セ ン ス の場合は、 1 年間の有効期限があ り ます。 デザ イ ン ツールの評価版は 30
日、 IP の評価版は 120 日間の有効期限があ り ます。
フ ロ ーテ ィ ン グ/サーバーお よ び ノ ー ド ロ ッ ク / ク ラ イ ア ン ト ラ イ セ ン ス は、 同 じ ラ イ セ ン ス フ ァ イ ルに ま と め る こ
と はで き ません。1 つの ラ イ セ ン ス タ イ プのみを含む権限を選択す る と 、[Generate] ボ タ ン を ク リ ッ ク し た と き に、 も
う 一方の ラ イ セ ン ス タ イ プが選択で き な く な り ます。 同様に、 証明書ベースお よ びア ク テ ィ ベーシ ョ ン ベース の権
限は同時に生成で き ません。 1 つの ラ イ セ ン ス エ リ アで権限を選択する と 、 残 り の ラ イ セ ン ス生成中に も う 一方の ラ
イ セ ン ス エ リ アが非ア ク テ ィ ブにな り ます。
デザ イ ン ツールの場合、 表の [Available Seats] に購入 し た ラ イ セ ン ス の総数が表示 さ れます。 IP の場合、 ラ イ セ ン ス
はサ イ ト の契約に従っ て管理 さ れます。
表の [Status] に 「Current」 と 表示 さ れてい る 場合は、 その製品が保証期間内にあ る こ と を示 し 、 「Expired」 と 表示 さ
れてい る 場合は、 その保証期間が終了 し た こ と を示 し てい ま す。 [Available Seats] の数が ま だあ る 場合は、 「Current」
ま たは 「Expired」 の製品の ラ イ セ ン ス を生成で き ます。
Vivado Design Suite: 30-Day System Edition は Xilinx Design Tools ツール セ ッ ト すべての機能にア ク セ ス で き る 評価版で
す。 こ の製品は、 製品 ラ イ セ ン ス ア カ ウ ン ト に自動的に含まれます。
デザ イ ン ツールお よ び IP 製品 ラ イ セ ン ス の製品バ ウ チ ャ ーは、 ザ イ リ ン ク ス ま たはパー ト ナーの開発ボー ド ま たは
デザ イ ン キ ッ ト と 共に送信 さ れます。製品バ ウ チ ャ ー カー ド を所持 し てい る 場合は、カー ド に記述 さ れたバ ウ チ ャ ー
コ ー ド を テ キ ス ト フ ィ ール ド に入力 し 、 [Redeem Now] ボ タ ン を ク リ ッ ク し ます。 こ れで、 該当する デザ イ ン ツール
ま たは IP 製品が表に追加 さ れ、 ラ イ セ ン ス キーが生成で き る よ う にな り ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
50
第 5 章 : ラ イ セ ン スの取得および管理
ページ内の [Add Evaluation and No Charge IP Cores] セ ク シ ョ ンで [Search Now] ボ タ ン を ク リ ッ ク す る と 、 次の よ う な
ページが表示 さ れ、 評価版お よ び無償の IP を検索 し て製品 リ ス ト に追加で き ます。
X-Ref Target - Figure 5-4
図 5‐4 : IP 製品の選択画面
ア ク テ ィ ベーシ ョ ン ノ ー ド ロ ッ ク (ク ラ イ ア ン ト ) ラ イ セ ン スの作成 と イ ン ス ト ール
概要
ア ク テ ィ ベーシ ョ ンの例外
次の条件のいずれか を満たす場合、 ラ イ セ ン ス ソ リ ュ ーシ ョ ンにア ク テ ィ ベーシ ョ ン ラ イ セ ン ス は使用で き ません。
こ れ以外の ラ イ セ ン ス ソ リ ュ ーシ ョ ンについては、 ザ イ リ ン ク ス開発シ ス テ ム カ ス タ マー サービ ス ま でご連絡 く だ
さ い。
•
ラ イ セ ン ス ド ン グルは、 ザ イ リ ン ク ス のア ク テ ィ ベーシ ョ ン ラ イ セ ン ス ではサポー ト さ れません。 既存の ド ン
グルを使用す る 場合は、 証明書ベース の ラ イ セ ン ス のみが使用で き ます。
•
フ ァ イ ルがエ ク ス ポー ト で き ない保護エ リ アに ラ イ セ ン ス を作成す る 場合は、 前か ら あ る ホ ス ト
ポー ト す る 証明書ベース の ラ イ セ ン ス の方が向いてい ます。
ID 構造をサ
信頼ス ト レージの初期化
Windows : Windows を使用 し ていて管理者権限で イ ン ス ト ールす る 場合、 信頼 ス ト レ ージ エ リ ア が自動的に イ ン ス
ト ール さ れて初期化 さ れ る はずです。 信頼ス ト レージが初期化 さ れない場合は、 次を実行 し て く だ さ い。
1.
Windows の [ス タ ー ト ] メ ニ ュ ーの検索ボ ッ ク ス に cmd と 入力 し 、 cmd.exe ア イ コ ン を右 ク リ ッ ク し て [管理者
と し て実行] を ク リ ッ ク し て、 管理者モー ド で コ マ ン ド ウ ィ ン ド ウ を開 き ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
51
第 5 章 : ラ イ セ ン スの取得および管理
2.
<Vivado
Directory>\Vivado\2015.1\bin\unwrapped\win64.o\installanchorservice.exe.
す。
Tools
を 実行 し ま
Linux : 信頼ス ト レージは次の手順を実行 し て、 手動で イ ン ス ト ール し て初期化する 必要があ り ます。
1.
ルー ト ま たは sudo ア ク セ ス を使用 し て コ マ ン ド ラ イ ン シ ェ ルを開き ます。
2.
<Vivado Tools Directory>/Vivado/2015.1/bin/unwrapped/lin64.o/install_fnp.sh を実行 し
ます。
ユーザー マ シ ンか ら の ノ ー ド ロ ッ ク ラ イ セ ン スの リ ク エ ス ト
ノ ー ド ロ ッ ク ( ク ラ イ ア ン ト ) ア ク テ ィ ベーシ ョ ン ラ イ セ ン ス を生成す る には、Vivado License Manager の GUI を使用
す る か、 xlicclientmgr コ マ ン ド ラ イ ン実行フ ァ イ ルを使用 し て、 ザ イ リ ン ク ス ラ イ セ ン ス管理サ イ ト にア ク セ
ス し ます。 こ れ ら のツールのいずれか を使用で き ず、 ブ ラ ウ ザか ら ザ イ リ ン ク ス ラ イ セ ン ス管理ウ ェ ブサ イ ト に直接
ア ク セ ス し た場合、 すべてのア ク テ ィ ベーシ ョ ン ベー ス ラ イ セ ン ス が選択で き ない状態にな り ま す。 次の手順は、
Vivado License Manager の GUI を使用 し てザ イ リ ン ク ス ラ イ セ ン ス管理ウ ェ ブサ イ ト にア ク セ スす る 方法を示 し てい
ます。
1.
Vivado License Manager を起動 し ます。
a.
Windows 7 お よ びそれ以前の場合は、 [ス タ ー ト ] → [すべてのプ ロ グ ラ ム] → [Xilinx Design Tools] → [Vivado
2015.1] → [Manage Xilinx Licenses] を ク リ ッ ク し ます。
b.
Windows 8.1 の場合は、 ス タ ー ト 画面か ら すべてのアプ リ を リ ス ト し て、 [Manage Xilinx Licenses] アプ リ を実
行 し ます。
c.
Linux の場合は、 コ マ ン ド ラ イ ン シ ェ ルに vlm と 入力 し ます。
2.
左の ウ ィ ン ド ウ フ レームで [Get License] の下の [Obtain License] を ク リ ッ ク し ます。
3.
メ イ ン ウ ィ ン ド ウ フ レームで [Get Free Licenses]、 [Start 30-Day Evaluation] ま たは [Get My Purchased Licenses] の
いずれか を ク リ ッ ク し て、 [Connect Now] ボ タ ン を ク リ ッ ク し ます (図 5-5)。
4.
デフ ォ ル ト の ウ ェ ブ ブ ラ ウ ザーが起動 し 、 ザ イ リ ン ク ス ロ グ イ ン画面が表示 さ れます。
注記 : マシ ン が イ ン タ ーネ ッ ト に接続 さ れていない場合やプ ロ キ シの問題があ る 場合は、 [Save Link As] ボ タ ン を ク
リ ッ ク し て く だ さ い。 こ れに よ り 、 必要な情報が HTML フ ァ イ ルで保存 さ れます。 こ の HTML フ ァ イ ルは イ ン タ ー
ネ ッ ト に接続 さ れた ど のマシ ンの ウ ェ ブ ブ ラ ウ ザーで も 開 く こ と がで き ます。
X-Ref Target - Figure 5-5
図 5‐5 : [Obtain License] ページ
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
52
第 5 章 : ラ イ セ ン スの取得および管理
ザイ リ ン ク ス ラ イ セ ン ス管理ウ ェ ブサイ ト での ノ ー ド ロ ッ ク ア
ク テ ィ ベーシ ョ ン ラ イ セ ン スの生成
Vivado License Manager か ら ウ ェ ブ ブ ラ ウ ザーを起動 し て も 、[Save Link As] で保存 さ れた HTML フ ァ イ ルを使用 し て
手動でブ ラ ウ ザーを開いて も 、 [Sign In To The Xilinx Licensing Site] 画面が開 く はずです。
1.
xilinx.com のユーザー名お よ びパス ワ ー ド を使用 し てサ イ ン イ ン し て、 ア ド レ ス情報を確認 し ます。
2.
必要であれば、 [Account] ド ロ ッ プダ ウ ン メ ニ ュ ーか ら ご自身の ラ イ セ ン ス を含む ラ イ セ ン ス ア カ ウ ン ト を選択
し ます。
3.
必要であれば ウ ェ ブページの [Activation Based Licenses] セ ク シ ョ ン ま で ス ク ロ ール ダ ウ ン し ます (図 5-6)。
4.
ア ク テ ィ ベーシ ョ ン ラ イ セ ン ス を選択 し ます。
注記 : [Activation Based Licenses] セ ク シ ョ ン ま たは [Certificate Based Licenses] セ ク シ ョ ン のいずれかで ラ イ セ ン ス を
チ ェ ッ ク し た ら 、 その他の フ ィ ール ド は自動的に選択で き な く な り ます。 同 じ ラ イ セ ン ス タ イ プの複数の ラ イ セ ン ス
は選択で き ますが、 ア ク テ ィ ベーシ ョ ン ラ イ セ ン スお よ び証明書 ラ イ セ ン ス は、 別々に生成する 必要があ り ます。 ど
ち ら のセ ク シ ョ ン も 選択で き る よ う に戻すには、 チ ェ ッ ク し た ラ イ セ ン ス を オ フ に し ます。
X-Ref Target - Figure 5-6
図 5‐6 : 新規 ノ ー ド ロ ッ ク (ク ラ イ ア ン ト ) ア ク テ ィ ベーシ ョ ン ラ イ セ ン スの作成
5.
[Activate Node-Locked License] ボ タ ン を ク リ ッ ク し ます。
6.
ポ ッ プア ッ プ ダ イ ア ロ グ ボ ッ ク ス で各ページの情報が正 し いか ど う か を確認 し 、 ラ イ セ ン ス生成が始ま る ま で
[Next] を ク リ ッ ク し てい き ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
53
第 5 章 : ラ イ セ ン スの取得および管理
ユーザー マ シ ンへの ノ ー ド ロ ッ ク ア ク テ ィ ベーシ ョ ン ラ イ セ ン
スのイ ン ス ト ール
ワ ン ス テ ッ プ ア ク テ ィ ベーシ ョ ン方法
Vivado 2014.3 か ら は、 Vivado License Manager で [Connect Now] を ク リ ッ ク す る と ポー リ ン グ モー ド にな る よ う にな
り ま し た。 約 2 分後、 Vivado License Manager に次のダ イ ア ロ グ ボ ッ ク ス (図 5-7) が表示 さ れます。
X-Ref Target - Figure 5-7
図 5‐7 : [Waiting for Activation License] ダ イ ア ロ グ ボ ッ ク ス
イ ン タ ーネ ッ ト お よ びプ ロ キ シ接続が正 し ければ、Vivado License Manager で ラ イ セ ン ス生成ダ イ ア ロ グ ボ ッ ク ス (手
順 3) の最後の [Next] ボ タ ン を ク リ ッ ク す る と 、 Xilinx_License.xml フ ァ イ ルが存在す る か ど う かが確認 さ れ ま す。
Vivado License Manager では、 こ の XML フ ァ イ ルが自動的にダ ウ ン ロ ー ド さ れて イ ン ス ト ール さ れ、 ラ イ セ ン ス が即
座にア ク テ ィ ベー ト さ れて、 [Success] ダ イ ア ロ グ ボ ッ ク ス が表示 さ れ ま す。 イ ン ス ト ール し た ラ イ セ ン ス は、 一番
左の ウ ィ ン ド ウ フ レームの [Manage License] の下の [View License Status] ページで確認で き ます。
Xilinx_License.xml フ ァ イ ルは、 記録用に電子 メ ールで も 送信 さ れますが、 こ の フ ァ イ ルに対 し て何か を実行す る 必要
はあ り ません。
手動方法
ワ ン ス テ ッ プ ア ク テ ィ ベーシ ョ ンのポー リ ン グ モー ド は約 15 分後に停止 し ます。ラ イ セ ン ス フ ァ イ ルの生成に こ れ
よ り 長 く かか る 場合、 ポー リ ン グ を手動でキ ャ ン セル し た場合、 イ ン タ ーネ ッ ト に接続 さ れていないために別のマシ
ンか ら ザ イ リ ン ク ス ラ イ セ ン ス管理 ウ ェ ブサ イ ト にア ク セ ス し た場合は、手動方法を使用 し て ラ イ セ ン ス を マシ ンに
読み込む必要があ り ます。
1.
電子 メ ールに添付 さ れた ア ク テ ィ ベーシ ョ ン フルフ ィ ル メ ン ト フ ァ イ ル (.xml) を ロ ーカルの一時デ ィ レ ク ト リ
に保存 し ます。
2.
Vivado License Manager を実行 し ます。
3.
Vivado License Manager の左側の フ レームで [Get License] の下の [Load License] を ク リ ッ ク し ます。
4.
[Activate License] ボ タ ン を ク リ ッ ク し ます。
5.
ア ク テ ィ ベーシ ョ ン フルフ ィ ル メ ン ト フ ァ イ ル (Xilinx_License.xml) を参照ボ タ ンで選択 し 、 [開 く ] を ク リ ッ ク
し ます。
6.
こ れでア ク テ ィ ベーシ ョ ン フルフ ィ ル メ ン ト が信頼ス ト レージに読み込まれ、 検証 さ れ、 そのマシ ン で該当す る
ツールが使用で き る よ う にな り ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
54
第 5 章 : ラ イ セ ン スの取得および管理
ア ク テ ィ ベーシ ョ ン フ ローテ ィ ン グ (サーバー ) ラ
イ セ ン スの作成、 イ ン ス ト ール、 指定
概要
ア ク テ ィ ベーシ ョ ンの例外
次の条件のいずれか を満たす場合、 ユーザーの フ ロ ーテ ィ ン グ ラ イ セ ン ス サーバーにア ク テ ィ ベーシ ョ ン ラ イ セ ン
ス は使用で き ません。こ れ以外の ラ イ セ ン ス ソ リ ュ ーシ ョ ンについては、ザ イ リ ン ク ス開発シ ス テ ム カ ス タ マー サー
ビ ス ま でご連絡 く だ さ い。
•
ト ラ イ ア ド ( ト リ プル リ ダ ン ダ ン ト ) フ ロ ーテ ィ ン グ ラ イ セ ン ス サーバー コ ン フ ィ ギ ュ レーシ ョ ンは、ザ イ リ ン
ク ス のア ク テ ィ ベーシ ョ ン ラ イ セ ン ス ではサポー ト さ れ ま せん。 ト リ プル リ ダ ン ダ ン ト フ ロ ーテ ィ ン グ サー
バーには、 証明書ベース の ラ イ セ ン ス を使用す る 必要があ り ます。
•
SUN-OS ベース のア ク テ ィ ベーシ ョ ン フ ロ ーテ ィ ン グ ラ イ セ ン ス サーバーはザ イ リ ン ク ス ではサポー ト さ れま
せん。 証明書ベース の ラ イ セ ン ス では、 ま だ SUN-OS がサポー ト さ れてい ます。
•
フ ァ イ ルがエ ク ス ポー ト で き ない保護エ リ アに ラ イ セ ン ス を作成す る 場合は、 前か ら あ る ホ ス ト
ポー ト す る 証明書ベース の ラ イ セ ン ス の方が向いてい ます。
ID 構造をサ
信頼ス ト レージの初期化
信頼ス ト レージは、 ユーザーの フ ロ ーテ ィ ン グ ラ イ セ ン ス サーバーに手動で イ ン ス ト ール し て初期化す る 必要があ
り ます。 フ ロ ーテ ィ ン グ ラ イ セ ン ス の イ ン ス ト ール、 初期化、 指定に必要な フ ァ イ ルはすべて、 ザ イ リ ン ク ス ダ ウ
ン ロ ー ド セ ン タ ーの現在の Vivado リ リ ース の 「 ラ イ セ ン ス管理ツール」 セ ク シ ョ ンのプ ラ ッ ト フ ォーム別 ZIP フ ァ
イ ルに含まれます。
Windows :
1.
ラ イ セ ン ス管理ツールの ZIP をデ ィ ス ク に抽出 し ます。こ のアーカ イ ブに含まれ る lmgrd お よ び xilinxd が実
際に ラ イ セ ン ス を使用す る のに必要 と な る ので、 一時デ ィ レ ク ト リ 以外のデ ィ レ ク ト リ に保存す る こ と をお勧め
し ます。
2.
Windows の [ス タ ー ト ] メ ニ ュ ーの検索ボ ッ ク ス に cmd と 入力 し 、 cmd.exe ア イ コ ン を右 ク リ ッ ク し て [管理者
と し て実行] を ク リ ッ ク し て、 管理者モー ド で コ マ ン ド ウ ィ ン ド ウ を開 き ます。
3.
< Server Tools Directory>\<Tools Version>\win64.o\ installanchorservice.exe を実行 し ま
す。
Linux :
信頼ス ト レージは、 Linux では次の よ う に手動で イ ン ス ト ール し て初期化する 必要があ り ます。
1.
ラ イ セ ン ス管理ツールの ZIP をデ ィ ス ク に抽出 し ます。こ のアーカ イ ブに含まれ る lmgrd お よ び xilinxd が実
際に ラ イ セ ン ス を使用す る のに必要 と な る ので、 一時デ ィ レ ク ト リ 以外のデ ィ レ ク ト リ に保存す る こ と をお勧め
し ます。
2.
ルー ト ま たは sudo ア ク セ ス を使用 し て コ マ ン ド ラ イ ン シ ェ ルを開き ます。
3.
<Server Tool Directory>/<Tools Version>/lnx64.o/install_fnp.sh を実行 し ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
55
第 5 章 : ラ イ セ ン スの取得および管理
ラ イ セ ン ス サーバー マ シ ンから の フ ローテ ィ ング ラ イ セ ン スの
リ ク エス ト
フ ロ ー テ ィ ン グ ( サ ー バ ー ) ア ク テ ィ ベー シ ョ ン ベー ス の ラ イ セ ン ス を 生成す る に は、 サ ー バー マ シ ン か ら
xlicsrvrmgr コ マ ン ド ラ イ ン実行フ ァ イ ルを使用 し てザ イ リ ン ク ス ラ イ セ ン ス管理サ イ ト にア ク セ ス し ます。 こ
の ツールを使用で き ない場合は、 ブ ラ ウ ザか ら ザ イ リ ン ク ス ラ イ セ ン ス管理ウ ェ ブサ イ ト に直接ア ク セ スする と 、す
べてのア ク テ ィ ベーシ ョ ン ベース ラ イ セ ン ス が選択で き ない状態にな っ てい ます。
ザ イ リ ン ク ス ラ イ セ ン ス管理ア カ ウ ン ト か ら フ ロ ーテ ィ ン グ ラ イ セ ン ス を生成す る には、 次を実行 し ます。
1.
サーバー ツール デ ィ レ ク ト リ (上記) か ら xlicsrvrmgr -cr <outputdir>/server_req.xml を実行 し ま
す。
こ れで信頼ス ト レージ エ リ アに ラ イ セ ン ス リ ク エ ス ト が作成 さ れて、ユーザーのサーバーのホ ス ト ID 情報を含
む XML お よ び HTML フ ァ イ ルが出力 さ れます。
2.
ブ ラ ウ ザで server_req.html フ ァ イ ルを開き ます。
こ の HTML フ ァ イ ルには、 フ ロ ーテ ィ ン グ ラ イ セ ン ス を ユーザーのサーバーに ロ ッ ク す る のに ア ク テ ィ ベー
シ ョ ンが必要な ホ ス ト ID 情報を含む URL が効率的に含まれます。
3.
ウ ェ ブ ブ ラ ウ ザーが起動 し 、 ザ イ リ ン ク ス ロ グ イ ン画面が表示 さ れます。
注記 : マシ ンが イ ン タ ーネ ッ ト に接続 さ れていない場合やプ ロ キシ問題があ る 場合は、 イ ン タ ーネ ッ ト に接続 さ
れてい る ほかのマシ ンで こ の HTML を ウ ェ ブ ブ ラ ウ ザーで開 く こ と がで き ます。
ザイ リ ン ク ス ラ イ セ ン ス管理ウ ェ ブサイ ト での フ ローテ ィ ン グ ア ク テ ィ ベーシ ョ ン ラ イ セ ン スの生成
1.
ザ イ リ ン ク ス ラ イ セ ン ス サ イ ト の ロ グ イ ン画面か ら 、xilinx.com のユーザー名 と パ ス ワー ド を使用 し てサ イ ン イ
ン し 、 ア ド レ ス情報を確認 し ます。
2.
必要であれば、 [Account] ド ロ ッ プダ ウ ン メ ニ ュ ーか ら ご自身の ラ イ セ ン ス を含む ラ イ セ ン ス ア カ ウ ン ト を選択
し ます。
3.
必要であれば ウ ェ ブページの [Activation Based Licenses] セ ク シ ョ ン ま で ス ク ロ ール ダ ウ ン し ます (図 5-8)。
注記 : [Activation Based Licenses] セ ク シ ョ ン ま たは [Certificate Based Licenses] セ ク シ ョ ンのいずれかで ラ イ セ ン ス
をチ ェ ッ ク し た ら 、 その他の フ ィ ール ド は自動的に選択で き な く な り ます。 同 じ ラ イ セ ン ス タ イ プの複数の ラ イ
セ ン ス は選択で き ますが、 ア ク テ ィ ベーシ ョ ン ラ イ セ ン スお よ び証明書 ラ イ セ ン ス は、 別々に生成す る 必要があ
り ます。 ど ち ら のセ ク シ ョ ン も 選択で き る よ う に戻すには、 チ ェ ッ ク し た ラ イ セ ン ス を オ フ に し ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
56
第 5 章 : ラ イ セ ン スの取得および管理
4.
ア ク テ ィ ベーシ ョ ン ラ イ セ ン ス を選択 し て [Activate Floating License] ボ タ ン を ク リ ッ ク し ます。
X-Ref Target - Figure 5-8
図 5‐8 : 新規 フ ローテ ィ ング (サーバー ) ア ク テ ィ ベーシ ョ ン ラ イ セ ン スの作成
5.
ダ イ ア ロ グ ボ ッ ク ス が開 き 、 [Requested Seats] フ ィ ール ド に こ のサーバーに割 り 当てたい ラ イ セ ン ス シー ト 数を
指定で き ます。 デフ ォ ル ト は 0 ですが、 続行す る にはそれ以外の数値を入力す る 必要があ り ます。
注記 : Vivado の権限を Vivado 2015.1 を起動 し た後に購入ま たは更新 し た場合、 フ ロ ーテ ィ ン グ ラ イ セ ン ス で借
用機能が使用で き る よ う にな り ます。こ の借用機能の詳細については、「 ラ イ セ ン ス の借用」 を参照 し て く だ さ い。
6.
借用機能がユーザーの ラ イ セ ン ス で使用可能にな っ てい る 場合は、[Borrowed Seats] と い う 列が右側に表示 さ れま
す (図 5-9)。 こ こ には、 借用可能な リ ク エ ス ト シー ト 数を指定で き ます。 デフ ォ ル ト は 0 で、 借用可能なシー ト
数がない こ と を示 し 、 前の列で リ ク エ ス ト し た シー ト 数ま での数を入力で き る よ う にな っ てい ます。
X-Ref Target - Figure 5-9
図 5‐9 : フ ローテ ィ ングおよび借用可能シー ト の指定
7.
リ ク エ ス ト お よ び借用シー ト 数を入力 し た ら 、 ラ イ セ ン ス生成が始ま る ま で [Next] を ク リ ッ ク し 続け ます。
8.
Xilinx_License.xml フ ァ イ ルが電子 メ ールで送付 さ れます。 こ の フ ァ イ ルは、 ラ イ セ ン ス の イ ン ス ト ール と
指定を終了す る のに必要 と な り ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
57
第 5 章 : ラ イ セ ン スの取得および管理
ユーザー マ シ ンへの フ ローテ ィ ン グ ア ク テ ィ ベーシ ョ ン ラ イ セ
ン スのイ ン ス ト ール と 指定
Xilinx_License.xml フ ァ イ ルを受け取っ た ら 、 ロ ーカル デ ィ レ ク ト リ に保存 し ます。 次の手順は、 ラ イ セ ン ス
の イ ン ス ト ール方法 と フ ロ ーテ ィ ン グ サーバーへの指定方法を示 し てい ます。
1.
サーバー ツール デ ィ レ ク ト リ (上記) か ら xlicsrvrmgr -p <response filename
i.e.(xilinx_license)>.xml を実行 し ます。
2.
こ れに よ り 、 ラ イ セ ン ス情報がユーザーの信頼ス ト レージ エ リ アに保存 さ れます。
3.
ラ イ セ ン ス が イ ン ス ト ール さ れたか ど う かは、 信頼ス ト レージ エ リ アで xlicsrvrmgr –v “format=long" を実行す る
と 確認で き ます。
ラ イ セ ン ス フ ァ イルの要件
次の手順を終了す る 前に、 Flexera の lmgrd ラ イ セ ン ス サーバー ユーテ ィ リ テ ィ について理解 し てお く 点がい く つか
あ り ま す。 lmgrd お よ びその他の よ く 使用 さ れ る FLEX サーバー ユーテ ィ リ テ ィ はア ク テ ィ ベーシ ョ ン ベース の フ
ロ ーテ ィ ン グ ラ イ セ ン ス の指定 と 管理に ま だ使用 さ れてい ます。信頼ス ト レージの ラ イ セ ン スは自動的に検出 さ れて
lmgrd に よ り 指定 さ れますが、 lmgrd で ラ イ セ ン ス フ ァ イ ル (.lic) が指定 さ れ る 必要があ り ます。 ア ク テ ィ ベーシ ョ ン
ベー ス の フ ロ ーテ ィ ン グ ラ イ セ ン ス の場合は、 特定のネ ッ ト ワ ー ク の基礎事項 を 指定す る た めだ けに ラ イ セ ン ス
フ ァ イ ルが必要です。
SERVER <host_name> <host_id> <port>
USE_SERVER
VENDOR xilinxd
(Xilinx’s default port=2100)
ザ イ リ ン ク ス IP や ISE Design Suite の よ う な既存のザ イ リ ン ク ス ラ イ セ ン ス フ ァ イ ルを指定す る つ も り であ る 場合
は、 こ れ以上の処理は必要あ り ません。 ア ク テ ィ ベーシ ョ ン ベース の ラ イ セ ン ス のみを指定する 場合は、 上記の リ ス
ト の情報を含む基本的な ラ イ セ ン ス フ ァ イ ルを作成する 必要があ り ます。
4.
上記の最低条件を満た し た ラ イ セ ン ス フ ァ イ ル .(lic) があ る か ど う か を確認 し て く だ さ い。
5.
lmgrd を実行 し て ラ イ セ ン ス を指定 し ます。
Windows :
<Server Tool directory>\win64.o\lmgrd -c <path_to_license>\<license filename>.lic –l <path_to_license>\<log filename>.log
Linux :
注記 : Linux ユーザーの場合、 lmgrd コ マ ン ド には設定 し た ラ イ ブ ラ リ パ ス が必要です。 ザ イ リ ン ク ス では、 こ れを自
動的に設定す る lmgrd.sh と い う シ ェ ル ス ク リ プ ト を提供 し てい ます。 lmgrd コ マ ン ド ラ イ ン ツールのみを使用す る
場合は、 次の よ う なエ ラ ー メ ッ セージが表示 さ れ る こ と があ り ます。
<Server Tool directory>/lnx64.o/lmgrd.sh -c <path_to_license>/<license file>.lic -l
<path_to_license>/<log filename>1.log
重要 : Windows 8.1 マシ ン を フ ロ ーテ ィ ン グ サーバー と し て使用す る 場合、 ま たはサーバーか ら の借用をサポー ト す
る 場合は、Vivado 2015.x サーバー ツールのダ ウ ン ロ ー ド に含まれ る 11.13.0 バージ ョ ンの lmgrd お よ び xilinxd を
使用す る 必要があ り ます。 lmgrd お よ び xilinxd を前のバージ ョ ンの 11.11.0 か ら 11.13.0 にア ッ プグ レー ド し た場
合は、 信頼ス ト レージ を初期化 し 直す必要があ り ます。 借用機能を使用 し ない場合、 ま たは Windows 8.1 マシ ン を使
用 し ない場合は、 既存の 11.11.0 バージ ョ ンの lmgrd お よ び xilinxd を ご使用いただいて問題あ り ません。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
58
第 5 章 : ラ イ セ ン スの取得および管理
ザイ リ ン ク スへのア ク テ ィ ベーシ ョ ン ラ イ セ ン スの
返却
マシ ン か ら ザ イ リ ン ク スへ証明書ベース の ラ イ セ ン ス を返却す る 方法については、 「 ラ イ セ ン ス の変更」 を参照 し て
く だ さ い。 ア ク テ ィ ベーシ ョ ン ベース ラ イ セ ン ス は、 Vivado License Manager ( ノ ー ド ロ ッ ク ) ま たは xlicsrvmrgr
コ マ ン ド ラ イ ン ユーテ ィ リ テ ィ (フ ロ ーテ ィ ン グ) のいずれか を使用 し てザ イ リ ン ク ス に返却で き ます。
ノ ー ド ロ ッ ク (ク ラ イ ア ン ト ) ラ イ セ ン スの返却
1.
Vivado License Manager を開 き ます。
2.
左の ウ ィ ン ド ウ フ レームで [Manage License] の下の [Return License to Xilinx] を ク リ ッ ク し ます。
3.
画面の メ イ ン エ リ アに、 信頼ス ト レージ エ リ アに現在含まれ る ノ ー ド ロ ッ ク ラ イ セ ン ス の リ ス ト が表示 さ れま
す。
[Disabled] 列に [No] と 表示 さ れてい る 場合は、 ラ イ セ ン ス がア ク テ ィ ブで、 返却可能であ る こ と を意味 し ます。
[Disabled] 列に [Yes] と 表示 さ れてい る 場合は、 返却が既に リ ク エ ス ト さ れてい ますが、 完了 し ていない (ア カ ウ
ン ト が認識 さ れていない) こ と を意味 し ます。
4.
返却す る ラ イ セ ン ス を ク リ ッ ク し て、 メ イ ン エ リ アの一番下の [Details] セ ク シ ョ ン を確認 し て、 ラ イ セ ン ス の内
容を確認 し ます。
5.
[Return] を ク リ ッ ク し ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
59
第 5 章 : ラ イ セ ン スの取得および管理
6.
確認 メ ッ セージが表示 さ れます (図 5-10)。
X-Ref Target - Figure 5-10
図 5‐10 : ザイ リ ン ク スへのラ イ セ ン スの返却
ア ク テ ィ ベーシ ョ ン ラ イ セ ン ス を返却す る 場合は、 Vivado License Manager か ら ザ イ リ ン ク ス ラ イ セ ン ス 管理
ウ ェ ブサ イ ト に連絡 さ れて、 権限がア カ ウ ン ト に戻 さ れ る よ り も 前に、 信頼ス ト レージ エ リ アで まずデ ィ ス エー
ブルにマー ク さ れて、 ユーザー マシ ン で使用で き ない よ う にな り ます。 こ れはや り 直す こ と がで き ないので、 実
行前に イ ン タ ーネ ッ ト への接続があ る か ど う かを確認 し ておいて く だ さ い。
7.
Vivado License Manager か ら はザ イ リ ン ク ス ラ イ セ ン ス管理ウ ェ ブサ イ ト に連絡 さ れ、 ユーザー ア カ ウ ン ト に ラ
イ セ ン ス が自動的に戻 さ れます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
60
第 5 章 : ラ イ セ ン スの取得および管理
フ ローテ ィ ング (サーバー ) ラ イ セ ン スの返却
フ ロ ーテ ィ ン グ ラ イ セ ン ス サーバーをザ イ リ ン ク ス に返却す る には、 xlicsrvrmgr ユーテ ィ リ テ ィ を使用す る 必要が
あ り ます。
1.
返却 リ ク エ ス ト を作成 し ます。
xlicsrvrmgr –cr <return request.xml> -r <fulfillment ID>
–cr (create request) オ プ シ ョ ン と –r (return request) オ プ シ ョ ン の両方 を 使用 し ま す。 フ ル フ ィ ル メ ン ト ID は、
xlicsrvrmgr –v “format=long” コ マ ン ド を実行する と 取得で き ます。
2.
ザ イ リ ン ク ス に返却 リ ク エ ス ト を送信 し ます。
xlicsrvrmgr –returnTransaction “request=<return request.xml>” “response=<response filename.xml>”
“proxy=<proxy:port>”
こ の コ マ ン ド に よ り 、 返却 リ ク エ ス ト の XML フ ァ イ ルがザ イ リ ン ク スへ送信 さ れ、 返却が さ れて、 ア カ ウ ン ト
に返却 さ れた シー ト が戻 り 、 返信用の XML が生成 さ れます。 こ れは手順 3 で使用 し ます。
3.
返信 さ れた XML フ ァ イ ルを次の よ う に処理す る と 、 デ ィ ア ク テ ィ ベー ト さ れた ラ イ セ ン ス が ロ ーカル サーバー
の信頼ス ト レージか ら 削除 さ れます。
xlicsrvmgr –p <response filename.xml>
ラ イ セ ン スの借用
Vivado 2015.1 か ら は、 ロ ーカル マシ ンの信頼ス ト レージに互換性のあ る サーバーか ら ア ク テ ィ ベーシ ョ ン ベース の
ラ イ セ ン ス を借用す る こ と がで き る よ う にな り ま し た。 こ れはつま り 、 借用 リ ク エ ス ト プ ロ セ ス中に指定 し た期間、
サーバーの ラ イ セ ン ス シー ト カ ウ ン ト が 1 つ減 り 、 その分が借用 し た ク ラ イ ア ン ト の ロ ーカルの信頼ス ト レージで
ア ク テ ィ ベー ト さ れ る こ と を意味 し ます。 こ れに よ り 、借用 し た ク ラ イ ア ン ト が効率的に ノ ー ド ロ ッ ク ア ク テ ィ ベー
シ ョ ン ラ イ セ ン ス を使用 し て、 ネ ッ ト ワー ク か ら 取 り 出す こ と がで き ます。 借用期間が終わ る と 、 ラ イ セ ン ス が ク ラ
イ ア ン ト マシ ン で自動的にデ ィ ア ク テ ィ ベー ト さ れて、 フ ロ ーテ ィ ン グ サーバーに戻 さ れます。 借用シー ト が必要
な く なれば、 ラ イ セ ン ス を フ ロ ーテ ィ ン グ サーバーに借用期間 よ り も 早 く 戻す こ と も で き ます。
サーバー ラ イ セ ン スの借用制限
2015 年 4 月 よ り も 前に発行 さ れた既存の Vivado フ ロ ーテ ィ ン グ ア ク テ ィ ベーシ ョ ン ベース ラ イ セ ン ス は借用で き
ま せん。 Vivado の契約期間の更新が 2015 年 4 月 よ り も 後の場合は、 その更新か ら 新 し い フ ロ ーテ ィ ン グ ア ク テ ィ
ベーシ ョ ン ベース の権限が借用で き ますが、借用を可能にす る か ど う か、 権限の合計の中で何シー ト 分を借用可能に
す る かな どは、 ラ イ セ ン ス を生成す る 管理者が ラ イ セ ン ス生成プ ロ セ ス中に決定す る 必要があ り ます。
ク ラ イ ア ン ト か ら は、Vivado License Manager を使用する と ラ イ セ ン ス が借用可能か ど う か簡単に確認で き ます。 こ れ
については、 「 ラ イ セ ン ス の借用」 を参照 し て く だ さ い。
ラ イ セ ン スの借用
こ の段階では、 ア ク テ ィ ベーシ ョ ン ベース のフ ロ ーテ ィ ン グ サーバーか ら ノ ー ド ロ ッ ク ク ラ イ ア ン ト への借用だけ
が可能です。 次の手順は、 Vivado License Manager を使用 し て ラ イ セ ン ス を借用す る 方法を示 し てい ます。
1.
Vivado License Manager を開いて左側の ウ ィ ン ド ウ の [Manage License] の下の [Borrow/Restore License Seat] を ク
リ ッ ク し ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
61
第 5 章 : ラ イ セ ン スの取得および管理
2.
メ イ ン エ リ アでネ ッ ト ワ ー ク 上のすべてのフ ロ ーテ ィ ン グ サーバーが検索 さ れて、 借用可能なア ク テ ィ ベー
シ ョ ン ベース ラ イ セ ン ス が含まれてい る か ど う かが表示 さ れます (図 5-11)。
X-Ref Target - Figure 5-11
図 5‐11 : [Borrow/Restore License Seat] ページ
3.
借用す る ラ イ セ ン ス を ク リ ッ ク し 、 [Borrow/Restore] ボ タ ン を ク リ ッ ク し ます。
図 5-11 には、 背景は通常の配色でテ キ ス ト が淡色表示にな っ た ラ イ セ ン ス行があ り ます。 こ れは、 こ の ラ イ セ
ン ス が借用可能ですが、 現時点では借用で き ない こ と を示 し ます。 こ の場合、 すべての借用可能なシー ト 分が既
に借用 さ れてい ます。 図の よ う に、 行の背景が灰色の場合は、 ラ イ セ ン ス が借用で き ない こ と を示 し ます。 こ れ
は、 通常 Flex ソ フ ト ウ ェ ア サーバーが古いか (11.11.0 ま たは 11.6.0 – 11.13.0 が必要)、 ラ イ セ ン ス自体が借用用
に設定 さ れていない こ と (例 : 2014.x 時代の フ ロ ーテ ィ ン グ ラ イ セ ン ス) を示 し ます。
4.
[VLM - Borrow Confirmation] 画面が表示 さ れます。 こ の画面には、 ラ イ セ ン ス を どれ く ら いの期間借用す る のか
指定す る 必要があ り ます。 こ れは、 [Set Borrow Expiration Date] フ ィ ール ド (図 5-12 の黄色部分) で設定 し ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
62
第 5 章 : ラ イ セ ン スの取得および管理
デフ ォ ル ト では、 現在の日付か ら 30 日か、 サーバー ラ イ セ ン ス の期限が切れ る 日付のいずれか (ど ち ら か早い
方) にな り ます。 ラ イ セ ン ス期限切れの日付 よ り も 後の借用終了日は入力で き ません。
X-Ref Target - Figure 5-12
図 5‐12 : 借用期間の設定
5.
確認画面で [Borrow] ボ タ ン を ク リ ッ ク する と 、 サーバーか ら の ラ イ セ ン ス が減 り 、 ク ラ イ ア ン ト の ロ ーカル信頼
ス ト レージに追加 さ れます。
画面が更新 さ れ、 ラ イ セ ン ス シー ト が リ ス ト に追加 さ れ、 最初の列に [Restore] と い う 値が付 き ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
63
第 5 章 : ラ イ セ ン スの取得および管理
借用 し た ラ イ セ ン スの返却
借用 し た ラ イ セ ン ス を指定 し た期間中ずっ と 借 り ない場合は、 ロ ーカル ラ イ セ ン ス サーバーに返却で き ます。
1.
Vivado License Manager を開いて左側の ウ ィ ン ド ウ の [Manage License] の下の [Borrow/Restore License Seat] を ク
リ ッ ク し ます。
2.
返却す る ラ イ セ ン ス を選択 し ます。 返却可能な ラ イ セ ン ス には [Action] 列に [Restore] と 表示 さ れます (図 5-13)。
3.
[Borrow/Restore] ボ タ ン を ク リ ッ ク す る と 、 確認す る ダ イ ア ロ グ ボ ッ ク ス が表示 さ れます。
4.
フ ロ ーテ ィ ン グ ラ イ セ ン ス サーバーが使用す る ポー ト を指定 し ます。
Vivado License Manager には、 ラ イ セ ン ス サーバーが使用 し てい る ポー ト を検出す る 機能はあ り ません。 Vivado
License Manager にはデ フ ォ ル ト のザ イ リ ン ク ス ラ イ セ ン ス サーバーのポー ト が表示 さ れ ま すが、 ユーザーの
サーバーが別のポー ト を使用 し てい る 場合は、 指定す る 必要があ り ます。 間違っ たポー ト を指定す る と 、 ロ ーカ
ル ク ラ イ ア ン ト マシ ンの ラ イ セ ン ス がデ ィ ス エーブルにな り ますが、 元の借用期間が切れ る ま では、 シー ト を
ほかのユーザーが使用で き る よ う にはな り ません。
X-Ref Target - Figure 5-13
図 5‐13 : 返却ポー ト の設定
5.
[Restore] ボ タ ン を ク リ ッ ク す る と 、 ラ イ セ ン ス が ク ラ イ ア ン ト マシ ンで非ア ク テ ィ ブ状態にな り 、 サーバーの ラ
イ セ ン ス カ ウ ン ト が 1 つ増え ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
64
第 5 章 : ラ イ セ ン スの取得および管理
証明書ベース ラ イ セ ン スの生成 と イ ン ス ト ール
証明書ベース の ラ イ セ ン ス の場合は、 ラ イ セ ン ス を ロ ッ ク す る Flexera ホ ス ト ID ( イ ーサネ ッ ト MAC ID、 ド ラ イ ブ
シ リ アル番号ま たは ド ン グル ID) がわか っ てい る 限 り 、 ザ イ リ ン ク ス ユーテ ィ リ テ ィ の 1 つか ら ザ イ リ ン ク ス ラ イ
セ ン ス管理サ イ ト にア ク セ スす る 必要はな く 、 直接 http://japan.xilinx.com\getlicense にア ク セ ス で き ます。 ロ グ イ ン し
てア カ ウ ン ト を選択 し た ら 、 「 リ フ ァ レ ン ス : 製品選択」 に示す よ う に製品を選択で き ます。
[Create New Licenses] タ ブで 1 つま たは複数の ラ イ セ ン ス を選択 し 、生成する ラ イ セ ン ス フ ァ イ ル ( ク ラ イ ア ン ト / ノ ー
ド ロ ッ ク ま たはサーバー /フ ロ ーテ ィ ン グ) に対 し て [Generate License] を ク リ ッ ク し ます。
次に示す手順では、 フ ロ ーテ ィ ン グの証明書ベース の ラ イ セ ン ス を生成 し ます。 こ のプ ロ セ ス には、 その他すべての
証明書ベース の ラ イ セ ン ス生成フ ロ ーが含まれます。
X-Ref Target - Figure 5-14
図 5‐14 : 証明書ベースのフ ローテ ィ ング ラ イ セ ン スの生成
フ ローテ ィ ングの証明書ベース ラ イ セ ン スの生成
1.
各製品 ラ イ セ ン ス に必要なシー ト 数を選択 し ます。
こ れは、 フ ロ ーテ ィ ン グ ラ イ セ ン ス の場合にのみ選択 し ます。 すべての ノ ー ド ロ ッ ク ラ イ セ ン ス は、 1 シー ト
のみずつにな り ます。 製品権限に対 し て使用可能な シー ト 数は、 シ ス テ ム で自動的に維持 さ れ ます。 [Requested
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
65
第 5 章 : ラ イ セ ン スの取得および管理
Seats] フ ィ ール ド はデフ ォ ル ト では 0 にな っ てい ますが、 こ こ には製品権限で残っ てい る シー ト 数ま で ど の数値
で も 入力で き ます。 すべてのシー ト が生成 さ れ る と 、 製品が製品権限の表か ら 削除 さ れます。
2.
シ ス テ ム情報を入力 し ます。
フ ロ ーテ ィ ン グ の証明書ベー ス の ラ イ セ ン ス の場合、 最初の フ ィ ール ド は [Redundancy] で、 [Triple Redundant]
サーバー コ ン フ ィ ギ ュ レーシ ョ ン (別名、 ト ラ イ ア ド ) を選択す る と 、 ラ イ セ ン ス マネージ ャ ー ソ フ ト ウ ェ アに
対す る フ ェ イ ル オーバーが実行 さ れ る ので、 3 つのサーバーの う ち 2 つが実行 さ れてい る 限 り 、 ラ イ セ ン ス マ
ネージ ャ ーは実行 さ れ続け ます。 こ れは、 ノ ー ド ロ ッ ク ラ イ セ ン ス の場合は関係あ り ません。
シ ス テ ム情報は、 Vivado License Manager 内の リ ン ク か ら 製品 ラ イ セ ン ス サ イ ト にア ク セ ス し た場合、 [Host ID]
ド ロ ッ プダ ウ ン リ ス ト に自動的に表示 さ れます。シ ス テ ム情報が入力 さ れていない場合や別のホ ス ト を追加す る
場合は [Add a host] を選択 し 、 ホ ス ト を追加 し ます。
X-Ref Target - Figure 5-15
図 5‐15 : [Add a host] 画面
ホ ス ト ID と は、 ソ フ ト ウ ェ ア ま たは IP の ラ イ セ ン ス が与え ら れたマシ ン を識別す る 値で、 ホ ス ト ID タ イ プに
は、 MAC ア ド レ ス、 ハー ド ド ラ イ ブのシ リ アル番号、 ド ン グル ID な ど を選択で き ます。
ア ク テ ィ ベーシ ョ ン ベース の ラ イ セ ン ス の場合、 すべての必要なシ ス テ ム情報が Vivado License Manager ま たは
コ マ ン ド ラ イ ン ツールか ら ウ ェ ブ ブ ラ ウ ザーの URL を使用 し て渡 さ れます。ク ラ イ ア ン ト ま たはサーバー ベー
ス のア ク テ ィ ベーシ ョ ン ラ イ セ ン ス のいずれかの場合は、 ホ ス ト 情報を手動で入力する 必要はあ り ません。
注記 : すべてのホ ス ト ID タ イ プが ど のオペレーテ ィ ン グ シ ス テ ムで も サポー ト さ れ る わけではあ り ません。 ホ
ス ト ID を取得す る には、 ラ イ セ ン ス ホ ス ト と な る マシ ン で Vivado License Manager を実行す る のが一番簡単な
方法です。
3.
コ メ ン ト を追加 し ます。
コ メ ン ト を追加す る と 、 管理者がデザ イ ン ツールや IP の ラ イ セ ン ス を ユーザー間で ど の よ う に分けたかな ど の
記録を残す こ と がで き ます。
4.
[Next] を ク リ ッ ク し ます。
次の よ う な ラ イ セ ン ス リ ク エ ス ト を確認する フ ォームが表示 さ れます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
66
第 5 章 : ラ イ セ ン スの取得および管理
X-Ref Target - Figure 5-16
図 5‐16 : ラ イ セ ン ス リ ク エス ト の確認
5.
選択を確認 し ます。
6.
問題がなければ、 [Next] を ク リ ッ ク し ます。
エ ン ド ユーザー使用許諾契約
ザ イ リ ン ク ス デザ イ ン ツール と 無償 IP のエン ド ユーザー使用許諾契約 (EULA) は、製品の イ ン ス ト ール プ ロ セ ス中
に許諾 さ れ ま す。 こ の使用許諾契約の コ ピーは、 <install directory>/.xinstall/Vivado_2015.1/data/
unified_xilinx_eulas.txt にあ り ます。
IP 製品の ラ イ セ ン ス を精製す る 場合は、 ラ イ セ ン ス フ ァ イ ルを生成する 前に関連する IP 製品の EULA を許諾す る 必
要があ り ます。
サー ド パーテ ィ ラ イ セ ン ス
サー ド パーテ ィ ラ イ セ ン ス の コ ピーは、
<install_directory>/.xinstall/Vivado_2015.1/data/unified_3rd_party_eula.txt にあ り ます。
ラ イ セ ン ス生成の確認
ラ イ セ ン ス生成プ ロ セ ス が終了 し た ら 、 次の よ う な確認 メ ッ セージが表示 さ れます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
67
第 5 章 : ラ イ セ ン スの取得および管理
X-Ref Target - Figure 5-17
図 5‐17 : ラ イ セ ン ス生成の確認
ラ イ セ ン ス生成を確認す る 電子 メ ール も 送信 さ れます。 こ の メ ッ セージには、 生成 し た ラ イ セ ン ス フ ァ イ ルが添付 さ
れます。 ア ド レ ス ブ ッ ク に [email protected] を信頼する 送信者 と し て追加 し ておいて く だ さ い。
電子 メ ールで ラ イ セ ン ス が受け取れなか っ た場合は、 ザ イ リ ン ク ス ラ イ セ ン ス サ イ ト か ら 直接ダ ウ ン ロ ー ド し て く
だ さ い。 詳細は、 「ザ イ リ ン ク ス製品 ラ イ セ ン ス サ イ ト での ラ イ セ ン ス管理」 を参照 し て く だ さ い。
ユーザー マ シ ンへの証明書ベースの ノ ー ド ロ ッ ク
ラ イ セ ン ス フ ァ イルのイ ン ス ト ール
ラ イ セ ン ス フ ァ イ ルを生成す る と 、 [email protected] か ら メ ールが届 き ます。
1.
こ の メ ールに添付 さ れた ラ イ セ ン ス フ ァ イ ル (.lic) を ロ ーカルの一時デ ィ レ ク ト リ に保存 し ます。
2.
Vivado License Manager を実行 し ます。
°
Windows 7 お よ びそれ以前の場合は、 [ス タ ー ト ] → [すべてのプ ロ グ ラ ム] → [Xilinx Design Tools] → [Vivado
2015.1] → [Manage Xilinx Licenses] を ク リ ッ ク し ます。
°
Windows 8.1 の場合は、 ス タ ー ト 画面か ら すべてのアプ リ を リ ス ト し て、 [Manage Xilinx Licenses] アプ リ を実
行 し ます。
°
Linux の場合は、 コ マ ン ド ラ イ ン シ ェ ルに vlm と 入力 し ます。
3.
Vivado License Manager の左側の [Getting a License] を展開 し 、 [Load License] を ク リ ッ ク し ます。
4.
証明書 ラ イ セ ン ス フ ァ イ ルを受け取っ た場合は、 [Load License] 画面の [Copy License] ボ タ ン を ク リ ッ ク し ます。
5.
保存 し た ラ イ セ ン ス フ ァ イ ル (Xilinx.lic) を参照ボ タ ンで選択 し 、 [開 く ] を ク リ ッ ク し ます。
6.
こ れで ラ イ セ ン ス フ ァ イ ルが <ホーム ド ラ イ ブ (通常は C)>:\.Xilinx (Windows) ま たは <Home>/.Xilinx デ ィ レ ク ト
リ に コ ピー さ れ、 ザ イ リ ン ク ス ツールか ら 自動的に認識 さ れ る よ う にな り ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
68
第 5 章 : ラ イ セ ン スの取得および管理
証明書ベースのフ ローテ ィ ン グ ラ イ セ ン スの指定
既存の FLEXnet ラ イ セ ン ス サーバーに証明書ベース の ラ イ セ ン ス を使用す る 場合は、
[email protected] か ら 送付 さ れた ラ イ セ ン ス フ ァ イ ルの内容を FLEXnet サーバーの既存の ラ イ セ ン ス
フ ァ イ ルに コ ピー し ます。
既存の FLEXnet ラ イ セ ン ス サーバーにア ク テ ィ ベーシ ョ ン ベース の ラ イ セ ン ス を使用す る 場合は、 xlicsrvrmgr
-p <responseFIleName> コ マ ン ド を使用 し て、 信頼ス ト レージに ラ イ セ ン ス を読み込みます。
注記 : フ ロ ーテ ィ ン グ ラ イ セ ン ス サーバーを再起動 し て、 新 し いザ イ リ ン ク ス ラ イ セ ン ス を有効に し ます。
新 し い ラ イ セ ン ス サーバーの場合
1.
ザ イ リ ン ク ス ダ ウ ン ロ ー ド セ ン タ ー (http://japan.xilinx.com/download/index.htm) か ら サーバーの OS に最適なザ イ
リ ン ク ス FLEXnet ラ イ セ ン ス ユーテ ィ リ テ ィ を ダ ウ ン ロ ー ド し ます。
2.
こ れ ら のユーテ ィ リ テ ィ を保存 し たデ ィ レ ク ト リ で解凍 し ます。 こ のデ ィ レ ク ト リ は、 アプ リ ケーシ ョ ンの検索
パ ス に置 く こ と をお勧め し ます。
3.
FLEXnet ユーテ ィ リ テ ィ を イ ン ス ト ール し た ら 、次の コ マ ン ド を実行 し て、フ ロ ーテ ィ ン グ ラ イ セ ン ス サーバー
を起動 し ます。
°
Linux
-
°
<Server Tool directory>/lnx64.o/lmgrd.sh -c <path_to_license>/<license
file>.lic -l <path_to_license>/<log filename>1.log
Windows
-
<Server Tool directory>\win64.o\lmgrd -c <path_to_license>\<license
filename>.lic –l <path_to_license>\<log filename>.log
ク ラ イ ア ン ト マシ ンからのフ ローテ ィ ン グ ラ イ セ
ン スの指定
1.
Vivado License Manager (VLM) を実行 し ます。
2.
[Manage Xilinx Licenses] タ ブ を ク リ ッ ク し ます。
3.
port@server の形式で ラ イ セ ン ス サーバーへのネ ッ ト ワー ク パ ス を XILINXD_LICENSE_FILE フ ィ ール ド に入力
し、
4.
[Set] ボ タ ン を ク リ ッ ク し ます。 デフ ォ ル ト のザ イ リ ン ク ス ポー ト 番号は 2100 です。
Linux OS の場合、 Vivado License Manager (VLM) を使用 し て ラ イ セ ン ス環境変数を設定で き ません。 環境変数フ ィ ー
ル ド は読み出 し 専用なので、 淡色表示にな り 、 [Set] ボ タ ン も 表示 さ れません。 環境変数は、 適切な OS シ ェ ルお よ び
コ マ ン ド を使用 し て設定す る 必要があ り ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
69
第 5 章 : ラ イ セ ン スの取得および管理
ザイ リ ン ク ス製品ラ イ セ ン ス サイ ト でのラ イ セ ン ス
管理
ザ イ リ ン ク ス製品 ラ イ セ ン ス サ イ ト では、 生成 し た ラ イ セ ン ス が記録 さ れます。 [Manage Licenses] タ ブには、 その製
品 ラ イ セ ン ス ア カ ウ ン ト で生成 し た ラ イ セ ン スすべてが表示 さ れます。
X-Ref Target - Figure 5-18
図 5‐18 : ラ イ セ ン スの管理
[Manage Licenses] タ ブか ら は、 必要に応 じ て次を実行で き ます。
既存ラ イ セ ン スの確認 と 取得
ユーザーのア カ ウ ン ト か ら 生成 し た ラ イ セ ン ス に関す る 情報は、 マ ス タ ー ビ ュ ー と 詳細ビ ュ ーに表示 さ れます。上部
の表 (マ ス タ ー ビ ュ ー ) で行を ク リ ッ ク す る と 、 その ラ イ セ ン ス の詳細情報が下部の表 (詳細ビ ュ ー ) に表示 さ れ ま
す。 詳細ビ ュ ーの表には、 次の情報が含まれます。
•
フ ァ イ ルで有効に し た製品権限の リ ス ト
•
フ ァ イ ルに関連す る コ メ ン ト
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
70
第 5 章 : ラ イ セ ン スの取得および管理
こ の表か ら は、 次が実行で き ます。
•
ダ ウ ン ロ ー ド : ラ イ セ ン ス ま たはア ク テ ィ ベーシ ョ ン フルフ ィ ル メ ン ト フ ァ イ ルが電子 メ ールで届かなか っ た
場合は、 こ こ か ら ダ ウ ン ロ ー ド し て く だ さ い。
•
電子 メ ール : ラ イ セ ン ス ま たはア ク テ ィ ベーシ ョ ン フルフ ィ ル メ ン ト フ ァ イ ルがご本人ま たは別のユーザーに
送信 さ れます。
•
表示 : 実際の ラ イ セ ン ス フ ァ イ ルを表示で き ます。
•
削除 (証明書ベース の ラ イ セ ン ス のみ) : ラ イ セ ン ス フ ァ イ ルを削除で き ます。 フ ァ イ ルを削除す る と 、 権限が
[Create New License] タ ブに表示 さ れ る よ う にな り 、別のホ ス ト ID 用に ラ イ セ ン ス を再生成可能にな り ます。
•
許諾 し たエン ド ユーザー ラ イ セ ン ス契約を表示 (IP のみ)
ラ イ セ ン スの変更
ア ク テ ィ ベーシ ョ ン ベース の ラ イ セ ン ス はザ イ リ ン ク ス製品 ラ イ セ ン ス サ イ ト では変更で き ません。 ア ク テ ィ ベー
シ ョ ン ベース の ラ イ セ ン ス を変更す る には、 まず Vivado License Manager の [Return License to Xilinx] ページ を使用す
る か、 適切な コ マ ン ド ラ イ ンの ラ イ セ ン ス マネージ ャ ーで返却 リ ク エ ス ト を作成 し ます。 ア ク テ ィ ベーシ ョ ン ベー
ス の ラ イ セ ン ス を返却す る と 、 ザ イ リ ン ク ス製品 ラ イ セ ン ス サ イ ト の [Create New Licenses] タ ブのシー ト 数が返却 し
た分増え ます。 こ れで、 別のマシ ンに変更する 場合や、 同 じ マシ ンでシー ト 数を増や し た り 、 別の機能やその他の変
更を加え る 場合に、 新 し いア ク テ ィ ベーシ ョ ン ベース の ラ イ セ ン ス を生成で き る よ う にな り ま し た。
既存の証明書ベース の ラ イ セ ン ス を変更す る には、 マ ス タ ー ビ ュ ーでその ラ イ セ ン ス フ ァ イ ルを選択 し ます。 証明
書ベース の ラ イ セ ン ス は、 次の方法で変更で き ます。
ラ イ セ ン ス フ ァ イル全体の削除およびア カ ウ ン ト への権限の返却
1.
[Manage Licenses] タ ブ (70 ページの図 5-18) で削除する ラ イ セ ン ス を選択 し ます。
2.
ラ イ セ ン ス フ ァ イ ルの詳細の左側にあ る [Delete] ボ タ ン を ク リ ッ ク し ます。
3.
[Accept] ボ タ ン を ク リ ッ ク し 、 廃棄宣誓書 (Affidavit of Destruction) を受諾 し ます。
注記 : こ れに よ り 、 ラ イ セ ン ス フ ァ イ ル全体か ら すべての ラ イ セ ン ス シー ト が削除 さ れ、 ユーザー ア カ ウ ン ト に権
限が戻 さ れます。
リ ホス ト : ラ イ セ ン ス フ ァ イルの ノ ー ド ロ ッ ク ま たはラ イ セ ン ス サーバー ホス
ト ID の変更
1.
[Manage Licenses] タ ブ (70 ページの図 5-18) でホ ス ト を変更する ラ イ セ ン ス を選択 し ます。
2.
[Modify License] ボ タ ン を ク リ ッ ク し ます。 [Modify License] 画面が表示 さ れます。
3.
セ ク シ ョ ン 2 の System Information を確認 し ます。
4.
ド ロ ッ プダ ウ ン リ ス ト お よ びテ キ ス ト ボ ッ ク ス を それぞれ使用 し 、 ホ ス ト ID ま たはホ ス ト 名を変更ま たは追加
し ます。
5.
[Next] ボ タ ン を 2 回押 し 、 [Accept] ボ タ ン を押 し て廃棄宣誓書を受諾 し ます。
既存のラ イ セ ン スのシー ト 数の追加
1.
[Manage Licenses] タ ブ (70 ページの図 5-18) でシー ト を追加する ラ イ セ ン ス フ ァ イ ルを選択 し ます。
2.
[Modify License] ボ タ ン を ク リ ッ ク し ます。 [Modify License] 画面が表示 さ れます。
3.
セ ク シ ョ ン 1 の Product Selection を確認 し ます。
4.
フ ロ ーテ ィ ン グ ラ イ セ ン ス の場合、 [Requested Seats] フ ィ ール ド を変更 し て権限内の最大シー ト 数ま でシー ト を
追加で き ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
71
第 5 章 : ラ イ セ ン スの取得および管理
5.
[Next] を 2 回 ク リ ッ ク し ます。 シー ト を追加す る のに廃棄宣誓書 (Affidavit of Destruction) の受諾は必要あ り ませ
ん。
既存の製品ラ イ セ ン ス シー ト 数の削除
1.
[Manage Licenses] タ ブ (70 ページの図 5-18) でシー ト を削除する ラ イ セ ン ス フ ァ イ ルを選択 し ます。
2.
[Modify License] ボ タ ン を ク リ ッ ク し ます。 [Modify License] 画面が表示 さ れます。
3.
セ ク シ ョ ン 1 の Product Selection を確認 し ます。
4.
フ ロ ーテ ィ ン グ ラ イ セ ン ス の場合、 [Requested Seats] フ ィ ール ド を変更 し て、 こ の ラ イ セ ン ス フ ァ イ ルで権利の
あ る シー ト 数を削減で き ます。
5.
[Next] ボ タ ン を 2 回押 し 、 [Accept] ボ タ ン を押 し て廃棄宣誓書を受諾 し ます。
ラ イ セ ン ス キー フ ァ イルへの別の製品ラ イ セ ン ス を追加
1.
[Manage Licenses] タ ブ (70 ページの図 5-18) で機能/権限を追加す る ラ イ セ ン ス フ ァ イ ルを選択 し ます。
2.
[Modify License] ボ タ ン を ク リ ッ ク し ます。 [Modify License] 画面が表示 さ れます。
3.
セ ク シ ョ ン 1 の Product Selection を確認 し ます。
4.
ラ イ セ ン ス フ ァ イ ルに追加す る 新 し い権限ののチ ェ ッ ク ボ ッ ク ス を オンに し ます。
5.
[Next] を 2 回 ク リ ッ ク し ます。機能を追加する のに廃棄宣誓書 (Affidavit of Destruction) の受諾は必要あ り ません。
ラ イ セ ン ス キー フ ァ イルから の製品 ラ イ セ ン スの削除
1.
[Manage Licenses] タ ブ (70 ページの図 5-18) で機能/権限を削除す る ラ イ セ ン ス フ ァ イ ルを選択 し ます。
2.
[Modify License] ボ タ ン を ク リ ッ ク し ます。 [Modify License] 画面が表示 さ れます。
3.
セ ク シ ョ ン 1 の Product Selection を確認 し ます。
4.
ラ イ セ ン ス フ ァ イ ルか ら 削除す る 権限ののチ ェ ッ ク ボ ッ ク ス を オンに し ます。
5.
[Next] ボ タ ン を 2 回押 し 、 [Accept] ボ タ ン を押 し て廃棄宣誓書を受諾 し ます。
キー フ ァ イ ルの変更には、 ラ イ セ ン ス フ ァ イ ルが作成 さ れたの と 同 じ 入力フ ォーム を使用 し ますが、 追加す る 場合
は、 ラ イ セ ン ス タ イ プ (フ ロ ーテ ィ ン グか ノ ー ド ロ ッ ク か) が選べ る よ う にな り ます。 ( こ の文削除! )
変更中にホ ス ト の変更数を超えた こ と を示す メ ッ セージが表示 さ れ る 場合は、 [email protected] ま で電子 メ ール (英語
) で追加のホ ス ト 変更 (rehost) オプシ ョ ン を請求 し て く だ さ い。
削除 し た ラ イ セ ン ス コ ンポーネ ン ト の再請求
製品 ラ イ セ ン ス は、 次のいずれかが実行 さ れ る と 削除 さ れます。
•
ラ イ セ ン ス サーバー ホ ス ト の変更
•
既存の製品 ラ イ セ ン ス シー ト 数の削除
•
ラ イ セ ン ス キー フ ァ イ ルか ら 製品 ラ イ セ ン ス の削除
シー ト を削除 し た り 、 証明書ベース の ラ イ セ ン ス フ ァ イ ルか ら 製品を削除 し た場合、 その使用権限が元に戻る か、 ラ
イ セ ン ス ア カ ウ ン ト のシー ト 数が増え ます。 既存 ラ イ セ ン ス フ ァ イ ルか ら 削除 し た シー ト 数 と 同 じ 数分、 ザ イ リ ン
ク ス製品 ラ イ セ ン ス サ イ ト の [Create New Licenses] タ ブのシー ト 数が増え ます。
ラ イ セ ン ス を再発行す る 前に、 まず廃棄宣誓書を許諾 し ます。 こ の法的契約は、 無効にな っ た製品 ラ イ セ ン ス が使用
さ れていない こ と を確認す る ために必要です。
発行数は、 ユーザーご と に記録 さ れます。製品 ラ イ セ ン ス は メ ジ ャ ー リ リ ース ご と に管理者は 5 回、 エン ド ユーザー
は 3 回再発行で き ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
72
第 5 章 : ラ イ セ ン スの取得および管理
ラ イ セ ン ス キー フ ァ イルの変更点
製品権限に対 し て ラ イ セ ン ス が生成 さ れ る たびに、 FLEXnet で イ ン ク リ メ ン ト 行お よ び対応す る パ ッ ケージ行が ラ イ
セ ン ス キー フ ァ イ ルに追加 さ れます。 ラ イ セ ン ス フ ァ イ ルが既存の製品権利のシー ト を有効に し た り 追加す る ため
に修正 さ れ る と 、 イ ン ク リ メ ン ト ま たはパ ッ ケージ行が ラ イ セ ン ス フ ァ イ ルに追加 さ れます。
ラ イ セ ン ス フ ァ イ ルのホ ス ト が変更 さ れた り 、 シー ト 数や製品権利が削除 さ れ る と 、 対応す る イ ン ク リ メ ン ト 行が修
正 さ れた ラ イ セ ン ス フ ァ イ ルか ら は削除 さ れます。
古い ラ イ セ ン ス
リ リ ー ス 10.1 以前のバージ ョ ン の ラ イ セ ン ス が必要な場合は、 [Legacy Licensing] タ ブ を ク リ ッ ク し ま す。
X-Ref Target - Figure 5-19
図 5‐19 : 古い ラ イ セ ン ス
各バージ ョ ン で次の手順 を 実行 し ま す。
10.1 以前のバージ ョ ン
1.
バージ ョ ン を選択 し ます。 連絡先情報を確認す る 画面が表示 さ れます。
2.
必要な情報を記述 し 、 登録 ID を取得 し ます。 登録 ID は画面に表示 さ れ る ほか、 記録用に電子 メ ールに も 送信 さ
れます。
3.
ザ イ リ ン ク ス ダ ウ ン ロ ー ド セ ン タ ーで左側の [Version] 列の下の [Archive] リ ン ク を ク リ ッ ク し ます。
4.
ダ ウ ン ロ ー ド 中に登録 ID を入力す る 画面が表示 さ れた ら 入力 し て、 ダ ウ ン ロ ー ド を終了 し ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
73
第 5 章 : ラ イ セ ン スの取得および管理
ユーザーのラ イ セ ン ス ア カ ウン ト
製品ラ イ セ ン スのア カ ウン ト
デザ イ ン ツールま たは IP 製品をザ イ リ ン ク ス か ら 購入す る と 、 ラ イ セ ン ス を購入 し た こ と にな り 、 その製品のア ッ
プデー ト を使用す る 権利が 1 年間あ り ます。 ザ イ リ ン ク ス デザ イ ン ツールお よ び IP 製品を使用す る ラ イ セ ン ス は、
その ラ イ セ ン ス の状況に よ っ て異な り ます。 ラ イ セ ン ス は、 次の要件で決ま り ます。
•
購入 し た製品
•
購入 し た シー ト 数
•
ラ イ セ ン ス タ イ プ (証明書ま たはア ク テ ィ ベーシ ョ ン ベース、 フ ロ ーテ ィ ン グ ま たは ノ ー ド ロ ッ ク )
•
製品使用期間 (製品ア ッ プデー ト は 1 年間有効)
こ のサ イ ト か ら は、 購入 し たデザ イ ン ツールお よ び IP の製品権利だけでな く 、 無償の製品や評価版 製品な ど の ラ イ
セ ン ス に も ア ク セ ス で き ます。 フル ラ イ セ ン スお よ び無償 ラ イ セ ン ス の場合は、 1 年間の有効期限があ り ます。 デザ
イ ン ツールの評価版は 30 日、 IP の評価版は 120 日間の有効期限があ り ます。
製品権限か ら ラ イ セ ン ス を生成す る と 、 ウ ェ ブサ イ ト で ラ イ セ ン ス フ ァ イ ルが生成 さ れます。 ラ イ セ ン ス を有効にす
る と 、 ウ ェ ブサ イ ト で ラ イ セ ン ス フ ァ イ ルが生成 さ れ ます。 ラ イ セ ン ス フ ァ イ ルを イ ン ス ト ールす る と 、 購入ま た
は評価中の ソ フ ト ウ ェ アお よ び IP の使用が有効にな り ます。 ラ イ セ ン スや ラ イ セ ン ス フ ァ イ ルはザ イ リ ン ク ス ウ ェ
ブサ イ ト で管理 し ます。
ラ イ セ ン ス ア カ ウ ン ト は、 ザ イ リ ン ク ス ソ フ ト ウ ェ ア購入 リ ス ト に掲載 さ れてい る 各ユーザーに与え ら れ、 エ ン ド
ユーザーま たは管理者のいずれか と し て登録 さ れます。管理者が 1 人の場合は同 じ ラ イ セ ン ス ア カ ウ ン ト ですべての
購入を管理で き ます。 企業の場合は、 異な る 管理者に よ っ て複数のア カ ウ ン ト を持つ こ と がで き る ので、 社内で予算
の異な る 別のプ ロ ジ ェ ク ト を実行 し てい る 複数のデザ イ ン チームがあ る 場合な ど に使用する と 、 便利です。
注記 : ラ イ セ ン ス は、 期限切れの製品権限に対 し て も 生成はで き ますが、 使用期間が終わ る ま でのバージ ョ ン ま で し
か使用で き ません。 ラ イ セ ン ス の期限が切れた後に製品ア ッ プデー ト をする と 、 次に ツールを使用す る と き に ラ イ セ
ン ス エ ラ ー メ ッ セージが表示 さ れます。
ザイ リ ン ク ス デザイ ン ツールでの LogiCORE IP ラ イ セ ン スの生成
LogiCORE™ IP お よ び購入済みデザ イ ン ツール ラ イ セ ン ス はすべて、 製品 ラ イ セ ン ス サ イ ト に ロ グ イ ンす る と リ ス
ト さ れ ます。 現時点では、 すべての IP で証明書ベース の ラ イ セ ン ス が生成 さ れ る よ う にな っ てい ます。 評価用 ラ イ
セ ン スお よ び無償 IP の ラ イ セ ン ス も 同 じ サ イ ト か ら 入手で き ます。 すべての証明書ベース のデザ イ ン ツールお よ び
IP の ラ イ セ ン ス が 1 度で生成で き 、 1 つの ラ イ セ ン ス フ ァ イ ルが メ ールで送信 さ れます。
ユーザー タ イ プ と ア ク シ ョ ン
製品 ラ イ セ ン ス サ イ ト には、カ ス タ マー ア カ ウ ン ト 管理者、エン ド ユーザー、お よ び無償ユーザーの 3 タ イ プのユー
ザー権限があ り ます。
カ ス タ マー ア カ ウン ト 管理者
カ ス タ マー ア カ ウ ン ト 管理者は、 た と えば CAD ツールの管理者な ど がな り ます。 各ア カ ウ ン ト に、 必ず 1 人のカ ス
タ マー ア カ ウ ン ト 管理者が必要です。 カ ス タ マー ア カ ウ ン ト 管理者は、 複数のア カ ウ ン ト を管理で き ます。
カ ス タ マー ア カ ウ ン ト 管理者には、 次の よ う な権限があ り ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
74
第 5 章 : ラ イ セ ン スの取得および管理
•
ザ イ リ ン ク ス デザ イ ン ツールお よ び IP 製品の ノ ー ド ロ ッ ク ま たはフ ロ ーテ ィ ン グ ラ イ セ ン ス の生成
•
ア カ ウ ン ト へのユーザーの追加 と 削除
•
ほかのユーザーへの管理者権限の割 り 当て
カ ス タ マー ア カ ウ ン ト 管理者の情報は、製品注文の過程で送付先 と し て指定 さ れ、 管理者の電子 メ ール宛に購入 し た
製品のダ ウ ン ロ ー ド お よ び ラ イ セ ン ス情報な ど が送付 さ れます。カ ス タ マー ア カ ウ ン ト 管理者が電子 メ ールの リ ン ク
を ク リ ッ ク す る こ と で、 購入 し た製品へのア ク セ ス がで き る よ う にな り ます。
エ ン ド ユーザー
製品 ラ イ セ ン ス ア カ ウ ン ト にエン ド ユーザーを追加す る と 、 エン ジニアやデザ イ ン チーム メ ンバーがそれぞれ柔軟
に ラ イ セ ン ス キーを管理お よ び生成で き る よ う にな り ます。 エン ド ユーザーは、 ア カ ウ ン ト 内で ノ ー ド ロ ッ ク 付 き
ラ イ セ ン ス フ ァ イ ルを生成で き る ほか、 評価版の入手、 デザ イ ン ツールや IP 製品の無料 ラ イ セ ン ス フ ァ イ ル も 生成
で き ます。 カ ス タ マー ア カ ウ ン ト 管理者は、 エン ド ユーザーが フ ロ ーテ ィ ン グ ラ イ セ ン ス を生成で き る よ う に、 エ
ン ド ユーザーのア カ ウ ン ト を設定で き ます。 ただ し 、 エン ド ユーザーには、 次の よ う な制限があ り ます。
•
デフ ォ ル ト の設定の ま ま ではフ ロ ーテ ィ ン グ ラ イ セ ン ス フ ァ イ ルを生成で き ません。 こ の権限は、 カ ス タ マ ア
カ ウ ン ト 管理者が設定で き ます。
•
ほかのユーザーが生成 し た ラ イ セ ン ス フ ァ イ ルは表示 さ れません。
•
ほかのユーザーをサ イ ト か ら 追加ま たは削除で き ません。
無償ユーザー
無償ユーザーは、 次を実行で き ます。
•
Vivado System Edition の 30 日間無償の評価版 ラ イ セ ン ス キーを生成で き ます。
•
Vivado HLS の 30 日間無償の評価版 ラ イ セ ン ス を生成で き ます。
•
評価版お よ び無償の IP 製品の ラ イ セ ン ス キーを精製で き ます。
•
ISE お よ び Vivado 両方の WebPACK™ 機能を使用可能にする WebPACK ツール ラ イ セ ン ス を生成で き ます。
ど の タ イ プのユーザーで も 、 製品の電子ダ ウ ン ロ ー ド 、 ザ イ リ ン ク ス デザ イ ン ツールの DVD の請求がで き ます。
注記 : ザ イ リ ン ク ス デザ イ ン ツール製品エデ ィ シ ョ ンの フル バージ ョ ンの ラ イ セ ン ス を既に持っ てい る 場合は、 そ
れ以外のザ イ リ ン ク ス デザ イ ン ツール製品エデ ィ シ ョ ン ま たは IP を試用で き ます。 こ れ ら の ラ イ セ ン ス は同 じ ア カ
ウ ン ト で入手で き る よ う にな っ てい ます。
ザイ リ ン ク ス ユーザー ア カ ウン ト 情報の変更
重要 : ザ イ リ ン ク ス ユーザー ア カ ウ ン ト の社名、 住所、 電子 メ ールな ど の情報は、 常に最新の状態に し て く だ さ い。
会社 メ ール ア ド レ スの変更
1.
http://japan.xilinx.com にア ク セ ス し ます。
2.
サ イ ン イ ン し ます。
3.
会社 メ ール ア ド レ ス の [プ ロ フ ィ ールを更新] リ ン ク を ク リ ッ ク し 、 [個人情報] を展開表示 し ます。
4.
新 し い法人電子 メ ール ア ド レ ス を [新 し い会社 E メ ール ア ド レ ス] に入力 し ます。
5.
[プ ロ フ ィ ールに保存] ボ タ ン を押 し て変更を保存 し ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
75
第 5 章 : ラ イ セ ン スの取得および管理
ツ ールお よ び IP の購入情報
[Order] タ ブには、 ア カ ウ ン ト の購入情報が表示 さ れます。
X-Ref Target - Figure 5-20
図 5‐20 : 購入情報
•
ザ イ リ ン ク ス の注文番号が画面左側に表示 さ れます。
•
特定のオーダーを ク リ ッ ク す る と 、 右側にその詳細が表示 さ れます。
•
1 度に選択で き る のは、 1 つのみです。
•
電子 メ ールま たはダ ウ ン ロ ー ド で製品を取得 し た場合で も 、 配達住所情報が表示 さ れます。
ユーザー ア ク セスの管理
製品 ラ イ セ ン ス ア カ ウ ン ト の管理責任は、 別のユーザーに移行す る こ と も で き る ほか、 共有する こ と も で き ます。 ア
カ ウ ン ト へのユーザーの追加や削除は、 [Manage Users] タ ブか ら 実行 し ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
76
第 5 章 : ラ イ セ ン スの取得および管理
X-Ref Target - Figure 5-21
図 5‐21 : ユーザーの管理
ユーザーの追加
製品 ラ イ セ ン ス ア カ ウ ン ト にユーザーを追加する には、 次を実行 し ます。
•
新 し いユーザーの会社の電子 メ ール ア ド レ ス を入力 し ます。
•
管理者権限を与え る 場合は、 [Add as a full administrator] チ ェ ッ ク ボ ッ ク ス を オンに し ます。 フ ロ ーテ ィ ン グ ラ イ
セ ン ス を生成す る 権限を与え、 管理者権限を与えない場合は、 [Allow Floating Licenses] チ ェ ッ ク ボ ッ ク ス を オン
に し ます。
注記 : 指定す る 電子 メ ール ア ド レ ス は、ザ イ リ ン ク ス ア カ ウ ン ト を作成す る 際にそのユーザーが指定 し た も の と 同 じ
であ る 必要があ り ます。 別のア ド レ ス を使用する と 、 ロ グ イ ン し た と き にそのユーザーが正 し く 認識 さ れない可能性
があ り ます。
ユーザーが既に製品 ラ イ セ ン ス サ イ ト にア ク セ ス し た こ と のあ る 場合、 名前が自動的にユーザー リ ス ト に表示 さ れ
ま す。 サ イ ト にア ク セ ス し た こ と がない場合は、 名前の と こ ろ に [Not Yet Registered] と 表示 さ れ ます。 登録すれば、
名前の箇所が埋ま り ます。
ア カ ウ ン ト 管理者が管理者権限のないエ ン ド ユーザーに ラ イ セ ン ス フ ァ イ ルを管理で き る よ う にす る こ と も で き ま
す。 管理者権限のないエン ド ユーザー ([Add as full administrator] と [Allow Floating Licenses] チ ェ ッ ク ボ ッ ク ス の両方
を オ フ に し た場合) は、 次の機能が使用で き ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
77
第 5 章 : ラ イ セ ン スの取得および管理
•
ノ ー ド ロ ッ ク ラ イ セ ン ス のみ生成可能
•
自分自身で生成 し た ラ イ セ ン ス フ ァ イ ルのみ表示お よ び修正可能
•
ユーザーの管理は不可
[Allow Floating Licenses] のみを オンに し た場合は、 フ ロ ーテ ィ ン グ ラ イ セ ン ス フ ァ イ ルの生成はで き ますが、 その他
の制限はその ま ま です。管理者権限にはフ ロ ーテ ィ ン グ ラ イ セ ン ス の生成が既に含まれ る ため、両方のチ ェ ッ ク ボ ッ
ク ス を オンにす る こ と はで き ません。
ユーザーの削除
管理者権限ま たはフ ロ ーテ ィ ン グ ラ イ セ ン ス生成権限は、該当する ユーザーの [Adminstrator] ま たは [Floating] チ ェ ッ
ク ボ ッ ク ス をオ フ にす る と 、 無効にで き ます。
ユーザーを ア カ ウ ン ト か ら 削除す る には、 該当す る ユーザーの [Delete] ボ タ ン を ク リ ッ ク し ます。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
78
付録 A
その他の リ ソ ースおよび法的通知
ザイ リ ン ク ス リ ソ ース
ア ンサー、 資料、 ダ ウ ン ロ ー ド 、 フ ォー ラ ム な ど のサポー ト リ ソ ース は、 ザ イ リ ン ク ス サポー ト サ イ ト を参照 し て
く だ さ い。
ソ リ ュ ーシ ョ ン セ ン タ ー
デバ イ ス、 ツール、 IP のサポー ト については、 ザ イ リ ン ク ス ソ リ ュ ーシ ョ ン セ ン タ ーを参照 し て く だ さ い。 ト ピ ッ
ク には、 デザ イ ン ア シ ス タ ン ト 、 ア ド バ イ ザ リ 、 ト ラ ブルシ ュ ー ト ヒ ン ト な ど が含まれます。
Xilinx Documentation Navigator
ザ イ リ ン ク ス ツールお よ びハー ド ウ ェ ア資料は、 Xilinx Documentation Navigator ま たはザ イ リ ン ク ス ウ ェ ブサ イ ト か
ら 表示で き ます。 Documentation Navigator は、 Vivado® Design Suite に統合 さ れてい る ザ イ リ ン ク ス資料お よ びビデオ
のカ タ ロ グです。
Documentation Navigator の詳細は、 『Vivado Design Suite ユーザー ガ イ ド : 入門』 (UG910) を参照 し て く だ さ い。
ラ イ セ ン スおよびエ ン ド ユーザー ラ イ セ ン ス契約
ザ イ リ ン ク ス は、 ザ イ リ ン ク ス デザ イ ン ツールで次のサー ド パーテ ィ ベン ダーの ラ イ セ ン ス を使用す る 許諾を受け
てい ます。 各 ラ イ セ ン ス は、 該当す る ソ フ ト ウ ェ アのみに適用 さ れ る も ので、 その他に適用 さ れ る も のではあ り ませ
ん。 サー ド パーテ ィ の所有す る ラ イ セ ン ス は英文の ま ま記載 し てい ます。 ラ イ セ ン ス フ ァ イ ルを生成する 前に、 ザ イ
リ ン ク ス デザ イ ン ツールお よ びサー ド パーテ ィ 製品のエン ド ユーザー ラ イ セ ン ス契約 (EULA) を許諾 し てお く 必要
があ り ます。
サー ド パーテ ィ ラ イ セ ン ス の詳細お よ び EULA については、 エン ド ユーザー使用許諾契約を参照 し て く だ さ い。
ザ イ リ ン ク ス デザ イ ン ツール ラ イ セ ン ス の詳細お よ び EULA については、
http://japan.xilinx.com/cgi-bin/docs/rdoc?v=2015.1;d=end-user-license-agreement.pdf を参照 し て く だ さ い。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
79
付録 A : その他の リ ソ ースおよび法的通知
参考資料
1.
『UltraFast エンベデ ッ ド デザ イ ン設計手法ガ イ ド 』 (UG1046)
2.
『Vivado Design Suite ユーザー ガ イ ド : ロ ジ ッ ク シ ミ ュ レーシ ョ ン』 (UG900)
3.
®
4.
『Vivado Design Suite ユーザー ガ イ ド : パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン』 (UG909)
5.
『Vivado Design Suite チ ュ ー ト リ アル : パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン』 (UG909)
6.
『Vivado Design Suite ユーザー ガ イ ド : 階層デザ イ ン』 (UG905)
7.
『Vivado Design Suite チ ュ ー ト リ アル : 階層デザ イ ン』 (UG946)
8.
『IP リ リ ース ノ ー ト ガ イ ド 』 (XTP025)
9.
『USB ケーブル イ ン ス ト ール ガ イ ド 』 (UG344)
『Vivado Design Suite ユーザー ガ イ ド : 高位合成』 (UG902)
10. 『Platform Cable USB II デー タ シー ト 』 (DS593)
11. 『Parallel Cable IV デー タ シー ト 』 (DS097)
12. ザ イ リ ン ク ス ダ ウ ン ロ ー ド セ ン タ ー (http://japan.xilinx.com/support/download/index.htm)
13. Xilinx Design Tools WebTalk ページ (http://japan.xilinx.com/webtalk/index.htm)
14. Vivado Design Suite ビデオ チ ュ ー ト リ アル (http://japan.xilinx.com/training/vivado/index.htm)
15. Vivado Design Suite 資料 (http://japan.xilinx.com/support/documentation/dt_vivado2015-1.htm)
ト レーニ ング リ ソ ース
ザ イ リ ン ク ス では、本書に含まれ る コ ン セプ ト を説明す る さ ま ざ ま な ト レーニ ン グ コ ースお よ びオン ラ イ ン ビデオを
提供 し てい ます。 次の リ ン ク か ら 関連す る ト レーニ ン グ リ ソ ース を参照 し て く だ さ い。
1.
Vivado Design Suite 入門ワ ー ク シ ョ ッ プ ト レーニ ン グ コ ース
2.
Vivado デザ イ ン ツール フ ロ ー
3.
Vivado での FPGA 設計導入
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
80
付録 A : その他の リ ソ ースおよび法的通知
法的通知
The information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products.To the maximum extent
permitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND
CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY,
NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort,
including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection
with, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage
(including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such
damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no obligation to correct any
errors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify, distribute,
or publicly display the Materials without prior written consent.Certain products are subject to the terms and conditions of Xilinx’s limited warranty,
please refer to Xilinx’s Terms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos; IP cores may be subject to warranty and support
terms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any application
requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in such critical applications, please refer to Xilinx’s
Terms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos.
© Copyright 2012 - 2015 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands
included herein are trademarks of Xilinx in the United States and other countries.All other trademarks are the property of their respective owners.
こ の資料に関す る フ ィ ー ド バ ッ ク お よ び リ ン ク な ど の問題につ き ま し ては、 [email protected] ま で、 ま たは各ページの
右下にあ る [フ ィ ー ド バ ッ ク 送信] ボ タ ン を ク リ ッ ク す る と 表示 さ れ る フ ォ ーム か ら お知 ら せ く だ さ い。 フ ィ ー ド バ ッ ク は日本語で
入力可能です。 いただ き ま し た ご意見を参考に早急に対応 さ せていただ き ます。 なお、 こ の メ ール ア ド レ スへのお問い合わせは受
け付けてお り ません。 あ ら か じ めご了承 く だ さ い。
Vivado Design Suite 2015 リ リ ース ノ ー ト
UG973 (v2015.1) 2015 年 4 月 1 日
japan.xilinx.com
81
Fly UP