...

機能と特長 icBIST

by user

on
Category: Documents
8

views

Report

Comments

Transcript

機能と特長 icBIST
機能と特長
フロントエンドでの解析機能や、BIST 挿入のための強力な自動化ツールをはじめ、非常に効率的な BIST デザイン
オブジェクトを提供します。
•
製品投入期間の短縮はもちろんのこと、テストのための開発期間とそのコストを削減
ユーザ回路への BIST 回路挿入と組み込みは、全て自動化に。
•
手作業の BIST デザインオブジェクト挿入をなくすことにより、設計時間を削減
•
設計の再利用戦略をサポート
logicBIST のコントローラは、複数の周波数、クロックでの実動作速度テスト実現可能
•
高品質なテスト結果
先進の診断機能により、内部スキャンチェインレジスタの値を検査可能
•
テストとデバッグが可能
•
シリコンの市場投入までの期間を著しく削減可能
1つの memBIST コントローラで、全タイプの組み込み型メモリ(DRAM, SRAM, ROM)を実動作速度でテスト可能
•
ゲートと配線による面積のオーバーヘッドを劇的に削減
メモリアルゴリズムを選択可能(SMarch, Checkerboard, ROM)
•
使用するメモリの配置構成にあわせ、ユーザが最良の構成を作成可能
ユーザが特定のメモリを実装するために、最適なアルゴリズムを選択しやすくすることが可能
icBIST
LogicVision の icBIST は、IEEE1149.1 バウンダリスキャンの生成はもちろん、ロジック、埋め込みメモリ、コアの
実動作速度による自動化された組み込み型自己診断テスト(BIST)手法を提供します。icBIST の強力な BIST デザ
インオブジェクトはフロントエンドとバックエンド(合成前)を密に連結させ、その自動化ツールは、テスト開発
期間とコストを削減すると同時に、チップ上のロジック、めもり、コアのために非常に高品質なテストを可能にし
ます。
概要
LogicVision の icBIST は、強力な自動化ソフトウェアと効率的な BIST デザインで、低コストなチップレベルのテ
スト戦略を提供します。
設計サイクルのフロントエンドで icBIST は、合成可能な RTL コード(Verilog や VHDL)で BIST デザインオブジェ
クトを自動生成します。これらの BIST デザインオブジェクトは、IEEE 標準 1149.1 のバウンダリスキャンの実装と
同様にテストロジック、埋め込みメモリ、コアに必要なハードウェアと機能を提供します。さらに icBIST は、合成
スクリプトと同様に検証のためのシミュレーションテストベンチを生成します。
合成終了後 icBIST はテストポイントの分析と挿入、スキャンの挿入、フォルトシミュレーション、シグネチャの生
成、テストパターン生成のために自動化されたバックエンドのサポート機能を提供します。icBIST の幅広い自動化
機能と BIST デザインオブジェクトの結果として、テスト開発期間は大幅に削減できると同時に実動作速度テストに
よって高品質なテストを実現します。
icBIST テストに関する詳細な知識や 1149.1 の知識を必要としません。
icBIST への入力ファイルは、回路の特性と必要なオプションを記述します。生成された BIST 回路の変更は、入力
するファイルを変更することによって素早く実行でき、BIST 回路を再生成します。出力は、高級言語フォーマット
で BIST と 1149.1 回路の特長を取り込んで生成したテクノロジに依存しない RTL です。
BIST デザインオプジェクト
logicBIST コントローラ
icBIST は特許化され、カスタマイズ可能な logicBIST を幅広い動作周波数にあわせて最適化されたランダムロジッ
クの実動作速度テストのために提供します。
生成された logicBIST コントローラは、BIST モード中、内部スキャンチェインとバウンダリスキャンチェインを完
全に制御します。またその BIST コントローラは、真の実動作速度テストを BIST で実現するため、異なったクロッ
ク周波数で複数のスキャンチェインをサポートします。
HighHigh-level view of icBIST
BIST デザインオプジェクト
logicBIST コントローラ
icBIST は特許化され、カスタマイズ可能な logicBIST を幅広い動作周波数にあわせて最適化されたランダムロジッ
クの実動作速度テストのために提供します。生成された logicBIST コントローラは、BIST モード中、内部スキャン
チェインとバウンダリスキャンチェインを完全に制御します。またその BIST コントローラは、真の実動作速度テス
トを BIST で実現するため、異なったクロック周波数で複数のスキャンチェインをサポートします。
memBIST コントローラ
icBIST は埋め込みメモリに特許済みのデターミニスティックな BIST を提供します。ユーザのさまざまな定義に従
い、
それぞれのメモリに対し、collar と呼ばれる回路をの RTL で生成さします。
これらを1つのコントローラで SRAM、
DRAM、ROM 全て組み合せをサポートします。そのコントローラは、メモリが実際に動作する周波数で動作します。
tapBIST (1149.1)コントローラ
(1149.1)コントローラ
RTL 設計フローの一部のように、icBIST は、tapBIST コントローラ、IEEE1149.1 のテスト・アクセス・ポート(TAP)
とバウンダリスキャンの完全な実装を作成します。1149.1 の TAP は、memBIST と logicBIST コントローラを動かす
ように作られています。より上位のパッケージレベルでのテストを容易にするために、チップレベルにおいて業界
標準のインタフェイスを提供します。
自動化・解析ツール
BIST コントローラの自動生成
すべての BIST コントローラ(logicBIST,memBIST,
tapBIST)はフルカスタマイズ可能です。icBIST の強力ソフトウェアは、ユーザが用意したパラメータに基づいてカ
スタマイズされた BIST コントローラの RTL 記述を自動生成します。コントローラはこれらのパラメータに基づいて
ユーザの設計のために最適化されます。スキャチェインはアプリケーションの要求に基づき生成されます。BIST コ
ントローラのスキャチェインは自動的に挿入され接続されます。
icBIST 自動化フロー
テスト・ポイント・インサーション
テスト・ポイント・インサーション(TPI)は、検出困難な回路の故障を解析したり、故障検出率を確実に達成する
ために自動的にテスト回路の挿入を行います。
スキャン挿入の前後で、テストポイントを挿入でき、タイミングがクリティカルなノードは TPI の対象から除外す
ることも可能です。
テストデータ生成
icBIST は、logicBIST,memBIST,tapBIST のための生成されたテストパターンを小さなデータベースフォーマットで
保存します。またデータベースから業界標準のフォーマットに変換も可能です。
logicBIST
logicBIST の機能一般的なスキャン設計技術に基づいて構築されます。自己テストへの変換はスキャンチェインの
入力に擬似ランダムパターン生成器、スキャンチェインの出力にマルチ・インプット・シグネチャ・レジスタ(MISR)
を追加することが基本です。logicBIST コントローラは、スキャンチェインの中に繰り返し擬似ランダムパターン
のロードするために必要なすべての波形、機能サイクルの始まり(取り込みサイクル)、MISR 内に取り込んだ値の
ログを生成します。MISR はシグネチャの中に蓄積された値を圧縮します。全てのエラーを、取り込まれた値とシグ
ネチャのを比較し、検出します。テスト・ポイント・インサーション(TPI)の機能として、ランダムパターンでの
故障検出容易性と検出困難なポイント検出のための解析があります。この機能でテスト回路の自動挿入が可能にな
り、さらに高い故障検出率を確実にしました。
memBIST
icBIST はまた、埋め込み型 SRAM、ROM、DRAM 用の特許化されたデターミニスティックなメモリ BIST を提供します。
テストアルゴリズムは標準のマーチテストで、配線とゲート面積を劇的に削減するため、シリアル化され、実動作
速度の BIST 操作も考慮しています。memBIST コントローラは DRAM をテストするのにシリアルマーチテストと結合
されたチェッカーボードアルゴリズムをサポートし、ROM 専用の2パスアルゴリズムをサポートします。ユーザに
よって構成された memBIST コントローラとそれぞれのメモリのために collar を RTL コードで生成します。1つの
memBIST コントローラで、メモリの数、形式、サイズ、ポート数にかかわらず制御できます。
icBIST は外部テストからテストのために埋め込まれた回路対する設計工数を削減します。
そしてよりすぐれた品質のメモリテストパターンを使用し、実動作速度での自己テスト回路を提供します。icBIST
のメモリテスト機能は部分的に memBIST-IC として提供します。
tapBIST (1149.1)
icBIST は、IEEE1149.1 に完全準拠したトップレベルモジュールの RTL コードを生成します。モジュールは、バウン
ダリスキャン、TAP、logicBIST と memBIST を実行するめの BIST 回路を含んでいます。TAP コントローラは、パラメ
ータ化されたインストラクションレジスタを通して、ユーザのインストラクションを追加することを可能にします。
tapBIST は広範囲のバウンダリスキャンセルをサポートし、ボードレベルで使用するための BSDL ファイルを自動的
に生成します。
合成後の解析
icBIST は従来のスキャンテストと BIST のためのテスト容易性を高める機能をゲートレベルでサポートします。そ
の機能とは、ユーザによるさまざまな要求を満たすためのマルチスキャンチェインなど自由度の高いものです。
(FF
のタイプや、モジュール、既存のスキャンチェイン、チェイン数など。)
icBIST は、ランダムパターンを使用してテストするのが困難なノードを検出し、回路全体の可制御性と可観測性を
解析します。
それぞれのテストポイントで挿入される回路構成は、故障検出率を改善するように生成されます。その情報を確認
後、テスポイントに自動的に挿入されます。ユーザは、タイミングのクリティカルパスを指定することによってテ
ストポイントの挿入を除去することができます。
icBIST はルールチェック、logicBIST のシグネチャ生成とゲートレベルにおいて組み合わせの自動テストパターン
生成(ATPG)を行います。
icBIST は生成されたテストパターンと、logicBIST,memBIST,1149.1 などのプロトコルを、コンパクトなテストパタ
ーンデータベースとして格納します。テストパターンデータベースは、Verilog や VHDL のシミュレーションテスト
ベンチ、もしくは、テスタインタフェイス標準のサミットデザイン社の WGL フォーマットなどに再フォーマットす
ることができます。
全体的なチップに対する外部からのテストパターンは、BIST コントローラに対する初期化と BIST 起動信号、
logicBIST のシグネチャの比較、動作終了時の memBIST の結果などを最小限で実現できます。
動作環境
icBIST は以下の設計環境をサポートします:
・SUN、HP プラットフォーム
・Verilog HDL/VHDL (RTL/ゲート)
・Verilog-XL、Leapfrog、VSS
・Synopsys Design Compiler
・Summit Design WGL
・主要 ASIC ベンダライブラリ
機能と特長
1つの BIST コントローラで SRAM, DRAM,ROM の混在や、メモリの数、その形状などさまざまな設計スタイルをサポ
ートします。
•
全タイプ組み込み型メモリをテストするための普遍的なソリューション
•
シンプルなテストプロトコルと最小限のオーバーヘッドで実現可能
設計のフロントエンドにおいて、BIST コントローラとメモリへのインタフェイス回路を Verilog/VHDL の RTL で自
動生成
•
テスト開発時間の削減
メモリ BIST 回路やその階層を ASIC や IC コアの中へ自動的に組み込み可能
•
メモリテストのための開発期間を削減し、実動作速度のメモリテストが可能
•
不良混入率を削減し、より高品質なテストを実現
予め想定された故障モデルと、デターミニスティックなアルゴリズムにより、完全なメモリ故障の検出が可能
•
故障検出率の改善と、より品質の高いパーツを提供
BIST コントローラとメモリインタフェイス回路の最小限なオーバーヘッド
•
製造コストの増加は最小限で実現可能
メモリのテスト構成はユーザ定義が可能(パラレル、シリアル、デイジーチェーン)
•
テスト時間と診断精度のトレードオフが可能
memBISTmemBIST-IC
LogicVision の memBIST-IC は、組み込み型の DRAM,SRAM,ROM の実動作速度テストを実現するため、完全自動化され
た組み込み型自己診断(BIST)ソリューションを提供します。memBIST-IC は、設計サイクルやコストに影響の少ない
フロントエンドで動作する完全自動化ツールです。また、非常に高品質なメモリテストを実現します。
概要
memBIST-IC は組み込み型メモリ用に、特許化されたデターミニスティックな BIST を提供します。使用するアルゴ
リズムは、標準的なマーチをベースにしており、それをシリアル化することで、面積・配線の劇的な低減を実現し
ています。さらに実動作速度での BIST 動作も実現します。memBIST のコントローラは、DRAM をテストするために、
シリアルマーチテストと組み合わせた、チェッカーボードアルゴリズムをサポートします。ROM をテストするため
に、2パスアルゴリズムをサポートします。
設計サイクルのフロントエンドにおいて、memBIST-IC は合成可能な RTL コード(VHDL または Verilog)の BIST デザ
インオブジェクトを自動生成します。その RTL コードは、対象の回路のトップレベルに、memBIST コントローラと
各メモリ用の collar ロジックが生成されます。メモリの数、その形式、サイズ、ポート数にかかわらず、1つのメ
モリ BIST コントローラで制御できます。
memBIST-IC は、外部テスタからメモリへのテスト用パスを作成するための工数とチップへのオーバーヘッドを排除
し、標準的なメモリテストパターンを使用し、より優れた品質の実動作速度で自己診断機能を提供します。
memBIST-IC は、効率的かつ最小限のオーバーヘッドが可能なシリアルデータインタフェイスを使用します。これは
複数の組み込み型メモリと memBIST コントローラのインタフェイスを簡単にしました。この手法は、1つのコント
ローラから複数のメモリをドライブするための様々な構成方法をサポートします。
今日の LSI は 20 から 30 もしくはそれ以上のメモリを含んでいますが、LogicVision の memBIST-IC は既に、このよ
うなチップでの使用実績と生産により品質の証明がなされており、またこの数を超える評価により品質が実証され
ています。
BIST デザインオブジェクト
memBIST コントローラ
memBIST-IC は、ユーザが定義したメモリテストの構成を考慮して、各メモリ用の collar や BIST コントローラを
Verilog や VHDL の RTL コードで自動生成します。大きな特長としては、メモリの実動作速度テストを考慮したシス
テム速度による操作があげられます。これにより、故障検出率が向上し、不良混入率が低減します。
サポート済みのメモリタイプ: DRAM SRAM ROM
memBIST-IC は、マルチポートメモリを完全にサポートしています。
その他の機能:
•
自由度の高いプログラミング可能なメモリタイミングインタフェース
•
Stop-on-error 方式による故障解析機能
•
SRAM、DRAM のテストアルゴリズムをユーザが選択可能
•
2パステストアルゴリズム(ROM 用)
memBIST-IC デザインフロー
自動化/解析ツール
memBIST コントローラの自動生成
memBIST コントローラはフルカスタマイズが可能です。memBIST の持つ強力なソフトウェアは、ユーザが用意したパ
ラメータに基づいてカスタマイズされたコントローラを RTL 記述で自動生成します。memBIST-IC は、既存の HDL 設
計の中に memBIST コントローラと collar ロジックの RTL 記述を自動的に接続するため、スムーズな設計フローを提
供し、ユーザの手間を最小限にします。
テストデータの生成
テストパターンの開発工数は memBIST-IC を使用することで大幅に削減できます。すべてのパターンは memBIST コン
トローラの中で生成されるので外部からのメモリテストパターンは必要ありません。
シミュレーションテストベンチ
シミュレーションテストベンチ
memBIST-IC は、組み込み型メモリとそれに関連したコントローラや、collar の回路を完全に検証するためのシミュ
レーションテストベンチを生成します。
合成用制約リスト
memBIST-IC は、RTL コードの論理合成を自動化するために、Synopsys 用のスクリプトを生成します。
動作環境
memBIST-IC は以下の設計環境をサポートします:
・ SUN、HP プラットホーム
・
・
・
・
・
Verilog HDL/VHDL (RTL/ゲート)
Verilog-XL、Leapfrog、VSS
Synopsys Design Compiler
Summit Design WGL
Leading ASIC Libraries
(C) 1997 LogicVision, Inc. All rights reserved. LogicVision と logo は登録商標です。chipBIST、icBIST、
logicBIST、memBIST-IC、memBIST-XT、sysBIST、tapBIST は LogicVision 社の商標です。他の名前については、そ
れぞれの所有者の商標あるいは登録商標です。
Fly UP