...

UG786 消費電力手法ガイド

by user

on
Category: Documents
1

views

Report

Comments

Transcript

UG786 消費電力手法ガイド
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
該当するソフトウェア バージョン : ISE Design Suite 13.1 以降
Xilinx is disclosing this user guide, manual, release note, and/or specification (the “Documentation”) to you solely for use in the
development of designs to operate with Xilinx hardware devices.You may not reproduce, distribute, republish, download,
display, post, or transmit the Documentation in any form or by any means including, but not limited to, electronic, mechanical,
photocopying, recording, or otherwise, without the prior written consent of Xilinx.Xilinx expressly disclaims any liability arising
out of your use of the Documentation.Xilinx reserves the right, at its sole discretion, to change the Documentation without notice
at any time.Xilinx assumes no obligation to correct any errors contained in the Documentation, or to advise you of any
corrections or updates.Xilinx expressly disclaims any liability in connection with technical support or assistance that may be
provided to you in connection with the Information.
THE DOCUMENTATION IS DISCLOSED TO YOU “AS-IS” WITH NO WARRANTY OF ANY KIND.XILINX MAKES NO
OTHER WARRANTIES, WHETHER EXPRESS, IMPLIED, OR STATUTORY, REGARDING THE DOCUMENTATION,
INCLUDING ANY WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE, OR
NONINFRINGEMENT OF THIRD-PARTY RIGHTS.IN NO EVENT WILL XILINX BE LIABLE FOR ANY
CONSEQUENTIAL, INDIRECT, EXEMPLARY, SPECIAL, OR INCIDENTAL DAMAGES, INCLUDING ANY LOSS OF
DATA OR LOST PROFITS, ARISING FROM YOUR USE OF THE DOCUMENTATION.
CRITICAL APPLICATIONS DISCLAIMER
XILINX PRODUCTS (INCLUDING HARDWARE, SOFTWARE AND/OR IP CORES) ARE NOT DESIGNED OR
INTENDED TO BE FAIL-SAFE, OR FOR USE IN ANY APPLICATION REQUIRING FAIL-SAFE PERFORMANCE,
SUCH AS IN LIFE-SUPPORT OR SAFETY DEVICES OR SYSTEMS, CLASS III MEDICAL DEVICES, NUCLEAR
FACILITIES, APPLICATIONS RELATED TO THE DEPLOYMENT OF AIRBAGS, OR ANY OTHER APPLICATIONS
THAT COULD LEAD TO DEATH, PERSONAL INJURY OR SEVERE PROPERTY OR ENVIRONMENTAL DAMAGE
(INDIVIDUALLY AND COLLECTIVELY, “CRITICAL APPLICATIONS”).FURTHERMORE, XILINX PRODUCTS ARE
NOT DESIGNED OR INTENDED FOR USE IN ANY APPLICATIONS THAT AFFECT CONTROL OF A VEHICLE OR
AIRCRAFT, UNLESS THERE IS A FAIL-SAFE OR REDUNDANCY FEATURE (WHICH DOES NOT INCLUDE USE OF
SOFTWARE IN THE XILINX DEVICE TO IMPLEMENT THE REDUNDANCY) AND A WARNING SIGNAL UPON
FAILURE TO THE OPERATOR.CUSTOMER AGREES, PRIOR TO USING OR DISTRIBUTING ANY SYSTEMS THAT
INCORPORATE XILINX PRODUCTS, TO THOROUGHLY TEST THE SAME FOR SAFETY PURPOSES.TO THE
MAXIMUM EXTENT PERMITTED BY APPLICABLE LAW, CUSTOMER ASSUMES THE SOLE RISK AND LIABILITY
OF ANY USE OF XILINX PRODUCTS IN CRITICAL APPLICATIONS.
AUTOMOTIVE APPLICATIONS DISCLAIMER
XILINX PRODUCTS ARE NOT DESIGNED OR INTENDED TO BE FAIL-SAFE, OR FOR USE IN ANY APPLICATION
REQUIRING FAIL-SAFE PERFORMANCE, SUCH AS APPLICATIONS RELATED TO:(I) THE DEPLOYMENT OF
AIRBAGS, (II) CONTROL OF A VEHICLE, UNLESS THERE IS A FAIL-SAFE OR REDUNDANCY FEATURE (WHICH
DOES NOT INCLUDE USE OF SOFTWARE IN THE XILINX DEVICE TO IMPLEMENT THE REDUNDANCY) AND A
WARNING SIGNAL UPON FAILURE TO THE OPERATOR, OR (III) USES THAT COULD LEAD TO DEATH OR
PERSONAL INJURY.CUSTOMER ASSUMES THE SOLE RISK AND LIABILITY OF ANY USE OF XILINX PRODUCTS
IN SUCH APPLICATIONS.
© Copyright 2011 Xilinx, Inc. XILINX, the Xilinx logo, Virtex, Spartan, ISE, and other designated brands included herein are
trademarks of Xilinx in the United States and other countries.All other trademarks are the property of their respective owners.
本資料は英語版 (v13.1) を翻訳 し た も ので、 内容に相違が生 じ る場合には原文を優先 し ます。
資料に よ っ ては英語版の更新に対応 し ていない も のがあ り ます。
日本語版は参考用 と し て ご使用の上、 最新情報につ き ま し ては、 必ず最新英語版を ご参照 く だ さ い。
改訂履歴
次の表に、 こ の文書の改訂履歴を示 し ます。
日付
バージ ョ ン
2011 年 3 月 1 日
13.1
消費電力手法ガ イ ド
改定履歴
初期 リ リ ース
japan.xilinx.com
UG786 (v13.1) 2011 年 3 月 1 日
こ のマニ ュ アルについて
こ の消費電力手法ガ イ ド には、 FPGA ロ ジ ッ ク を設計 し てシ ス テ ムに統合する 際に受け る 可能性があ る 消費電力の影
響がすべて記載 さ れてい ます。 こ のガ イ ド では、 FPGA 内部ま た外部の消費電力に影響する 電力的要因や物理的要因
が示 さ れてい ます。 ま た、 デザ イ ン サ イ ク ルの任意の段階でデバ イ ス の消費電力を監視 し て最小限に抑え る フ ロ ー
お よ び手法 も 紹介 し てい ます。
こ のガ イ ド は、 次の章で構成 さ れています。
第 1 章 「FPGA の消費電力概要」 では、 FPGA におけ る消費電力を理解す る のに必要な さ ま ざ ま な コ ン ポーネ ン ト
と FPGA に大規模なシ ス テ ム を含め る 際の影響について説明 し ます。 ま た、 各種用語の定義、 物理的過程、 要因に
ついて説明 し ます。
第 2 章 「 ソ フ ト ウ ェ アに よ る 消費電力解析」 では、 FPGA の消費電力の算出方法ま たは概算方法に対す る さ ま ざ ま
なアプ ロ ーチを紹介 し ます。 結果が ど の よ う に算出 さ れたかを理解で き る よ う 、 各アプ ロ ーチでの利点、 必要な入
力、 想定、 お よ び精度 と 複雑度の ト レー ド オ フ について説明 し ます。
第 3 章 「消費電力予測手法」 では、 FPGA の消費電力を概算 し て大規模シ ス テ ム設計への影響を確認す る際の ツー
ルお よ び手法をデザ イ ン サ イ ク ルの各ス テ ッ プご と に説明 し ます。
第 4 章 「消費電力削減のための ヒ ン ト お よ び手法」 では、 シ ス テ ムのすべての側面に対 し て消費電力を最小限に抑
え る 際に使用で き る 実践的なガ イ ド ラ イ ン を紹介 し ます。 FPGA が イ ンプ リ メ ン ト さ れ る シ ス テ ムでの考慮事項、
HDL コ ー ド 手法、 ソ フ ト ウ ェ ア設定、 お よ び消費電力の最適化アルゴ リ ズ ムについて も 説明 し ます。
第 5 章 「ま と め」 では、 ガ イ ド で紹介 し た情報のサマ リ を紹介 し ます。
付録 A 「その他の リ ソ ース」 では、 こ のガ イ ド で紹介す る情報に関連す る資料の リ ス ト が含まれてい ます。
UG786 (v13.1) 2011 年 3 月 1 日
japan.xilinx.com
消費電力手法ガ イ ド
消費電力手法ガ イ ド
japan.xilinx.com
UG786 (v13.1) 2011 年 3 月 1 日
目次
改訂履歴. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2
こ のマニ ュ アルについて . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3
第 1 章 : FPGA の消費電力概要
FPGA 消費電力の側面お よ びシ ス テ ムの依存性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
FPGA 消費電力 と 全般的なシ ス テ ム デザ イ ン プ ロ セ ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
ザ イ リ ン ク ス 消費電力予測/解析ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
第 2 章 : ソ フ ト ウ ェ アに よ る消費電力解析
消費電力計算 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
熱計算 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
消費電力モデルの精度 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ア ク テ ィ ビ テ ィ 予測 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
使用量予測 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
17
18
19
19
22
第 3 章 : 消費電力予測手法
イ ンプ リ メ ン テーシ ョ ン前の消費電力予測 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
イ ンプ リ メ ン テーシ ョ ン中の監視. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
消費電力 ク ロ ージ ャ 段階 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
消費電力お よ び温度の計測 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
23
26
32
37
第 4 章 : 消費電力削減のための ヒ ン ト お よび手法
シ ス テ ム レベル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
デバ イ ス レベル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
デザ イ ン レベル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ソ フ ト ウ ェ ア設定お よ びアルゴ リ ズ ム レベル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
デバ イ ス ま たはアーキ テ ク チ ャ を効率的に比較 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
41
42
42
46
49
第 5 章 : ま とめ
付録 A : その他の リ ソ ース
消費電力に関する 資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53
ツール資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53
サポー ト お よ びその他 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
japan.xilinx.com
5
6
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
第1章
FPGA の消費電力概要
こ の章では、 FPGA を ボー ド に イ ンプ リ メ ン ト す る際に考慮す る さ ま ざ ま な側面やス テ ッ プについ
て紹介 し ます。 シ ス テ ム設計 と い う 大 き な視点か ら FPGA 開発を捉え、 デザ イ ン フ ロ ーの各段階
での消費電力に関連 し た考慮事項を大ま かに説明 し ま す。 フ ロ ーの詳細、 ヒ ン ト 、 お よ び手法は、
第 3 章「消費電力予測手法」お よ び第 4 章「消費電力削減のための ヒ ン ト お よ び手法」 で説明 し ます。
FPGA 消費電力の側面およびシス テムの依存性
現代の FPGA は、 カ ス タ マ イ ズ可能な大型 ロ ジ ッ ク ア レ イ に加え て メ モ リ 、 DSP、 プ ロ セ ッ サー、
お よ びデー タ を処理 し て別のチ ッ プ と 通信す る多数のブ ロ ッ ク が統合 さ れた優れたチ ッ プです。 プ
リ ン ト 回路基盤 (PCB) には複雑なチ ッ プが多数あ る ため、FPGA の消費電力は多 く の要因に依存 し
てい ます。FPGA お よ びユーザー デザ イ ンに よ り シ ス テ ムの電源要件お よ び放熱要件が作成 さ れ る
一方で、 シ ス テ ムの物理的、 電気的な要因が FPGA の電源お よ び冷却に影響を与え る可能性があ り
ます。 次のセ ク シ ョ ンでは、 こ れ ら の側面を詳細に説明す る前に、 こ のガ イ ド で使用 さ れ る用語お
よ び概念について説明 し ます。
電源パス
FPGA に電源を供給す る には、 複数の電源が必要です。 各電源では、 さ ま ざ ま な FPGA リ ソ ース で
必要にな る 電力が提供 さ れます。 こ れに よ り 、 異な る電圧レベルで さ ま ざ ま な リ ソ ース が動作で き
る ので、 ノ イ ズや寄生効果に対 し て高い耐性を保ちなが ら 、 パフ ォーマン スお よ び信号強度を向上
で き ます。
表 1-1 に、 各種電源お よ びその電源が供給 さ れ る ザ イ リ ン ク ス FPGA 内の ロ ジ ッ ク リ ソ ース を示
し ま す。 こ れ ら の詳細は、 ザ イ リ ン ク ス デバ イ ス フ ァ ミ リ に よ っ て異な る 場合があ る ので、 こ の
表はガ イ ド ラ イ ン と し てのみ示 し てい ます。
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
japan.xilinx.com
7
第 1 章 : FPGA の消費電力概要
表 1-1 : FPGA リ ソ ースおよびその電源
電源
VCCINT
および
VCCBRAM(3)
電源が供給 さ れる リ ソ ース
• すべての CLB リ ソ ース
• すべての配線 リ ソ ース
• すべての ク ロ ッ ク バ ッ フ ァ ーを含む ク ロ ッ ク ツ リ ー全体
• ブ ロ ッ ク RAM/FIFO(1)
• DSP ス ラ イ ス (1)
• すべての入力バ ッ フ ァ ー
• IOB に含まれ る ロ ジ ッ ク エ レ メ ン ト (ILOGIC/OLOGIC)(1)
• ISERDES/OSERDES(1)
• PowerPC™ プ ロ セ ッ サー (1)
• ト ラ イ モー ド イ ーサネ ッ ト MAC(1)
• ク ロ ッ ク マネージ ャ ー (DCM、 PLL な ど ) (大部分は Vccaux で供給 さ れ
る ため少量のみを供給)
• MGT の PCIE お よ び PCS 部分
VCCAUX
および
VCCAUX_IO(3)
• ク ロ ッ ク マネージ ャ ー (MMCM、 PLL、 DCM な ど )(1)
• IODELAY/IDELAYCTRL(1)
• すべての出力バ ッ フ ァ ー
• 差動入力バ ッ フ ァ ー
• VREF ベース のシ ン グルエン ド I/O 規格 (HSTL18_I な ど )
• 位相器
VCCO
• すべての出力バ ッ フ ァ ー
• 一部の入力バ ッ フ ァ ー
• デジ タ ル制御 イ ン ピーダ ン ス (DCI) 回路
( オンチ ッ プ終端 (OCT) と も 呼ばれ る )(2)
MGT*
• ト ラ ン シーバーの PMA 回路
メモ :
1. こ れ ら の リ ソ ース は、 一部のデバ イ ス フ ァ ミ リ でのみで使用で き ます。 詳細は、 該当す る デー タ シー ト お
よ びユーザー ガ イ ド を参照 し て く だ さ い。
2. バン ク 0 (VCCO_0 ま たは V0CCO_CONFIG) の VCCO では、 バン ク 0 に含 まれ る I/O すべて と コ ン フ ィ
ギ ュ レ ーシ ョ ン回路に電源が供給 さ れ ます。 詳細は、 該当す る コ ン フ ィ ギ ュ レーシ ョ ン ユーザー ガ イ ド を
参照 し て く だ さ い。
3. ザ イ リ ン ク ス 7 シ リ ーズ FPGA のみ
電力の種類
各電源で必要な電力は、 次の 3 つです。
8
•
デバ イ ス ス タ テ ィ ッ ク ( リ ー ク ) 電力 : デバ イ ス が動作 し 、プ ロ グ ラ ムで使用で き る ために必要
な電力。 大部分はデバ イ ス の コ ン フ ィ ギ ュ レーシ ョ ン を保持す る ために使用 さ れ る ト ラ ン ジ ス
タ の リ ー ク が原因です。
•
デザ イ ンの ス タ テ ィ ッ ク 消費電力 : デバ イ ス が コ ン フ ィ ギ ュ レーシ ョ ン さ れていてア ク テ ィ ビ
テ ィ がない と き に継続 し て消費 さ れ る電力。 こ の電力には、 デザ イ ンのア ク テ ィ ビ テ ィ に関わ
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
FPGA 消費電力の側面およびシ ステムの依存性
ら ず使用時に電力が必要にな る I/O 終端、 ク ロ ッ ク マネージ ャ ー、 お よ びその他の回路の ス タ
テ ィ ッ ク 電流が含まれています。
•
デザ イ ン ダ イ ナ ミ ッ ク 消費電力 : デザ イ ン ア ク テ ィ ビ テ ィ で発生す る電力。 こ の電力は、 デザ
イ ン ア ク テ ィ ビ テ ィ に よ っ て時間 と 共に変化 し ます。 ま た、 こ の電力は使用す る 電圧レベル、
ロ ジ ッ ク 、 お よ び配線 リ ソ ース に よ っ て異な り ます。
電力消費パス
FPGA デバ イ ス に供給 さ れ る総電力は、 複数のパ ス を介 し て出入力 さ れます。
•
熱電力 : FPGA 内部で消費 さ れ る電力。 熱電力は、 デバ イ ス の異な る エ レ メ ン ト を イ ネーブル
に し て、 デバ イ ス ロ ジ ッ ク を切 り 替え ます。 こ の よ う な動作では熱が発生 し 、 デバ イ ス のジ ャ
ン ク シ ョ ン温度が上昇す る原因にな り ます。 こ の熱は、 環境に伝導 し ます。 プ リ ン ト 回路基板
にはほかのチ ッ プ も 配置 さ れてい る ため、 FPGA 設計者は放熱パ ス を提供 し 、 ジ ャ ン ク シ ョ ン
温度をデバ イ ス動作範囲に維持す る よ う にす る必要があ り ます。
•
オ フチ ッ プ電力 : 電源か ら FPGA 電力ピ ン、 I/O ピ ンの順に通 り 、 外部のボー ド コ ン ポーネ ン
ト で散逸 さ れ る 電流。 FPGA で供給 さ れ る電流は通常、 オフ チ ッ プの I/O 終端、 LED、 ま たは
ほかのチ ッ プの I/O バ ッ フ ァ ーで消費 さ れ る ので、 デバ イ ス のジ ャ ン ク シ ョ ン温度の上昇の原
因にはな り ません。
パワー モー ド
FPGA は、 電源を投入 し てか ら 切 る ま での間にい く つかの電力フ ェ ーズ を経ます。 各フ ェ ーズでの
電力要件は、 異な り ます。
•
電力投入 : 最初に FPGA に電力が投入 さ れ る と き に発生す る過渡スパ イ ク 電流。 こ の電流は各
電圧電源で異な り 、 FPGA 構造お よ び電源 ソ ー ス の公称電圧への上昇能力に依存 し てい ます。
ま た、 温度、 異な る電源間での優先順位な ど、 デバ イ ス の動作条件に も 依存 し てい ます。 現代
の FPGA アーキ テ ク チ ャ で電源投入順序のガ イ ド ラ イ ンに従っ てい る場合は、こ の よ う な スパ
イ ク 電流を考慮す る必要はあ り ません。
•
コ ン フ ィ ギ ュ レーシ ョ ン : デバ イ ス の コ ン フ ィ ギ ュ レーシ ョ ン中に必要な電力。 アプ リ ケー
シ ョ ンの消費電力が極端に低 く ない限 り 、 コ ン フ ィ ギ ュ レーシ ョ ン電力はア ク テ ィ ブ電力 よ り
常に低 く な る ため、 こ の一時的な フ ェ ーズが電源要件に影響す る こ と はあ り ません。
•
ス タ ンバ イ : デバ イ ス が コ ン フ ィ ギ ュ レーシ ョ ン さ れた と き に供給 さ れ る 電力で、 外部か ら 適
用 さ れ る ア ク テ ィ ビ テ ィ や内部で生成 さ れ る ア ク テ ィ ビ テ ィ はあ り ません。 ス タ ンバ イ は、 デ
ザ イ ンの動作中に供給す る必要があ る最小継続電力を示 し ます。
•
ア ク テ ィ ブ : デバ イ ス でアプ リ ケーシ ョ ンが実行 さ れてい る間に必要な電力。 こ の電力には、
ス タ ン ド バ イ (すべての ス タ テ ィ ッ ク 消費電力) と デザ イ ン ア ク テ ィ ビ テ ィ に よ り 生成 さ れた
電力すべて (デザ イ ンのダ イ ナ ミ ッ ク 消費電力) が含まれます。 こ の電力は瞬間的で、 入力デー
タ パ タ ーンお よ びデザ イ ンの内部ア ク テ ィ ビ テ ィ に よ り ク ロ ッ ク サ イ ク ルご と に変化 し ます。
•
サ スペン ド : すべてのデバ イ ス の電力が切れてい る と き に必要な電力。 こ の電力は、 デバ イ ス
フ ァ ミ リ に よ っ て異な り ま すが、 デバ イ ス の コ ン フ ィ ギ ュ レ ーシ ョ ン は常に保持 さ れ ま す。
ウ ェ ー ク ア ッ プ ロ ジ ッ ク に よ り ア ク テ ィ ブ ス テー ト に戻 る こ と がで き ます。 こ のモー ド では、
電力を節約 し なが ら 完全機能にすみやかに戻 る こ と がで き ます。
•
ハ イ バネー ト : 1 つま たは複数の電源 ソ ースが切れてい る と き に必要な電力。 こ の機能は FPGA
アーキ テ ク チ ャ に よ っ て異な り ますが、 通常は常時動作す る必要がないアプ リ ケーシ ョ ンで消
費電力を大幅に節約で き ます。 通常の動作に戻 る 前にデバ イ ス のプ ロ グ ラ ム を再実行す る 必要
があ る ため、 ア ク テ ィ ブ電力ス テー ト に戻 る ま での ウ ェ ー ク ア ッ プ時間は長 く な り ます。 ま た、
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
japan.xilinx.com
9
第 1 章 : FPGA の消費電力概要
こ のモー ド ではブ ロ ッ ク RAM を含むチ ッ プ内すべてのデー タ が ク リ ア さ れ る ので、 電源投入
時 と 同 じ 状態にデバ イ ス が戻 り ます。
環境的な消費電力の要因
•
供給ス ト ラ テジ
•
レ ギ ュ レー タ ー技術 : 入力 と 出力の電圧差異、 応答時間、 最大電流、 出力電圧の精度制約
を調整す る さ ま ざ ま な レ ギ ュ レー タ ー技術があ り ます。
•
デカ ッ プ リ ン グ ネ ッ ト ワー ク のパフ ォーマン ス : デカ ッ プ リ ン グ回路を効率 よ く 設計す
る と 、 短時間で高電力を要求す る 期間に FPGA に電力を供給す る だけではな く 、 レ ギ ュ
レー タ ーか ら の電流サージ要求を減 ら し て、 レ ギ ュ レー タ ーに よ る総消費電力を抑え る こ
と がで き ます。
•
FPGA の選択 : 電源数お よ び電圧レベル要件は、 FPGA フ ァ ミ リ に よ っ て異な り ます。 低
電圧 コ アや低電圧 I/O イ ン タ ーフ ェ イ ス を サポー ト す るデバ イ ス を選択す る と 、 消費電力
を抑え る こ と がで き ます。
•
冷却ス ト ラ テジ
•
シ ス テ ム環境 : シ ス テ ム エン ク ロ ージ ャ の形状お よ び寸法 と その周囲温度は、 生成 さ れた
熱を環境に移す際に考慮す る主要な側面です。
•
ヒ ー ト シ ン ク : ヒ ー ト シ ン ク の寸法、 形状、 お よ びマ ウ ン ト と 関連す る強制エア フ ロ ー
シ ス テ ムに よ り FPGA か ら 抽出可能な熱量が決定 し ます。
•
パ ッ ケージ選択 : パ ッ ケージの寸法、 材質、 お よ びボー ド への接続は、 コ ス ト と シ グ ナ
ル イ ン テ グ リ テ ィ に加え、 生成 さ れた熱が上面 と 下面の両方か ら ど の よ う に環境に移 さ
れ る かに も 影響 し ま す。 ヒ ー ト シ ン ク と ボー ド 間で接触面が大 き いほ ど、 熱抵抗が低 く
な り ま す。
•
コ ン ポーネ ン ト の配置 : シ ス テ ム エン ク ロ ージ ャ に加え材質、 アセ ンブ リ 、 お よ びその近
隣の コ ン ポーネ ン ト な ど の構成パ ラ メ ー タ ーに応 じ て コ ン ポーネ ン ト を配置す る と 、 環境
への熱の移 り 方が変わ り ま す。 た と えば、 障害物を 1 つ配置す る と FPGA 付近のエア フ
ロ ーが減少す る可能性があ り ます。 FPGA の近辺に配置 さ れてい る その他の熱を発す る コ
ン ポーネ ン ト は、 デバ イ ス上部の外気を加熱 し た り 、 ヒ ー ト シ ン ク の効率を下げた り 、 ま
たはボー ド 材を介 し て FPGA に熱を移 し た り する 可能性があ り ます。
デバイ スの消費電力の要因
•
10
製造パ ラ メ ー タ ー
•
シ リ コ ン技術 : ザ イ リ ン ク ス のデザ イ ン チームでは、 シ ミ ュ レーシ ョ ン と 解析を精密に行
い、 製造プ ロ セ ス におけ る パ ラ メ ー タ ーを慎重に選択 し てい ます。 望ま し い機能、 コ ス ト 、
パフ ォーマン ス、 お よ び信頼性の間で適切なバ ラ ン ス を取 る には、 さ ま ざ ま な ト ラ ン ジ ス
タ のサ イ ズ、 強度、 電圧、 お よ び配列が要求 さ れます。 こ れに よ り 、 ト ラ ン ジ ス タ の極性
化ま たは切 り 替えに必要なエネルギーが最適化 さ れます。 ザ イ リ ン ク ス では、 デバ イ ス I/
O の電気特性を シ ス テ ム でシ ミ ュ レ ーシ ョ ン で き る よ う ビ ヘ イ ビ アーお よ び ト ラ ン ジ ス
タ レベルのモデルが提供 さ れます。 こ れ ら のモデルを入手する には、
http://japan.xilinx.com/support/download/index.htm で [デバ イ ス モデル] タ ブ を ク リ ッ ク
し 、 [Model Type] の下か ら 任意モデルを ク リ ッ ク し て く だ さ い。
•
パ ッ ケージ技術 : ザ イ リ ン ク ス では、 デバ イ ス コ アお よ び I/O への電流の流れに影響す る
パ ッ ケージ技術お よ び物理的/電気的レ イ ア ウ ト のパ ラ メ ー タ ーを慎重に選択 し てい ます。
ま た、 形状や材質な ど のパ ラ メ ー タ ーは、 デバ イ ス で生成 さ れ る熱が ど の よ う にパ ッ ケー
ジの上面お よ び下面の両面か ら 環境に移 さ れ る か を 定義 し ま す。 特定の シ ス テ ム でパ ッ
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
FPGA 消費電力の側面およびシ ステムの依存性
ケージの電子的プ ロ パテ ィ を評価で き る よ う 、 ザ イ リ ン ク ス では IBIS フ ォーマ ッ ト で ピ
ン ご と の RLCG モデルを提供 し ています。選択 し たパ ッ ケージの熱動作を シ ミ ュ レーシ ョ
ン す る た め の 小 型 の 熱 モ デ ル も 提 供 さ れ て い ま す。 こ れ ら の 熱 モ デ ル は、 http://
japan.xilinx.com/support/download/index.htm の [ デバ イ ス モデル] タ ブ を ク リ ッ ク す る
と 、 [パ ッ ケージ温度モデル] か ら 入手で き ます。
•
アーキ テ ク チ ャ のパ ラ メ ー タ ー
ザ イ リ ン ク ス チームでは、 多数のパ ラ メ ー タ ーが存在す る 中で、 タ イ プ、 機能、 量、 レ イ ア ウ
ト 、 お よび さ ま ざ ま な FPGA リ ソ ース間の接続性を定義 し てい ます。 相反す る要因間でバ ラ ン
ス を取 る のは、 非常に困難です。 最 も 消費電力を節約で き る よ う なアーキ テ ク チ ャ のパ ラ メ ー
タ ーを選択す る こ と で、 デバ イ ス コ ス ト やパフ ォーマン ス に影響 し た り 、 ソ フ ト ウ ェ アの イ ン
プ リ メ ン テーシ ョ ン アルゴ リ ズ ムが さ ら に複雑にな る 可能性があ り ます。 こ れ ら の選択は、 デ
バ イ ス の ス タ テ ィ ッ ク 消費電力お よ びダ イ ナ ミ ッ ク 消費電力に大 き く 影響 し ます。
最近のデバ イ ス の改善点 :
•
よ り 多 く の機能を フ ィ ッ ト で き る よ う に ス ラ イ スや基本 ロ ジ ッ ク 構造を調整。 小 さ いエ リ
アに よ り 多 く の ロ ジ ッ ク をパ ッ ク す る こ と で、 通常は ロ ジ ッ ク お よ び配線のダ イ ナ ミ ッ ク
消費電力が節約 さ れます (4 入力 LUT の代わ り に 6 入力 LUT)。
•
デザ イ ンで よ く 使用 さ れ る機能のハー ド 化。 専用ブ ロ ッ ク ( ク ロ ッ ク ジ ェ ネ レー ター、
PCIe、 メ モ リ コ ン ト ロ ー ラ ー ) を 追加す る こ と で必要にな る FPGA プ ロ グ ラ マブル ロ
ジ ッ ク ま たは外部 コ ン ポーネ ン ト が減 り 、 シ ス テ ムの消費電力を削減。
•
ソ ース か ら デス テ ィ ネーシ ョ ンへのホ ッ プ数を最小化す る よ う 配線構造を最適化。
•
フ ァ ン ア ウ ト の大 き いネ ッ ト ( ク ロ ッ ク 、 リ セ ッ ト 、 ク ロ ッ ク イ ネーブル) を使用 し ない
と き にデ ィ ス エーブルにで き る よ う ゲー ト 付 き バ ッ フ ァ ーま たはポー ト を追加。
•
デバ イ ス全体ま たは個々の ロ ジ ッ ク ブ ロ ッ ク に適用 さ れ る パ ワー ダ ウ ン モー ド を追加。
こ れに よ り 、デザ イ ンの未使用部分の電源を切っ て必要な と き のみ イ ネーブルにで き ます。
•
低電圧 I/O イ ン タ ーフ ェ イ ス のサポー ト の追加。 電圧は、 I/O の ス タ テ ィ ッ ク 消費電力お
よ びダ イ ナ ミ ッ ク 消費電力の両方を駆動す る重要な側面です。
デザイ ン消費電力の要因
•
•
デバ イ ス の選択
•
適切なデバ イ ス フ ァ ミ リ : ベン ダーお よ びデバ イ ス フ ァ ミ リ に よ っ て提供 さ れ る ロ ジ ッ
ク お よ び I/O 機能は異な り ま す。 ア プ リ ケーシ ョ ン に最適なブ ロ ッ ク サ イ ズ、 コ ン フ ィ
ギ ュ レーシ ョ ン、 お よ び リ ソ ース数のデバ イ ス を選択す る こ と で、 アーキ テ ク チ ャ でのエ
レ メ ン ト 使用量が最適化 さ れ、 ス タ テ ィ ッ ク 消費電力お よ びダ イ ナ ミ ッ ク 消費電力が削減
さ れます。
•
適切な フ ァ ミ リ メ ンバー : デバ イ ス のサ イ ズは、 ほ と ん ど の場合でデバ イ ス の ス タ テ ィ ッ
ク 消費電力に影響 し ま す。 大 き すぎ た り 小 さ すぎ る デバ イ ス を 選択す る と 、 RTL 記述の
マ ッ プが最適にな ら ず、 ダ イ ナ ミ ッ ク 消費電力 と い う 点か ら 効率性が下が り ます。
RTL 記述
デザ イ ン記述は、 使用可能な リ ソ ースへの論理式のマ ッ プ方法に影響 し ます。 アーキ テ ク チ ャ
エ レ メ ン ト 、 ポー ト 、 コ ン フ ィ ギ ュ レーシ ョ ン オプシ ョ ン、 お よ びモー ド を よ く 理解す る こ と
で、 エンベデ ッ ド リ ソ ース を最大限に利用で き ます。
•
ツールの制約
デフ ォ ル ト では、 イ ンプ リ メ ン テーシ ョ ン ツールはパフ ォーマン ス目標を達成 し 、 デバ イ ス の
使用率を最小限に抑え る も のです。 コ アお よ び I/O ロ ジ ッ ク の両方にパフ ォーマン ス と 使用率
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
japan.xilinx.com
11
第 1 章 : FPGA の消費電力概要
に対 し て現実的で完全な一連の制約を提供す る こ と で、 ツールが最適に実行 さ れます。 こ れに
よ り 、 ダ イ ナ ミ ッ ク 消費電力が最小限に抑え ら れます。
•
イ ンプ リ メ ン テーシ ョ ン ツールのオプシ ョ ン
イ ンプ リ メ ン テーシ ョ ン ツールには、 消費電力を抑え る 複数のアルゴ リ ズ ムがあ り ます。 ほ と
ん どはオプシ ョ ンで、 複数の ス ト ラ テジ を使用 し て ス イ ープで き ます。 制約を理解す る と 、 ど
の制約を使用す る 必要があ る のか判断す る際に役立ち ます。
FPGA 消費電力 と 全般的な シス テム デザイ ン プ ロ セス
プ ロ ジ ェ ク ト 考案か ら 完成ま では、消費電力に影響す る さ ま ざ ま な側面を考慮す る必要があ り ます。
ほかのすべての制約 (機能、 パフ ォーマ ン ス、 コ ス ト 、 お よ び タ イ ム ト ゥ マーケ ッ ト ) を一時的に
除外す る と 、 消費電力に関連す る タ ス ク を次の 2 つに分類で き ます。
•
物理的領域 : エン ク ロ ージ ャ 、 ボー ド の形状、 電力分配シ ス テ ム、 熱電力の散逸シ ス テ ム
•
論理的領域 : エ リ ア パフ ォーマ ン ス 、 I/O イ ン タ ーフ ェ イ ス の統合
次の章では、 物理的領域 と 論理的領域が相互依存 し てい る こ と について説明 し ます。 ただ し 、 前者
はハー ド ウ ェ ア、 後者は FPGA の論理デザ イ ン で判断に関与す る と い う 点で異な っ てい ま す。 通
常、 ハー ド ウ ェ アの選択お よ びサ イ ズ設定は、 プ ロ ト タ イ プ ボー ド を構築で き る よ う 、 デザ イ ン フ
ロ ーの初期段階で行い ます。 FPGA の機能に よ る消費電力への影響は早期に予測可能なので、 デザ
イ ン ロ ジ ッ ク の完成度に伴っ て改善で き ます。図 1-1 に通常のシ ス テ ム デザ イ ン プ ロ セ ス を示 し 、
電力に関連す る 判断箇所をハ イ ラ イ ト し ます。 こ の図では、 デバ イ スお よ び関連冷却パーツ を選択
す る 時点では、 FPGA ロ ジ ッ ク が ま だ完成 し ていない こ と がわか り ます。 こ のため、 FPGA ロ ジ ッ
ク の電力要件を予測す る 手法が必要です。 こ れ ら の手法については、 第 3 章 「消費電力予測手法」
で説明 し ます。
X-Ref Target - Figure 1-1
䝅䝇䝔䝮௙ᵝ
ᢏ⾡㑅ᢥ
)3*$
‡ 䝧䞁䝎䞊㻛䝕䝞䜲䝇㑅ᢥ
‡ ⇕㟁ຊ䛾ศ㓄
‡ 㟁※㟁ὶ䛾ศ㓄
䝪䞊䝗䛚䜘䜃ṧ䜚䛾䝅䝇䝔䝮
௙ᵝ
௙ᵝ
㻾㼀㻸
ᅇ㊰
ண 䜢┘ど㻛ㄪᩚ
䝞䝆䜵䝑䝖䜢㉸䛘䜛ሙྜ䛿ኚ᭦
ḟ䛾ሙྜ䛿ᾘ㈝㟁ຊ䜢๐ῶ
䞉㻌䝟䝣䜷䞊䝬䞁䝇䛷チᐜ䛥䜜䜛ሙྜ㻌㻔⮬ື㻕
䞉㻌䝞䝆䜵䝑䝖䜢㉸䛘䜛ሙྜ㻌㻔ᡭື㻛⮬ື㻕
ᐇᾘ㈝㟁ຊ䛸ண ᾘ㈝㟁ຊ䜢ẚ㍑
䝅䝇䝔䝮䛾ᑍἲ㻛⎔ቃ
䜲䞁䝥䝸䝯䞁䝔䞊䝅䝵䞁
䝺䜲䜰䜴䝖
䜽䝻䞊䝆䝱䞊
〇㐀
ᙧ≧せᅉ
෭༷ᢏ⾡
㟁※㻛㻼㻰㻺
ᚤㄪᩚྍ⬟
㻲㻼㻳㻭㻌䛿ᰂ㌾ᛶ䛾
䛒䜛䝟䞊䝒
䝷䝪㻌䝔䝇䝖
図 1-1 : シス テム デザイ ン プ ロ セスでの消費電力面の管理
12
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
ザイ リ ン ク ス消費電力予測/解析ツール
次の 3 つの章では、 電力に影響す る各側面での主な要因について説明 し ます。
ザイ リ ン ク ス消費電力予測/解析ツール
ザ イ リ ン ク ス では、FPGA の熱お よ び電源要件をデザ イ ン サ イ ク ルを通 し て評価で き る よ う ツール
お よ び資料が提供 さ れています。 図 1-2 に、 FPGA の各デザ イ ン サ イ ク ルで使用で き る ツールを示
し ます。 ツールには、 ス タ ン ド ア ロ ンの も の と イ ンプ リ メ ン テーシ ョ ン ソ フ ト ウ ェ アに統合 さ れて
い る も のが あ り 、 後者はデザ イ ン プ ロ セ ス の各段階で利用で き る 環境お よ び情報 と 協調 し てい ま
す。 すべての ツールには通信チ ャ ネルがあ り 、 解析が効率 よ く 行え る よ う に情報を交換で き ます。
X-Ref Target - Figure 1-2
図 1-2 : FPGA デザイ ン プ ロ セスに含まれるザイ リ ン ク ス消費電力予測/解析ツール
XPower Estimator (XPE)
XPower Estimator (XPE) ス プ レ ッ ド シー ト は、通常プ ロ ジ ェ ク ト の設計前 と イ ンプ リ メ ン テー
シ ョ ン前の段階で使用 さ れ る消費電力予測ツールです。 XPE では、 アーキ テ ク チ ャ の評価 と デ
バ イ ス の選択が支援 さ れ、 ま た アプ リ ケーシ ョ ンで必要にな る可能性があ る適切な電源や熱管
理 コ ン ポーネ ン ト を選択で き ます。 XPE イ ン タ ーフ ェ イ ス (図 1-3) では、 デザ イ ンの リ ソ ース
使用量、 ア ク テ ィ ビ テ ィ レー ト 、 I/O 負荷、 お よ び予測 さ れ る電力分配を計算す る ためにデバ
イ ス モデル と 組み合わせ る その他多 く の要因を選択で き ます。
ま た XPE は、 デザ イ ン サ イ ク ル後半の イ ンプ リ メ ン テーシ ョ ンお よ びパ ワー ク ロ ージ ャ 中に
も よ く 使用 さ れ、 た と えばエン ジニ ア リ ン グ チ ェ ン ジ オーダー (ECO) の消費電力への影響を
評価す る と き な ど に使用 さ れます。 複数のチームに よ り イ ンプ リ メ ン ト さ れ る大型デザ イ ンで
は、 プ ロ ジ ェ ク ト リ ーダーが XPE を使用 し て各チームのモジ ュールの使用量お よ びア ク テ ィ
ビ テ ィ を イ ン ポー ト し て総消費電力を監視 し 、 制約が満た さ れ る よ う に電力バジ ェ ッ ト を割 り
当て直す こ と がで き ます。
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
japan.xilinx.com
13
第 1 章 : FPGA の消費電力概要
X-Ref Target - Figure 1-3
図 1-3 : XPower Estimator スプ レ ッ ド シー ト
14
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
ザイ リ ン ク ス消費電力予測/解析ツール
XPower Analyzer (XPA)
XPower Analyzer (XPA) ツールでは、 イ ンプ リ メ ン テーシ ョ ン後に消費電力予測を実行で き ま
す。 使用 さ れた ロ ジ ッ ク お よ び配線 リ ソ ース情報が含まれ る イ ンプ リ メ ン ト 済みのデザ イ ンの
デー タ ベース か ら 読み出す こ と がで き る ので、 精度が最 も 高 く な り ます。 図 1-4 に、 消費電力
レ ポー ト のサマ リ を表示 し ます。 ク ロ ッ ク ド メ イ ン、 リ ソ ース の種類、 ま たはデザ イ ン階層な
ど、 さ ま ざ ま な ビ ュ ーを使用 し てデザ イ ンの消費電力を確認で き ます。 ま た、 XPA では環境設
定やデザ イ ン ア ク テ ィ ビ テ ィ を変更で き、デザ イ ンの電源お よ び熱電力消費を ど の よ う に減 ら
すかを検討で き ます。
X-Ref Target - Figure 1-4
図 1-4 : XPower Analyzer
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
japan.xilinx.com
15
第 1 章 : FPGA の消費電力概要
PlanAhead RTL Power Estimator
PlanAhead ソ フ ト ウ ェ アでは、消費電力予測を実行 し て RTL レベルでのデザ イ ンの電力分配を
確認で き ます。デバ イ ス の動作環境、 I/O プ ロ パテ ィ 、お よ びデザ イ ンのデフ ォ ル ト のア ク テ ィ
ビ テ ィ レー ト は制約を付け る か、 ま たは GUI か ら 指定で き ます。 PlanAhead では、 HDL コ ー
ド が読み出 さ れて必要なデザ イ ン リ ソ ース数が予測 さ れ、各 リ ソ ース のア ク テ ィ ビ テ ィ の統計
解析に基づいて予測消費電力が レ ポー ト さ れます。 図 1-5 に、 レ ポー ト お よ び リ ソ ース /階層
ビ ュ ーが表示 さ れています。 こ れ ら のビ ュ ーでナビ ゲー ト し て、電力分配を解析で き ます。RTL
Power Estimator でア ク セ ス で き るデザ イ ン意図に関す る情報は比較的多いので、 XPower
Estimator ス プ レ ッ ド シー ト と 比べ る と 精度は高 く な り 、 XPower Analyzer を使用 し て実行 さ
れた配置配線後の解析 と 比べ る と 低 く な り ます。
X-Ref Target - Figure 1-5
図 1-5 : PlanAhead RTL Power Estimator
16
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
第2章
ソ フ ト ウ ェ アに よ る消費電力解析
第 1 章では、 FPGA で必要にな る 総消費電力に影響する さ ま ざ ま な要因について説明 し ま し た。 こ
の章では、 こ れ ら の要因が ど の よ う に測定 さ れて ソ フ ト ウ ェ ア ツールでの計算に使用 さ れ る かにつ
いて説明 し ます。 デバ イ ス の リ ソ ース使用量、 コ ン フ ィ ギ ュ レーシ ョ ン、 お よ びア ク テ ィ ビ テ ィ に
関す る 情報は、 デザ イ ン サ イ ク ルの初期段階では明 ら かではないので、 ソ フ ト ウ ェ アではデフ ォ ル
ト の値が使用 さ れます。 デザ イ ンの イ ンプ リ メ ン テーシ ョ ンの進行に伴い、 ソ フ ト ウ ェ アに供給で
き る こ の よ う な情報が増え る ので、 消費電力予測の精度が高 く な り ます。 ザ イ リ ン ク ス では、 一連
のユーザー デザ イ ンに加え て WebTalk プ ロ グ ラ ム で収集 さ れた匿名デー タ を使用 し て、 統計的に
現実的な値を ツールのデフ ォ ル ト 値に決定 し てい ます。 こ れ ら の値は、 パ ラ メ ー タ ーが自動的に算
出 さ れない と き やデー タ がユーザーに よ り 供給 さ れなかっ た と き に使用 さ れます。
消費電力計算
FPGA の各電圧 ソ ース の総消費電力は、 次の よ う に計算 さ れます。
ア ク テ ィ ブ電力 = デバ イ ス の ス タ テ ィ ッ ク 消費電力 + デザ イ ンの ス タ テ ィ ッ ク 消費電力 +
デザ イ ンのダ イ ナ ミ ッ ク 消費電力
次に、 総消費電力の内訳 と な る要素について説明 し ます。
•
デバ イ ス の ス タ テ ィ ッ ク 消費電力
デバ イ ス の ス タ テ ィ ッ ク 消費電力の大部分は、製造、プ ロ セ ス プ ロ パテ ィ 、適用 さ れ る電圧、お
よ びデバ イ ス のジ ャ ン ク シ ョ ン温度に依存 し てい ます。 ジ ャ ン ク シ ョ ン温度自体は、周囲温度、
電圧レベル、 お よ び供給 さ れ る総電流に依存 し てい ます。 ただ し 、 供給 さ れ る総電流には、 デ
バ イ ス の ス タ テ ィ ッ ク 消費電力が含まれてい る ので、はっ き り と し た循環依存があ り ます。ツー
ルでは、 計算を連続的に繰 り 返 し て、 指定 さ れてい る動作条件での実ス タ テ ィ ッ ク 消費電力の
概算値が算出 さ れます。
•
デザ イ ンの ス タ テ ィ ッ ク 消費電力
I/O 終端、 ト ラ ン シーバー、 ブ ロ ッ ク RAM、 お よ び ク ロ ッ ク ジ ェ ネ レー タ ーな ど、 FPGA の一
部のブ ロ ッ ク はデ フ ォ ル ト でデ ィ ス エーブルに さ れてい ま すが、 デザ イ ン要件に よ っ ては イ
ネーブルに さ れます。 こ れ ら のブ ロ ッ ク が イ ネーブルの と き は、 ユーザー デザ イ ンのア ク テ ィ
ビ テ ィ に関わ ら ず一定の電力が消費 さ れます。 こ の消費電力は、 回路の コ ン フ ィ ギ ュ レーシ ョ
ンに よ っ て異な り ます。 ソ フ ト ウ ェ アでは、 デザ イ ン全体の ス タ テ ィ ッ ク 消費電力に含め ら れ
る 各回路の電力を モデルで き ます。 こ のモデルでは、 リ ソ ース レベルの コ ン フ ィ ギ ュ レーシ ョ
ン設定の多数が考慮 さ れ、 電圧お よ びデバ イ ス の外部環境設定に よ っ て変化 し ます。 外部環境
設定では、 環境へど の よ う に熱が放散 さ れ る か決ま り ます。
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
japan.xilinx.com
17
第 2 章 : ソ フ ト ウ ェ アによ る消費電力解析
•
デザ イ ンのダ イ ナ ミ ッ ク 消費電力
デザ イ ンのダ イ ナ ミ ッ ク 消費電力は、 キ ャ パシ タ ン スお よ び使用 さ れ る リ ソ ース のア ク テ ィ ビ
テ ィ に大部分が依存 し てお り 、 ま た適用 さ れ る電圧レベル と 共に計測 さ れます。 ソ フ ト ウ ェ ア
のデバ イ ス デー タベース では、各 リ ソ ース のキ ャ パシ タ ン ス がその コ ン フ ィ ギ ュ レーシ ョ ン と
接続性に従っ てモデル化 さ れます。 ユーザーに よ り ア ク テ ィ ビ テ ィ が供給 さ れない場合は、 す
べての コ ン ポーネ ン ト の消費電力が算出、 集計 さ れ る前に ソ フ ト ウ ェ ア アルゴ リ ズ ムに よ り
ネ ッ ト リ ス ト に含まれ る各 ノ ー ド のア ク テ ィ ビ テ ィ が予測 さ れます。
メ モ : デバ イ ス の ス タ テ ィ ッ ク 消費電力 と デザ イ ンの ス タ テ ィ ッ ク 消費電力を加算する と 、 第 1 章
の 「パ ワ ー モー ド 」 で定義 さ れてい る ス タ ン ド バ イ 電力にな り ます。
熱計算
デバ イ ス のジ ャ ン ク シ ョ ン温度ま たはシ リ コ ンの温度は、 次の よ う に計算 さ れます。
ジ ャ ン ク シ ョ ン温度 = 周囲温度 + 熱電力 * 外気への効果的な熱抵抗
次に、 上記の式に含まれ る 変数について説明 し ます。
•
ジ ャ ン ク シ ョ ン温度 (°C)
シ リ コ ンの温度。 デバ イ ス の選択時に温度グ レー ド を選択 し ますが、 こ のグ レー ド に よ り デバ
イ ス が指定通 り に動作す る こ と が保障 さ れ る温度範囲が決ま り ます。 動作条件がグ レー ド の最
大値を超え てい る のに、 絶対最大温度以下の ま ま にす る場合は、 デバ イ ス動作が保障 さ れな く
な り ます。 絶対最大動作条件を超え る と 、 デバ イ ス が破損す る可能性があ り ます。
こ の式を逆側か ら 行 う と き は、 ジ ャ ン ク シ ョ ン温度をデバ イ ス最大に設定す る と 、 ユーザー環
境でデバ イ ス が生成で き る最大電力を判断で き ます。さ ら に正確に言 う と 、ツールで ス タ テ ィ ッ
ク 消費電力お よ びダ イ ナ ミ ッ ク 消費電力の両方が供給 さ れ る ので、 ワ ース ト ケース のデバ イ ス
リ ー ク と ユーザー アプ リ ケーシ ョ ンで生成で き る 最大ダ イ ナ ミ ッ ク 消費電力を決定で き ます。
•
周囲温度 (℃)
予期 さ れ る シ ス テ ム動作条件下でデバ イ ス を直接取 り 巻 く 外気の温度
•
熱電力 (W)
FPGA 内部で消費 さ れ る電力。 熱が発生 し 、 デバ イ ス のジ ャ ン ク シ ョ ン温度を上昇す る原因に
な り ます。
•
外気に対す る 効果的な熱抵抗 : ΘJA (°C/W)
こ の係数は、FPGA シ リ コ ンか ら 環境 (デバ イ ス ジ ャ ン ク シ ョ ンか ら 周囲外気) へ電力が放逸 さ
れ る 度合いを示 し ます。 シ リ コ ン チ ッ プの寸法か ら 周囲外気ま で、 ま たその間のパ ッ ケージ、
PCB、 ヒ ー ト シ ン ク 、 エア フ ロ ーな ど、 すべての要素の寄与が含まれてい ます。
18
•
チ ッ プか ら 上方向に向かい外気へ ( ジ ャ ン ク シ ョ ンか ら 外気ま たは ΘJA)
•
チ ッ プか ら 下方向に向かいボー ド を通っ て外気へ ( ジ ャ ン ク シ ョ ンか ら ボー ド ま たは ΘJB)
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
消費電力モデルの精度
消費電力モデルの精度
ツールに組み込まれてい る特性デー タ の精度は、 使用可能なデバ イ ス ま たは製造プ ロ セ ス の成熟度
を反映 さ せ る ため、 時間 と 共に進化 し ます。 こ の精度表示は、 [Characterization] フ ィ ール ド に表示
さ れます。 デバ イ ス フ ァ ミ リ の特性デー タ は、 次の順序で進化 し ます。
Advance → Preliminary → Production
Advance
こ の表示のデバ イ ス には、主にシ ミ ュ レーシ ョ ン結果ま たは初期製品デバ イ ス ロ ッ ト か ら の測定値
に基づいたデー タ モデルがあ り ます。 こ のデー タ は通常、 製品 リ リ ース か ら 1 年以内に入手で き ま
す。 デー タ は比較的安定 し てお り 、 余裕を持たせた設定ですが、 一部の値が高すぎた り 低すぎ た り
す る 可能性があ り ます。 こ の仕様のデー タ は、 Preliminary や Production 仕様のデー タ ほ ど正確で
はあ り ません。
Preliminary
完成 し てい る 初期製品シ リ コ ンに基づいてい ます。 こ の仕様では、 デバ イ ス フ ァ ブ リ ッ ク 内にあ る
ほぼすべてのブ ロ ッ ク が特性評価 さ れてい ます。 Advance 仕様 と 比較する と 、 消費電力値の精度は
高 く な り ます。
Production
こ の仕様は、 特定のデバ イ ス フ ァ ミ リ の十分な量産を経た上で特性評価が行われ、 相当数の生産
ロ ッ ト を対象 と し た完全な電力相互関係が確立 さ れた後に リ リ ース さ れます。 こ の特性デー タ を持
つデバ イ ス モデルは、 こ れ以上進化 し ません。
ア ク テ ィ ビ テ ィ 予測
ネ ッ ト リ ス ト に含まれ る 各 ノ ー ド のア ク テ ィ ビ テ ィ は、 次の 2 つのパ ラ メ ー タ ーで表現 さ れます。
•
信号遷移レー ト : 解析中に考慮す る エ レ メ ン ト が ス テー ト を変更 し た回数を定義 し ます。 こ の
値が発生 し たポ ジ テ ィ ブ エ ッ ジ と ネガ テ ィ ブ エ ッ ジの回数の合計にな り ます。 ザ イ リ ン ク ス
ツールでは、こ の数値が Mtr/s (Millions of Transitions per second : 億遷移/秒) で表現 さ れます。
•
信号ス タ テ ィ ッ ク 確立レー ト : 解析で考慮す る エ レ メ ン ト が論理レベル High で駆動 さ れ る期
間を割合で定義 し ます。 こ の レー ト は、 信号割合 High レー ト と も 呼ばれます。
デザ イ ンの ノ ー ト ア ク テ ィ ビ テ ィ は、 正確な消費電力予測を得る ための重要な側面の 1 つです。 デ
ザ イ ンの完成度に よ っ ては、 次のセ ク シ ョ ンに示す よ う に値が判明 し てい る場合やツールに よ り 計
算 し た り 予測で き る 場合があ り ます。 通常は、 消費電力計算でのア ク テ ィ ビ テ ィ レー ト の影響を考
慮 し 、 判明 し てい る 値はすべて指定す る 必要があ り ます。 ア ク テ ィ ビ テ ィ が不明の と き は、 ツール
で予測す る よ う にす る のが最善策です。
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
japan.xilinx.com
19
第 2 章 : ソ フ ト ウ ェ アによ る消費電力解析
ユーザー入力
ど のデザ イ ンで も 、 通常は特定の ノ ー ド のア ク テ ィ ビ テ ィ が判明 し てい ます。 こ れ ら は、 シ ス テ ム
の仕様ま たは FPGA が通信する イ ン タ ーフ ェ イ ス に よ り 強制 さ れた り す る ためです。 特に、 FPGA
の複数セルを駆動す る ノ ー ド ( セ ッ ト 、 リ セ ッ ト 、 ク ロ ッ ク イ ネーブル、 ま たは ク ロ ッ ク 信号) に
対す る 情報を ツールに供給す る こ と で、 電力予測アルゴ リ ズ ムに役立て る こ と がで き ます。
こ れ ら の ノ ー ド には、 次が含まれます。
•
ク ロ ッ ク ア ク テ ィ ビ テ ィ : すべての FPGA ク ロ ッ ク ド メ イ ンの正確な周波数、 外部供給なの
か (入力ポー ト )、 内部で生成 さ れ る のか、 ま たは外部か ら プ リ ン ト 回路基盤に供給 さ れ る のか
(出力ポー ト ) は、 通常判明 し てい ます。
•
I/O デー タ ポー ト : FPGA か ら のデー タ の入出力の的確なプ ロ ト コ ルお よ びフ ォーマ ッ ト が判
明 し てい る ので、 通常はツールで少な く と も 一部の I/O に対 し て信号の遷移レー ト や信号割合
High レー ト を指定で き ます。 た と えば、 一部のプ ロ ト コ ルに DC バ ラ ン ス要件があ っ た り (信
号割合 High レー ト が 50%)、 メ モ リ イ ン タ ーフ ェ イ スへのデー タ の書き 込みお よ び メ モ リ イ
ン タ ー フ ェ イ ス か ら のデー タ の読み出 し の頻度が判明 し てい る 場合は、 ス ト ロ ボ信号お よ び
デー タ 信号のデー タ レー ト を設定で き ます。
•
I/O お よび内部制御信号 : シ ス テ ムお よ び予期す る機能が判明 し てい る ので、 セ ッ ト 、 リ セ ッ
ト 、 お よ び ク ロ ッ ク イ ネーブルな ど の制御信号のア ク テ ィ ビ テ ィ を予期で き る 場合が あ り ま
す。 こ れ ら の信号は通常、 デザ イ ン ロ ジ ッ ク の広範囲部分をオン、 オ フ にで き る ので、 こ れ ら
の情報を供給す る こ と で消費電力予測の精度が高 く な り ます。
シ ミ ュ レーシ ョ ン
通常は、 デザ イ ン開発のすべての段階 と 並行 し てシ ミ ュ レーシ ョ ン を実行 し 、 デザ イ ンが予測どお
り に動作す る かを検証 し ます。 デザ イ ンの開発段階、 複雑性、 ま たは会社の方針に応 じ て さ ま ざ ま
な検証手法があ り ます。 次に、 取 り 込む こ と がで き る有益なデー タ について説明 し ます。 ま た、 こ
のデー タ を使用 し て消費電力を解析す る際に陥 り やすい過ちについて も 説明 し ます。 正確な消費電
力予測を実行す る には、 ア ク テ ィ ビ テ ィ レ ー ト が現実的で あ る 必要が あ り ま す。 ア ク テ ィ ビ テ ィ
レー ト では、 シ ミ ュ レーシ ョ ン さ れ る ブ ロ ッ ク に入力 さ れ る デー タ に対 し て通常のシナ リ オ ま たは
ワ ース ト ケース のシナ リ オが示 さ れ る べき です。 こ の よ う な情報は、 検証やフ ァ ン ク シ ョ ンの認証
中には必ず し も 渡 さ れません。場合に よ っ ては、無効なデー タ が入力 さ れ る こ と があ り 、無効なデー
タ や コ マ ン ド が入力 さ れた と き で も 、 シ ス テ ムが対処 し て安定 し た ま ま の状態でい ら れ る かが検証
さ れ ます。 こ の よ う な テ ス ト ケース を使用 し て消費電力解析を実行す る と 、 デザ イ ン ロ ジ ッ ク が
通常のシ ス テ ム動作状況でシ ミ ュ レーシ ョ ン さ れないため、 消費電力予測が不正確にな り ます。
•
シ ス テ ムの ト ラ ンザ ク シ ョ ン レベル : デザ イ ン サ イ ク ル初期に、プ リ ン ト 回路基板上のデバ イ
ス間 ま たは FPGA アプ リ ケーシ ョ ン の異な る フ ァ ン ク シ ョ ン間で発生す る ト ラ ン ザ ク シ ョ ン
を記述 し てい る 場合があ り ます。 こ の記述か ら 、 特定の I/O ポー ト お よ びほ と ん ど の ク ロ ッ ク
ド メ イ ン の ア ク テ ィ ビ テ ィ を フ ァ ン ク シ ョ ン ブ ロ ッ ク ご と に抽出で き ま す。 こ の情報は、
XPower Estimator (XPE) ス プ レ ッ ド シー ト の入力の際に役立ち ます。
•
FPGA 記述レベル : アプ リ ケーシ ョ ンの RTL を定義す る と き は、 ビヘ イ ビ アー シ ミ ュ レー
シ ョ ン を実行 し て機能を検証す る必要があ る場合があ り ます。 こ の情報は、 デー タ フ ロ ーお よ
び ク ロ ッ ク サ イ ク ルに対す る 計算の有効性を検証する 際に役立ち ます。 こ の段階では、 使用す
る FPGA リ ソ ース の的確な数お よ びコ ン フ ィ ギ ュ レーシ ョ ンが ま だ不明です。 リ ソ ース使用量
を推定 し て、 I/O ポー ト ま たは内部制御信号 ( セ ッ ト 、 リ セ ッ ト 、 ク ロ ッ ク イ ネーブル) のア ク
テ ィ ビ テ ィ を抽出で き ます。 こ の情報を XPower Estimator ス プ レ ッ ド シー ト に適用す る と 、情
報を改善で き ます。 ま た、 HDL を PlanAhead RTL Power Estimator に読み込む こ と も で き ま
す。 こ の ツールはデバ イ ス使用量 と ア ク テ ィ ビ テ ィ を予測 し て即座に消費電力予測を実行す る
ツールですが、 詳細は、 『PlanAhead ユーザー ガ イ ド 』 (UG632) の 「消費電力予測」 のセ ク シ ョ
20
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
ア ク テ ィ ビ テ ィ 予測
ン を参照 し て く だ さ い。 シ ミ ュ レー タ ーでは、 ノ ー ド ア ク テ ィ ビ テ ィ を抽出 し て SAIF フ ァ イ
ル フ ォ ーマ ッ ト でエ ク ス ポー ト で き ま す。 こ の フ ァ イ ルは、 デザ イ ン フ ロ ーの後半で使用で
き る よ う に保存で き ます。 た と えば、 イ ンプ リ メ ン テーシ ョ ン後のシ ミ ュ レーシ ョ ン を実行す
る つ も り がない場合な どは、 配置配線後に こ の フ ァ イ ルを使用で き ます。
•
FPGA イ ンプ リ メ ン テーシ ョ ン レベル : イ ンプ リ メ ン テーシ ョ ン プ ロ セ ス の任意の段階でシ
ミ ュ レーシ ョ ン を実行す る と 、 さ ま ざ ま な消費電力に関連 し た情報を取得で き ます。 こ の情報
を使用 し て XPower Estimator ス プ レ ッ ド シー ト の情報を改善で き ます。 ま た、 I/O ポー ト お よ
び特定のモジ ュ ールのア ク テ ィ ビ テ ィ も 保存で き る ので、 デザ イ ン を完全に配置配線 し た後に
XPower Analyzer で こ の情報を再利用で き ます。
•
合成後 : ネ ッ ト リ ス ト が タ ーゲ ッ ト デバ イ ス で使用可能な実際の リ ソ ース にマ ッ プ さ れ
ます。
•
配置後 : ネ ッ ト リ ス ト コ ン ポーネ ン ト が実際のデバ イ ス リ ソ ース に配置 さ れます。 こ の
パ ッ ク 情報を基に最終的な ロ ジ ッ ク リ ソ ー ス 数お よ び コ ン フ ィ ギ ュ レ ーシ ョ ン が判明す
る ので、 XPower Estimator ス プ レ ッ ド シー ト で情報を更新で き ます。
•
配線後 : 配線が完了す る と 、 使用 さ れ る配線 リ ソ ース に関す るすべての詳細お よ びデザ イ
ンに含まれ る 各パ ス の的確な タ イ ミ ン グ情報が定義 さ れます。 シ ミ ュ レー タ ーでは、 イ ン
プ リ メ ン ト さ れた回路の機能をベス ト ケースお よ び ワ ー ス ト ケー ス のゲー ト お よ び配線
遅延で検証す る こ と に加え、 グ リ ッ チを含む内部 ノ ー ド の的確なア ク テ ィ ビ テ ィ が レ ポー
ト さ れます。 こ の レベルの消費電力解析の精度では、 プ ロ ト タ イ プのボー ド で消費電力を
実際に計測す る前で最 も 高 く な り ます。
統計予測
デザ イ ン ノ ー ド のア ク テ ィ ビ テ ィ がユーザーま たはシ ミ ュ レーシ ョ ン結果か ら 提供 さ れない と き 、
ベ ク タ ーレ ス消費電力予測アルゴ リ ズ ムで こ のア ク テ ィ ビ テ ィ を推測で き ます。ベ ク タ ーレ ス エン
ジ ンでは、 デフ ォ ル ト の信号レー ト お よ びス タ テ ィ ッ ク 確立がすべての未定義 ノ ー ド に割 り 当て ら
れます。 次に、 ア ク テ ィ ビ テ ィ がデザ イ ンの主要入力か ら 内部 ノ ー ド の出力ま で伝搬 さ れ、 主要出
力に到達す る ま で こ の操作が繰 り 返 さ れます。 こ のアルゴ リ ズ ムでは、 ネ ッ ト リ ス ト コ ン ポーネ ン
ト 間の タ イ ミ ン グ関係ま たは論理関係は識別 さ れ ま せんが、 デザ イ ンの接続性、 リ ソ ース の機能、
お よ び コ ン フ ィ ギ ュ レーシ ョ ンが識別 さ れます。 ヒ ュ ー リ ス テ ィ ク ス に よ り 、 ネ ッ ト リ ス ト に含ま
れ る ど の ノ ー ド のグ リ ッ チ レー ト で も 予測で き ます。 グ リ ッ チは、デザ イ ン エ レ メ ン ト がア ク テ ィ
ブな ク ロ ッ ク エ ッ ジ間で最終的な値に落ち着 く ま でに数回ス テー ト が変わ る と き に発生 し ます。ベ
ク タ ーレ ス伝搬エン ジ ンは、 時間が比較的かか る配置配線後のシ ミ ュ レーシ ョ ンほ どは正確ではあ
り ませんが、 精度 と 計算速度 と い う 相反す る 2 点間でバ ラ ン ス が取れた優れた方法です。
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
japan.xilinx.com
21
第 2 章 : ソ フ ト ウ ェ アによ る消費電力解析
使用量予測
リ ソ ー ス の使用量は、 タ ーゲ ッ ト し てい る FPGA で使用 さ れ る 総消費電力を決定す る 重要な要素
です。
•
シ ス テ ム仕様レベル : コー ド を開発す る前や、 コー ド を取得 し た り 以前のデザ イ ンか ら 再利用
し た と き 、 ま たは ウ ィ ザー ド や コ ア生成ツールを使用 し て生成 し た と き を除 き、 イ ンプ リ メ ン
ト す る さ ま ざ ま なブ ロ ッ ク の リ ソ ース 使用量を手動で予測す る 必要が あ り ま す。 こ の予測は、
経験お よ び予期す る機能の知識に基づいて行い ます。 こ の予測の精度は、 ユーザーが使用で き
る 情報量や こ の情報の XPower Estimator ス プ レ ッ ド シー ト への入力に割 く こ と で き る 時間に
よ っ て異な り ます。
•
RTL 記述レベル : ザ イ リ ン ク ス では、 RTL コー ド を読み込む こ と が可能なエ リ ア エ ス テ ィ
メ ー タ ーが提供 さ れてい ます。 こ の ツールに HDL、 IP コ ア、 お よ びブ ラ ッ ク ボ ッ ク ス をすべ
て供給す る こ と で、 すべてのパ ラ メ ー タ ーがエ ラ ボ レー ト さ れて 1 つのネ ッ ト リ ス ト に含め ら
れます。 次にパ タ ーン認識が行われて、 推論 さ れ る リ ソ ース数お よ び こ れ ら の リ ソ ース が ど の
よ う に タ ーゲ ッ ト アーキテ ク チ ャ にマ ッ プ さ れ る かが予測 さ れます。合成済みま たは配線済み
のネ ッ ト リ ス ト に比べ る と 予測精度は落ち ますが、 アプ リ ケーシ ョ ン コー ド の開発中に行 う デ
バ イ ス リ ソ ース使用量の推測作業の多 く が省かれます。 こ れに よ り 、 開発プ ロ セ ス の初期段階
で予測 さ れ る 消費電力を監視で き ます。 初期段階では、 変更には時間がかか ら ず、 効果 も 高 く 、
リ ス ク も 少な く て済みます。
22
•
合成後レベル : 合成ツールでは記述か ら ロ ジ ッ ク 構造が推論 さ れて、こ の RTL が特定のデバ イ
ス リ ソ ース にマ ッ プ さ れます。 ネ ッ ト リ ス ト は、 パフ ォーマン ス要件 と エ リ ア要件を満たす よ
う に最適化 さ れます。 デバ イ ス リ ソ ース使用量の情報が さ ら に細か く 提供 さ れ る ので、 消費電
力予測を監視、 調整す る際に役立ち ます。
•
イ ンプ リ メ ン テーシ ョ ン レベル : マ ッ プ後お よ び配置配線後の消費電力予測では、 ロ ジ ッ ク の
自動削除 (trimming)、 レ ジ ス タ の複製、 リ タ イ ミ ン グ な ど、 最終的な ロ ジ ッ ク リ ソ ース使用量
に影響す る ネ ッ ト リ ス ト の最適化が考慮 さ れ る ため、 精度が さ ら に高 く な り ます。 実際の配線
リ ソ ース使用量お よ びレ イ ア ウ ト も 、 消費電力予測ツールで確認で き ます。
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
第3章
消費電力予測手法
こ の章では、 第 1 章 「FPGA の消費電力概要」 で示 し た フ ロ ーについて詳 し く 説明 し ます。 典型的
なデザ イ ン サ イ ク ルの各ス テ ッ プに対 し て アプ リ ケーシ ョ ンの電力消費を評価する 方法を示 し 、消
費電力予測を自動化ま たは単純化す る ツールの機能について説明 し ます。 消費電力予測を実行 し た
後は、 次の章に進み、 シ ス テ ム を調べて変更 し 、 デバ イ ス の消費電力を最小限に抑え る手法を学び
ます。 こ の章で説明す る ツールの詳細は、 次の資料を参照 し て く だ さ い。
•
『XPower Estimator ユーザー ガ イ ド 』 (UG440)
•
『PlanAhead ユーザー ガ イ ド 』 (UG632) (第 5 章 「RTL デザ イ ン」 の 「消費電力予測」 )
•
XPower Analyzer に関す る 資料
•
グ ラ フ ィ カル イ ン ターフ ェ イ ス : XPower Analyzer (XPA) ヘルプ
•
コ マ ン ド ラ イ ン ツール (xpwr) : 『コ マ ン ド ラ イ ン ツール ユーザー ガ イ ド 』 (UG628)
イ ン プ リ メ ン テーシ ョ ン前の消費電力予測
状況説明
こ の段階では、アプ リ ケーシ ョ ンに と っ て FPGA が最 も 効率が優れた技術であ る と い う こ と が判断
さ れてい ます。 こ こ では、 要求 さ れ る機能、 パフ ォーマン ス、 コ ス ト 、 お よ び消費電力バジ ェ ッ ト
に合 う ベン ダー、 フ ァ ミ リ 、 お よ びパ ッ ケージ を定め る必要があ り ます。 消費電力 と い う 点か ら 言
う と 、 ロ ジ ッ ク が ま だ 1 つ も 開発 さ れていない段階で も デバ イ ス の総消費電力を予測す る必要があ
り ます。 総消費電力要件を理解す る と 、 電力分配お よ び冷却仕様を定義す る際に役立ち ます。 電源
はい く つ必要か、 各電源で使用 さ れ る消費電力はどれ く ら いか、 ま たは吸収 さ れたエネルギーで ど
れ く ら い の 熱 が 生成 さ れ る か、 な ど に つ い て 考 え る は ず で す。 こ の よ う な 問 い に は XPower
Esitimator が対応 し ます。 XPower Estimator は、 FPGA ロ ジ ッ ク と デバ イ ス がはんだ付け さ れ る プ
リ ン ト 回路基板を同時に開発す る際に役立ち ます。 こ の演習を行 う こ と で予期で き る マージ ンにつ
いて理解 し 、 イ ンプ リ メ ン ト 後にシ ス テ ムがバジ ェ ッ ト 内で動作す る こ と を確証で き ます。
図 3-1 に XPower Estimator の イ ン タ ーフ ェ イ ス を示 し ます。
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
japan.xilinx.com
23
第 3 章 : 消費電力予測手法
X-Ref Target - Figure 3-1
図 3-1 : XPower Estimator (XPE) で示 さ れる消費電力情報
24
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
イ ン プ リ メ ン テーシ ョ ン前の消費電力予測
手法
こ の段階では、 XPower Estimator ス プ レ ッ ド シー ト を使用す る と 、 既知の環境お よ びデザ イ ン情報
を確認、 整理で き ま す。 http://japan.xilinx.com/power にあ る 消費電力 ソ リ ュ ーシ ョ ン ページで該
当す る デバ イ ス の ス プ レ ッ ド シー ト を ダ ウ ン ロ ー ド し て く だ さ い。
1. XPower Estimator ス プ レ ッ ド シー ト で、 デバ イ ス設定を入力 し ます。
ド ロ ッ プダ ウ ン リ ス ト か ら デバ イ ス を選択 し ます。
メ モ : [Summary] シー ト の [Process] フ ィ ール ド は、 製造ば ら つ き に よ る典型的ま たは ワ ース
ト ケース の消費電力特性を示 し てい ます。
2. 環境設定を入力 し ます。
ス プ レ ッ ド シー ト を開 く と 、 [Summary] シー ト に [Environment] パネルがあ り 、 デバ イ ス の消
費電力に影響す る 環境パ ラ メ ー タ ーをすべて設定で き ます。 こ れ ら を変更す る と デバ イ ス の ス
タ テ ィ ッ ク 消費電力に影響す る だけではな く 、 FPGA で散逸 さ れ る電力 (Effective ThetaJA) が
増え る ご と にジ ャ ン ク シ ョ ン温度が どれだけ上昇す る かが決ま り ます。
デザ イ ン プ ロ セ ス でシ ス テ ムに対 し て熱シ ミ ュ レーシ ョ ン を実行可能であ る場合は、 ダ ウ ン
ロ ー ド セ ン ターか ら 該当す る デバ イ ス のパ ッ ケージ熱モデルを ダ ウ ン ロ ー ド で き ます
(japan.xilinx.com/download で [デバ イ ス モデル] → [パ ッ ケージ熱モデル] を表示)。 こ の情報
を使用す る と 、 ジ ャ ン ク シ ョ ンか ら 外気 (ΘJA) の熱抵抗、 お よ びジ ャ ン ク シ ョ ンか ら ボー ド
(ΘJB) への熱抵抗を算出で き ます。熱シ ミ ュ レーシ ョ ン を実行で き ない場合は、 ド ロ ッ プダ ウ ン
か ら シ ス テ ムに最 も 近似す る値を選択 し て く だ さ い。
3. ソ フ ト ウ ェ ア設定を入力 し ます。
デザ イ ンで最 も 困難にな る と 思われ る側面を選択す る と 、 XPE では使用 さ れ る イ ンプ リ メ ン
テーシ ョ ン アルゴ リ ズ ムお よ び想定 さ れ る配置配線結果に基づいてダ イ ナ ミ ッ ク 消費電力計
算を調整で き ます。
4. 電圧設定を入力 し ます。
こ の情報が判明 し てい る場合は、 [Power Supply] の表で電源ご と に正確な電圧値を入力 し ま
す。 電圧は、 ス タ テ ィ ッ ク 消費電力お よ びダ イ ナ ミ ッ ク 消費電力の両方に大 き く 影響 し ます。
5. 使用す る デバ イ ス リ ソ ース数お よ び予期する ア ク テ ィ ビ テ ィ を入力 し ます。
デザ イ ン モジ ュ ールま たはフ ァ ン ク シ ョ ン ブ ロ ッ ク ご と に、 予期する FPGA リ ソ ース使用量
お よ び必要な コ ン フ ィ ギ ュ レーシ ョ ンの情報を入手 し て、 こ れ ら の平均ア ク テ ィ ビ テ ィ を予測
し ます。 最後に こ の情報を XPE の さ ま ざ ま なデバ イ ス リ ソ ース シー ト に入力 し ます。
デバ イ ス の リ ソ ース使用量お よ びデザ イ ン
びヒ ン ト :
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
ア ク テ ィ ビ テ ィ を予測す る際の ツールの機能お よ
•
デザ イ ン に イ ン プ リ メ ン テーシ ョ ン済みで以前のデザ イ ン か ら 取得 ま たは再利用 さ れた
IP ブ ロ ッ ク が含まれてい る 場合は、XPower Analyzer の相互運用フ ァ イ ルを使用 し て消費
電力情報を イ ン ポー ト し ます。こ れに よ り 推測作業を大幅に省 く こ と がで き る だけでな く 、
イ ン ポー ト し たデー タ はデザ イ ン仕様 ま たは FPGA アーキ テ ク チ ャ 間の差異に対応で き
る よ う いつで も 調整で き ます。
•
大型モジ ュ ールで推測す る のではな く 、 ブ ロ ッ ク を細か く 分解 し てか ら すべての リ ソ ース
数を加算 し ます。
•
現実的なデー タ を入力 し 、 保守的にな り すぎない よ う に し ます。 ワース ト ケース の想定を
算出す る 方法はほかに も あ る ので、パデ ィ ン グ ロ ジ ッ ク やア ク テ ィ ブ マージ ン を モジ ュ ー
ルに追加す る 必要はあ り ません。
•
以前のデザ イ ンでの経験を活か し ます。
japan.xilinx.com
25
第 3 章 : 消費電力予測手法
•
XPE の User シー ト は保護 さ れていないため、 中間計算や想定を記録す る のに非常に役立
ち ます。
最小限のデー タ セ ッ ト :
•
環境お よ び電圧デー タ は総消費電力に大 き く 影響す る ので、 予期す る実際の環境に合わせ
たデー タ を入力 し ます。
•
I/O お よ び ト ラ ン シーバーの情報 も 総消費電力に大 き く 影響す る ので、 それぞれのシー ト
でで き る 限 り 情報を入力 し ます。
•
それ以外のシー ト では、 リ ソ ース使用量お よ び平均ア ク テ ィ ビ テ ィ を知識に基づいて推測
し 、 不明の列はデフ ォ ル ト 値の ま ま に し ます。
6. What If? 解析シナ リ オを実行 し ます。
コ ー ド を記述せずに複数の イ ンプ リ メ ン テーシ ョ ン を想定 し て、 リ ソ ース使用量お よ び消費電
力におけ る 影響を再検討で き ます。 た と えば、 別の I/O イ ン タ ーフ ェ イ ス形式を評価 し 、 電力
バジ ェ ッ ト 要件を最 も 満たす I/O 規格、I/O コ ン フ ィ ギ ュ レーシ ョ ン、お よ び出力終端を判別で
き ます。 オンチ ッ プ終端を使用す る と 、外部 コ ンポーネ ン ト お よ びボー ド 空間を節約で き ます。
ま た、 オンチ ッ プ電力が増加す る ので、 デバ イ ス のジ ャ ン ク シ ョ ン温度を通常の動作範囲内に
保持で き る よ う に、 デバ イ ス か ら こ の電力が環境に放出 さ れ る よ う にす る必要があ り ます。
プ ロ ジ ェ ク ト リ ーダーは こ の よ う な解析を使用 し て、 チーム メ ンバーにデバ イ ス リ ソ ースお
よ び電力バジ ェ ッ ト を配分で き ます。 こ れ ら の配分が概算で、 後で変更 さ れ る と し て も 、 こ の
解析に よ り 各開発チームが自立で き、 並行 し て開発 し やす く な り ます。
7. ス プ レ ッ ド シー ト を定期的に更新 し ます。
デザ イ ンの イ ンプ リ メ ン テーシ ョ ンの進行 と 共に よ り 明確な情報が取得で き る ので、 ス プ レ ッ
ド シー ト を更新す る こ と で消費電力予測を向上で き ます。 た と えば、 デザ イ ンの一部分が作成
さ れた後に、プ ロ ジ ェ ク ト リ ーダーがすぐ に こ のデー タ を XPE に イ ン ポー ト する こ と で、デザ
イ ン フ ロ ーの初期に実行 さ れた予測 と 置き 換え る こ と がで き ます。通常プ ロ ジ ェ ク ト ス コ ープ
は新 し い機能が依頼 さ れ る な ど し て時間の経過 と 共に変化す る ので、 デバ イ ス使用量お よ び予
期す る ア ク テ ィ ビ テ ィ パ タ ーン も 変化する 可能性があ り ます。 ス プ レ ッ ド シー ト を定期的に更
新す る こ と で、 総熱電力バジ ェ ッ ト を上回っ てない こ と を確認 し 、 潜在的な問題を早期に発見
で き ます。
イ ン プ リ メ ン テーシ ョ ン中の監視
状況説明
デザ イ ン イ ンプ リ メ ン テーシ ョ ンの進行に伴い、 消費電力を定期的に監視、 確認 し た り 、 放熱量が
バジ ェ ッ ト 内に収ま っ てい る こ と を確認す る こ と で、 制約に近づ きすぎ てい る エ リ アがあ る場合に
早期に発見 し て対処で き る よ う に し てお く 必要があ り ます。 使用で き る ツールお よ び機能は、 次に
示す よ う に ロ ジ ッ ク の完成度に よ っ て異な り ます。
RTL 記述段階
HDL コ ー ド を記述 し てい る と き は、合成を実行す る前に PlanAhead の RTL 消費電力予測アルゴ リ
ズ ム を使用 し て リ ソ ース仕様量お よ びダ イ ナ ミ ッ ク 消費電力を予測で き ます。 プ ロ ジ ェ ク ト は完了
し てい る 必要はあ り ません。 デザ イ ンで使用で き る 部分のみのプ ロ ジ ェ ク ト を作成で き ます。
図 3-2 に PlanAhead で表示 さ れ る消費電力情報を示 し ます。
26
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
イ ン プ リ メ ン テーシ ョ ン中の監視
X-Ref Target - Figure 3-2
図 3-2 : PlanAhead で示 さ れる消費電力情報
手法
1. PlanAhead で RTL ビ ュ ーを開 き ます。
PlanAhead で指定 さ れてい る すべての HDL フ ァ イ ルが読み出 さ れます。 定数お よ びパ ラ メ ー
タ ーが分解 さ れ、記述がエ ラ ボ レー ト さ れて RTL ネ ッ ト リ ス ト が 1 つ生成 さ れ、 リ ソ ース使用
量が予測 さ れます。 こ のプ ロ セ スは高速で実行 さ れます。
2. XPower Estimator ス プ レ ッ ド シー ト に入力 し たデー タ と 一致す る よ う にデバ イ スお よ び環境
情報を設定 し ます。
こ れ ら の情報は、 ダ イ ナ ミ ッ ク 消費電力にはあ ま り 影響 し ませんが、 XPE に よ る現時点での推
測 と 比較す る 際に役立ち ます。 特に、 RTL 記述が最終段階に近づ く ほ どデザ イ ンの HDL コ ー
ド がほ と ん ど が完了 し てい る ので、 公正な比較を実行で き ます。
3. ア ク テ ィ ビ テ ィ レー ト を設定 し ます。
ク ロ ッ ク な ど の既知のエ レ メ ン ト では、 制約を使用 し て定義で き ます。
不明なエ レ メ ン ト では、 後で変更可能なデフ ォ ル ト 値を使用 し ます。
4. 消費電力予測を実行 し て、 予測 さ れたエ リ アお よ び関連消費電力を確認 し ます。
エ ラ ボ レー ト さ れたデザ イ ン をナビ ゲー ト し て、 デザ イ ン階層内の各エ レ メ ン ト の予測 リ ソ ー
ス使用量お よ び関連消費電力を確認で き ます。
5. エ リ アお よ び消費電力の解析後に XPower Estimator ま たは イ ンプ リ メ ン テーシ ョ ン制約を調
整 し ます。
XPower Estimator ス プ レ ッ ド シー ト で RTL 消費電力予測アルゴ リ ズ ムで予測 さ れた リ ソ ース
数お よ び消費電力を確認 し ます。 計画 し た仕様 と 異な る場合は、 必要に応 じ て変更 し ます。 一
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
japan.xilinx.com
27
第 3 章 : 消費電力予測手法
方 RTL 消費電力予測ツールでは、 特定ブ ロ ッ ク の電力分配を確認 し た後に記述を変更す る か、
ま たはダ ウ ン ス ト リ ーム ツールを対応付け る 制約を追加する 必要があ る 可能性があ り ます。
こ れ ら の予測は、 ロ ジ ッ ク の合成マ ッ プお よ び変換や配置配線に よ る最適化が実行 さ れてい る
わけではないので、 最終値ではあ り ません。 ただ し 、 RTL 消費電力予測 と デザ イ ン初期に手動
で実行 し た予測を比較す る こ と で、 予測を確認 し た り 、 値を調整で き る ので、 デザ イ ンが電力
バジ ェ ッ ト 内に収ま る と い う 確信度が高 く な り ます。
合成段階
デザ イ ン全体ま たはモジ ュールご と のいずれの場合で も 一度合成 さ れ る と 、 配置配線中の最適化に
よ り 最終的な リ ソ ース使用量やア ク テ ィ ビ テ ィ がわずかに変化す る こ と はあ り ますが、 リ ソ ース使
用量はかな り 明確にな り ます。 合成ツールでは、 タ ーゲ ッ ト デバ イ ス で使用で き る リ ソ ース数、 消
費電力バジ ェ ッ ト 、 お よ び ラ ン タ イ ム な ど のその他の制約を満た し なが ら 、 パフ ォーマン ス要件を
達成 し よ う と し ます。 つま り 、 ブ ロ ッ ク と 分散 メ モ リ の ど ち ら を使用す る か、 ま たはス テー ト マシ
ンで別のエン コーデ ィ ン グ ス タ イ ルを使用する か、 な ど の決定が下 さ れます。 こ れ ら の決定は、 今
ま で推測 し かで き なか っ た コ ン フ ィ ギ ュ レ ーシ ョ ンお よ び リ ソ ー ス使用量に影響 し ます。 XPower
Estimator ス プ レ ッ ド シー ト に よ る 推測 と こ れ ら の合成結果を比較 し 、 XPower Estimator の値を必
要に応 じ て変更 し ます。
配置配線段階
状況説明
配置配線が完了 し た後は、 デザ イ ン のデー タ ベー ス に含 ま れ る すべての ロ ジ ッ ク の コ ン フ ィ ギ ュ
レーシ ョ ン、 パ ッ キ ン グ、 お よ び配線構造が完全に定義 さ れてい ます。 XPower Analyzer では最 も
包括的な レ ポー ト が生成 さ れますが、 結果を最 も 効果的にす る ためには、 さ ら にユーザーが情報を
入力す る 必要があ り ます。次の手法セ ク シ ョ ンでは、消費電力レ ポー ト の生成方法を示 し 、プ ロ ジ ェ
ク ト フ ァ イ ルには含まれていないが消費電力予測の精度を向上で き る 情報を指摘 し ます。
手法
こ のセ ク シ ョ ンでは、 XPower Analyzer (XPA) GUI を使用し た消費電力解析について説明し ます。 こ
こ では、 配置配線後に初めて消費電力解析を設定する こ と を想定し ています。 こ のため、 ツールにア
ク テ ィ ビ テ ィ 情報を入力し、既存のデータ フ ァ イルを参照し ます。後続の run では、XPower Analyzer
GUI でデザ イ ン をナビゲー ト し て消費電力を解析する か、 ま たは同等の コ マン ド ラ イ ン (xpwr) を使
用し て GUI をバ イ パス し、 テキ ス ト 形式の消費電力レ ポー ト を表示する か選択で き ます。
図 3-3 に XPower Analyzer の イ ン タ ーフ ェ イ ス を示 し ます。
28
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
イ ン プ リ メ ン テーシ ョ ン中の監視
X-Ref Target - Figure 3-3
図 3-3 : XPower Analyzer (XPA) で示 さ れる消費電力情報
1. XPower Analyzer グ ラ フ ィ カル イ ン タ ーフ ェ イ ス を開き ます。
XPower Analyzer は Project Navigator ま たはPlanAhead イ ン タ ーフ ェ イ ス か ら 起動す る か、ま
たは コ マ ン ド ラ イ ンに 「xpa」 と 入力す る と 起動で き ます。
2. 解析に使用す る 入力フ ァ イ ルを指定 し ます。
•
配置配線済みのデザ イ ン デー タベース (NCD フ ァ イ ル) : すべての ロ ジ ッ ク コ ン フ ィ ギ ュ
レーシ ョ ンお よ び配線情報が含まれてい ます。
•
物理的制約 (PCF フ ァ イ ル) : デザ イ ンのすべての ロ ジ ッ ク お よ び I/O の設定 と ク ロ ッ ク
ネ ッ ト ワ ー ク な ど の特定ネ ッ ト のア ク テ ィ ビ テ ィ が含まれてい ます。
•
シ ミ ュ レーシ ョ ン結果 (SAIF ま たは VCD フ ァ イ ル) : XPA ではデザ イ ン デー タ ベース内
のネ ッ ト を シ ミ ュ レーシ ョ ン結果のネ ッ ト リ ス ト 内の名前に一致 さ せます。 一致 し たネ ッ
ト すべてに ス イ ッ チン グ ア ク テ ィ ビ テ ィ と ス タ テ ィ ッ ク 確立が適用 さ れ、デザ イ ンの消費
電力が算出 さ れます。 シ ミ ュ レーシ ョ ン結果は、 合成前や配置配線の前のデザ イ ン フ ロ ー
早期に生成 さ れてい る場合があ り ます。 こ の場合、 シ ミ ュ レーシ ョ ン結果か ら モジ ュール
の I/O ポー ト のア ク テ ィ ビ テ ィ のみを キ ャ プチ ャ し て、べ ク ト レ ス エン ジ ンで内部 ノ ー ド
のア ク テ ィ ビ テ ィ を予測す る よ う に し ま す。 論理シ ミ ュ レ ーシ ョ ン では、 グ リ ッ チ ア ク
テ ィ ビ テ ィ はキ ャ プチ ャ さ れません。 ま た、 一部の ロ ジ ッ ク は イ ンプ リ メ ン テーシ ョ ン中
に変換 さ れ る ので (複製、 ゲー ト 化、 リ タ イ ミ ン グ な ど )、 XPA ではデザ イ ン と シ ミ ュ レー
シ ョ ン ネ ッ ト リ ス ト 間で一部の ノ ー ド を一致で き ない可能性があ り ます。 し か し 、 ほ と ん
ど の主要ポー ト お よ び制御信号が一致す る ので、 こ の情報が ツールに供給 さ れ る こ と で一
致 し た ノ ー ド のア ク テ ィ ビ テ ィ が現実的にな り ます。 ア ク テ ィ ビ テ ィ は、 ベ ク タ ーレ ス エ
ン ジ ンに よ り 一致 し なかっ たデザ イ ン部分に伝搬 さ れ る ので、 消費電力予測の精度が高 く
な り ます。 シ ミ ュ レーシ ョ ン結果を供給す る と き は、 こ の よ う なシ ミ ュ レーシ ョ ン結果を
使用す る よ う に し て く だ さ い。
-
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
シ ミ ュ レーシ ョ ンへのテ ス ト ベ ク ターおよび入力でデザ イ ンの典型的な動作ま たは予
期 される動作が示 さ れる こ と を確認し て く だ さ い。エ ラ ー処理お よ び コ ーナー ケース (
稀に し か発生 し ないケース ) のシ ミ ュ レーシ ョ ンでは、 通常の動作条件で ロ ジ ッ ク が
シ ミ ュ レーシ ョ ン さ れません。
japan.xilinx.com
29
第 3 章 : 消費電力予測手法
•
イ ンプ リ メ ン テーシ ョ ン後のシ ミ ュ レーシ ョ ン結果は、 ビヘ イ ビ アー シ ミ ュ レーシ ョ
ン結果 よ り も 優先 さ れます。
設定 (XPA フ ァ イ ル) : 環境お よ びア ク テ ィ ビ テ ィ デー タ が含まれます。 こ の情報は、
XPower Analyzer で早期に生成 さ れた可能性があ り ます。 こ の場合は、 XPower Analyzer
を以前実行 し た と き と 同 じ 条件で結果を開 き直す と 、 簡単にその時点か ら 解析を継続で き
ます。 ま た、 こ の メ カ ニ ズ ム を使用 し て保存、 復元、 複数の想定の比較 も で き ます。 最後
に、 フ ロ ー早期に XPower Estimator ス プ レ ッ ド シー ト か ら 作成 し た設定フ ァ イ ルを イ ン
ポー ト で き 、 すべての環境パ ラ メ ー タ ーが XPA セ ッ シ ョ ン に イ ン ポー ト さ れ、 手動で再
入力す る 作業を省 く こ と がで き ます。
3. 設定を確認 し 、 既知のエ レ メ ン ト のア ク テ ィ ビ テ ィ を調整 し ます。
さ ま ざ ま な入力 フ ィ ール ド を確認 し て、 予期す る シ ス テ ム が正 し く 表現 さ れてい る か確認 し
ま す。
•
プ ロ ジ ェ ク ト 設定 : [Project Settings] ビ ュ ーで XPA にすべての入力フ ァ イ ルが読み込ま
れてい る こ と を確認 し ます。 シ ミ ュ レーシ ョ ン結果を イ ン ポー ト す る 場合は、 一致 し てい
る レー ト が予期す る数値であ る か確認 し ます。 階層セパレー タ ーが一致 し ていなかっ た り
フ ォーマ ッ ト の差異があ る と 、 シ ミ ュ レーシ ョ ン出力結果か ら ア ク テ ィ ビ テ ィ が読み出 さ
れ る エ レ メ ン ト 数が減少す る可能性があ り ます。
•
環境設定 : [Summary] ビ ューで編集可能なセルを確認 し ます。 プ ロ セ ス、 電圧、 環境デー
タ が予期す る 環境に近似 し てい る こ と を確認 し ます。 こ れ ら の設定は、 予測す る総消費電
力に大 き く 影響 し ます。
•
ツールのデフ ォ ル ト : [Default Activity Rates] で ツールの現時点でのデフ ォ ル ト 値を確認
し 、 アプ リ ケーシ ョ ンが こ れ ら の値か ら 大幅にずれないか予測 し 、 調整が必要か判断 し ま
す。 通常、 こ れ ら の設定は一連の代表的ユーザー デザ イ ンに基づいてい る ため、 変更 し な
い こ と を推奨 し ます。 こ れ ら の値は、 GUI ま たは入力フ ァ イ ルか ら ア ク テ ィ ビ テ ィ が入力
さ れなかっ た ノ ー ド に対 し て使用 さ れます。次に、伝搬エン ジ ンに よ り 各 ノ ー ド のア ク テ ィ
ビ テ ィ が ロ ジ ッ ク の駆動 コ ーンか ら 伝搬 さ れ る ア ク テ ィ ビ テ ィ に基づいて変更 さ れます。
•
既知のエ レ メ ン ト : アプ リ ケーシ ョ ンの動作の情報は、 入力フ ァ イ ルで定義 さ れていない
ア ク テ ィ ビ テ ィ を定義す る際に役立つので、 こ の ス テ ッ プはデザ イ ンのダ イ ナ ミ ッ ク 消費
電力を算出す る のに重要です。
-
[By Clock Domain] ビ ュ ー : すべての ク ロ ッ ク が指定 さ れてい る こ と を確認 し ます。
推奨は し ませんが、 場合に よ っ てはデザ イ ンの制約を厳 し く 設定 し て、 イ ンプ リ メ ン
テーシ ョ ン ツールでの作業を困難に し た り 、タ イ ミ ン グ マージ ン を多めに持たせ る こ
と があ り ます。 消費電力予測では、 デザ イ ンがボー ド で実行 さ れ る と き の ク ロ ッ ク 周
波数を使用す る必要があ り ます。 使用 し ない と 、 デザ イ ンのダ イ ナ ミ ッ ク 消費電力の
精度が下が り ます。
-
[IOs] ビ ュ ー : I/O イ ン タ ーフ ェ イ ス のデー タ パ タ ーンが判明 し てい る 場合は、該当す
る 列 ([Signal Rate] お よ び [% High]) に入力 し ます。 ス プ レ ッ ド シー ト な ど の個別
ツールで電源ご と の総消費電力を算出 し ない限 り 、 出力の終端方法を指定 し て、 XPA
で FPGA の電源か ら こ れ ら の外部 コ ン ポーネ ン ト に供給す る 電力量が含め ら れ る よ
う に し ます。
-
ク ロ ッ ク 信号ビ ュー : XPA では さ ま ざ ま な制御信号が [Clock Enable] ビ ュ ーお よ び
[Set/Reset] ビ ュ ーに表示 さ れます。 アプ リ ケーシ ョ ンで予期 さ れ る動作 と 照合 し た と
き 、一部のセ ッ ト / リ セ ッ ト 信号が通常のデザ イ ン動作でア ク テ ィ ブではない こ と がわ
か る 場合があ り 、 こ の場合は こ れ ら の信号のア ク テ ィ ビ テ ィ を調整す る必要があ り ま
す。 同様に、 アプ リ ケーシ ョ ンに含まれ る一部の信号では、 ブ ロ ッ ク が使用 さ れない
と き にブ ロ ッ ク 全体がデ ィ ス エーブルに さ れ る 場合があ り ます。 予期す る 機能に合わ
30
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
イ ン プ リ メ ン テーシ ョ ン中の監視
せてア ク テ ィ ビ テ ィ を調整 し ます。合成ツールお よ び配置配線アルゴ リ ズ ムでは RTL
記述を最適化す る よ う に制御信号を推論ま たはマ ッ プ し 直す こ と がで き る ので、 こ れ
ら のビ ュ ーに馴染みのない信号が多 く リ ス ト さ れ る可能性があ り ます。 こ れ ら の信号
が不明な場合は、 ツールに よ り ア ク テ ィ ビ テ ィ を決定 さ せます。
4. 解析を実行 し ます。
ロ ジ ッ ク の コ ン フ ィ ギ ュ レーシ ョ ンお よ びア ク テ ィ ビ テ ィ を XPA に入力 し た後に、 解析を実
行 し ます。ツールでは、フ ァ イ ルお よ びユーザー入力か ら 供給 さ れた ア ク テ ィ ビ テ ィ を含むネ ッ
ト リ ス ト がア ノ テー ト さ れ、残 り の未定義の ノ ー ド に ツールのデフ ォル ト 値が適用 さ れます。次
に、 未定義の ノ ー ド のア ク テ ィ ビ テ ィ 予測の精度が高 く な る よ う 、 デザ イ ンの主要入力か ら 主
要出力ま で初期ア ク テ ィ ビ テ ィ が反復処理に よ り 伝搬 さ れます。 最後に、 デバ イ ス で予期す る
ジ ャ ン ク シ ョ ン温度 よ び総消費電力要件を算出す る ために、 使用 さ れ る リ ソ ース ご と にダ イ ナ
ミ ッ ク 消費電力が算出 さ れ、 こ れ ら の リ ソ ース での ス イ ッ チン グ ア ク テ ィ ビ テ ィ で生成 さ れ る
ス タ テ ィ ッ ク 消費電力 も 推論 さ れます。
5. デザ イ ンでの電力配分を確認 し ます。
消費電力解析が完了 し た ら 、 [Summary] ビ ュ ーを開いて [Supply Power] 表お よ び [Thermal
Properties] 表を確認 し ます。 こ れ ら の表では、 オンチ ッ プ消費電力の合計お よ びデバ イ ス ジ ャ
ン ク シ ョ ン温度が表示 さ れます。 こ れ ら のセルは、 予期す る ジ ャ ン ク シ ョ ン温度が通常のデバ
イ ス の動作範囲の と き水色にな り ます。 ジ ャ ン ク シ ョ ン温度の予測値がデバ イ ス のグ レー ド の
最大値を超え てい る が絶対定格温度以下の と き はオ レ ン ジ色にな り ます。 ジ ャ ン ク シ ョ ン温度
が絶対定格温度を超え る場合、 こ の よ う な動作条件に よ り デバ イ ス が破損す る可能性があ る た
め、 赤色にな り ます。
[Supply Summary] 表では、 各電源の電流お よ びその ス タ テ ィ ッ ク 消費電力お よ びダ イ ナ ミ ッ
ク 消費電力の内訳が表示 さ れます。 [On-Chip] 表では、 デバ イ ス リ ソ ース タ イ プご と の消費電
力が表示 さ れます。 こ の高位ビ ューを利用 し て、 消費電力が最 も 高いデザ イ ン箇所を特定で き
ます。
次に各種 [Details] ビ ュ ーを開いて、 リ ソ ース ご と の消費電力の詳細を確認 し ます。 [Details]
ビ ュ ーは、それぞれ表で表示 さ れ、表示で き る ア イ テ ムが管理 し やすい よ う に最大 2000 個ま で
に制限 さ れてい ます。 列ヘ ッ ダーを ド ラ ッ グす る と 、 列の順序を変更で き ます。 ま た、 列ヘ ッ
ダーを ク リ ッ ク す る と 並び替えの順序を変更で き ます。 レ ポー ト さ れてい る消費電力が、 熱バ
ジ ェ ッ ト ま たは電源バジ ェ ッ ト を超え てい る 場合は、 第 4 章 「消費電力削減のための ヒ ン ト お
よ び手法」 に含まれてい るデバ イ ス の消費電力を削減す る手法の一覧を参照 し て く だ さ い。 使
用で き る 手法は、 デザ イ ンの完成度や開発プ ロ セ ス の変更許容度に よ っ て異な り ます。
6. 結果を保存す る かエ ク ス ポー ト し ます。
結果に納得 し 、 さ ま ざ ま な ビ ューでアプ リ ケーシ ョ ンに関係す る情報を確認 し た ら 、 次を実行
で き ます。
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
•
テキ ス ト フ ァ イ ル と し て結果を保存 : プ ロ ジ ェ ク ト の記録 と し て消費電力予測結果を保
存 し ます。 ま たは、 別のマ ッ プ、 配置、 お よ び配線オプシ ョ ン を試 し てパフ ォーマン ス ま
たはエ リ ア制約を決定 し ます。 それぞれの条件で消費電力結果を保存 し てお く と 、 複数の
条件で要件が満た さ れた と き に最 も 消費電力が低い ソ リ ューシ ョ ン を選択す る と き に役立
ち ます。
•
変更 し た入力を設定フ ァ イ ル と し て保存 : 現在の設定で解析を保存す る と 、 後で結果を そ
の ま ま読み込み直せ る ので便利です。 XPA では、 ツールに手動で入力 さ れた情報すべて を
含むフ ァ イ ル (.xpa) が保存 さ れます。 こ の フ ァ イ ルは、 アプ リ ケーシ ョ ンの動作条件下で
さ ま ざ ま な環境 ま たはモー ド /機能を使用 し て消費電力を予測す る よ う な と き に も 役立ち
ます。
japan.xilinx.com
31
第 3 章 : 消費電力予測手法
•
デザ イ ン を XPower Estimator で解析で き る よ う エ ク ス ポー ト : 環境情報、 デバ イ ス使用
量、 デザ イ ン ア ク テ ィ ビ テ ィ のすべて を 1 つの フ ァ イ ル (.xpe) に保存す る と 、 XPower
Estimator ス プ レ ッ ド シー ト に イ ン ポー ト で き ます。 消費電力バジ ェ ッ ト が超え て し ま い、
ソ フ ト ウ ェ アの最適化機能だけではバジ ェ ッ ト を満たせない と 判断す る と き に と て も 便利
です。 こ の場合、 RTL コ ー ド を変更 し た り 、 イ ンプ リ メ ン テーシ ョ ン を実行 し 直す前に、
現在の イ ン プ リ メ ン テーシ ョ ン 結果 を XPower Estimator に イ ン ポー ト し 、 さ ま ざ ま な
マ ッ プ、 ゲー ト 化、 畳み込み、 お よ びその他の手法を試 し て、 それ ら の消費電力への影響
を予測 し ます。
消費電力ク ロージ ャ段階
デザ イ ン サ イ ク ルでは、 二つの主要な状況で消費電力 ク ロ ージ ャ が含まれます。
制約は満た さ れているがデザイ ン を最適化する必要があ る場合
現在のシ ス テ ム の複雑化や タ イ ム ト ゥ マーケ ッ ト の圧力において、 こ の状況は稀です。 通常、 開
発プ ロ セ ス の こ の段階では、 RTL、 ボー ド 電源、 冷却パ ラ メ ー タ ーの変更は検証に時間がかかっ た
り 、 ま たは PCB リ ス ピ ン コ ス ト がかか る ため、 最小限に抑え たいはずです。 ただ し 、 こ の段階で
も 異な る ソ フ ト ウ ェ ア オプシ ョ ンお よ び制約を試す と 、 ロ ジ ッ ク お よ び配線 リ ソ ース数、 コ ン フ ィ
ギ ュ レーシ ョ ン、 お よ びア ク テ ィ ビ テ ィ を最適化で き ます。 最適化に よ り 、 ダ イ ナ ミ ッ ク 消費電力
が最小限に抑え ら れ、 同時に ス タ テ ィ ッ ク 消費電力 も 削減 さ れます。 デザ イ ン マージ ンに も よ り ま
すが、 重要なダ イ ナ ミ ッ ク 消費電力で 15% ~ 20% は節約で き 、 一部のデザ イ ンではそれ よ 以上削
減で き ます。
ISE の SmartXplorer 機能ま たは PlanAhead の [Design Runs] ビ ュ ーを使用す る と 、 こ の操作を簡
単に実行で き ます。 こ れ ら には、 合成お よ び配置配線ツールの設定を調整す る定義済みの ス ト ラ テ
ジ セ ッ ト があ り ます。 ま た、 既存の ス ト ラ テ ジ を変更 し た り 、 独自の ス ト ラ テジ を作成で き ます。
満足の行 く ス ト ラ テジが用意で き た ら 、 こ れ ら を使用 し て イ ンプ リ メ ン テーシ ョ ン ソ リ ュ ーシ ョ ン
を試 し ます。 こ の際、 1 つのマシ ン で実行す る か、 ラ ン タ イ ム を抑え る ために複数のマシ ン を使用
し て実行 し ます。 正 し く 完了 し た run の中か ら 最 も 消費電力を抑え る オプシ ョ ン を選択 し 、 最終ア
プ リ ケーシ ョ ンのネ ッ ト リ ス ト に使用 し ます。
消費電力バジ ェ ッ ト が超えてい る場合
通常、 こ の段階では、 シ ス テ ム を市場に リ リ ースす る圧力は高 く な り 、 ボー ド 環境お よ び冷却オプ
シ ョ ン な ど のシ ス テ ムに含まれ る 多 く のパ ラ メ ー タ ーは詳細に定義 さ れてい ます。 こ れに よ り 、 エ
ン ジニ ア リ ン グ作業のや り 直 し が制限 さ れてい る と し て も 、 次の手法を使用す る と 、 消費電力を削
減で き る 可能性が高いエ リ ア を特定で き ます。
手順 1 : 消費電力バジ ェ ッ ト が超え ている箇所の確認
GUI を使用 し てい る場合は、 XPower Analyzer の [Summary] ビ ュ ーで、 コ マン ド ラ イ ン を使用 し
てい る 場合は xpwr コ マ ン ド レ ポー ト フ ァ イ ル (.pwr) の Summary セ ク シ ョ ン で確認で き ます。
[On-Chip] お よ び [Supply Power] の表 で は、 高位の 消費電力配分 が確認 で き ま す。 [Summary]
ビ ュ ーで消費電力バジ ェ ッ ト を超え てい る消費電力の種類お よ び電力量を確認 し ます。
手順 2 : フ ォ ー カ スする エ リ アの特定
XPower Analyzer ま たは XPower Estimator に含まれ る さ ま ざ ま な ビ ューを確認 し ます。 環境パ ラ
メ ー タ ー、 各 リ ソ ー ス で消費 さ れ る 電力、 デザ イ ン階層、 お よ び ク ロ ッ ク ド メ イ ン を解析 し ます。
消費電力が高いエ リ ア を見つけた場合は、 次の情報を元にその原因を特定で き ます。
32
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
消費電力ク ロージ ャ 段階
熱バジ ェ ッ ト が超えている場合
予測 さ れた ジ ャ ン ク シ ョ ン温度がデバ イ ス指定の動作条件を超え てい る場合は、 デバ イ スへの電力
量を減 ら し て熱 と し て放散す る か、 熱を除去 し やす く す る よ う にシ ス テ ムの熱機能を向上 さ せ る必
要があ り ます。 ダ イ ナ ミ ッ ク 消費電力 と ス タ テ ィ ッ ク 消費電力間の配分率を確認 し ます。
ス タ テ ィ ッ ク 消費電力の削減
•
VCCINT ま たは VCCAUX な ど の電源の消費電力は、 プ ロ セ ス、 電圧、 お よ び温度に大 き く 影響
を受け ます。 デバ イ ス の ス タ テ ィ ッ ク 消費電力の大部分に寄与する デザ イ ン リ ソ ースは、 ト ラ
ン シーバー、 I/O、 お よ び ク ロ ッ ク 生成モジ ュールです。
•
ト ラ ン シーバー : パ ワー ダ ウ ン モー ド お よ び低消費電力モー ド の仕様を検討 し て く だ さ
い。 ト ラ ン ス ミ ッ タ ーの電圧幅を減 ら す よ う 検討 し て く だ さ い。 PLL な ど複数のチ ャ ネル
間で共有す る 回路のサポー ト を最大限に し て く だ さ い。
•
I/O : I/O は比較的遠い距離にあ る信号を駆動、 受信す る必要があ る ため、 ト ラ ン ジ ス タ は
コ アの ト ラ ン ジ ス タ と 比べて大 き く な る ため、使用 さ れ る ユニ ッ ト リ ソ ース ご と の消費電
力が大 き く な り ます。
-
VCCAUX : 通常入力バ ッ フ ァ ーに供給 さ れます。使用する I/O 規格を確認 し ます。一部
のデバ イ ス フ ァ ミ リ では こ の電源に異な る 電圧レベルを使用で き る ので、低めの電圧
が使用で き る か評価 し ます。
-
VCCO : 主に出力バ ッ フ ァ ーに供給 さ れます。 パフ ォーマン ス要件に照 ら し て I/O 規
格、 駆動強度、 お よ びオンチ ッ プ終端設定を確認 し 、 ト ラ イ ス テー ト が可能な DCI I/
O 規格 (T_DCI) を使用 し て駆動強度を下げた り 、 終端の使用を省いた り 、 外部終端を
使用 し た り で き ないか、 を評価 し ます。
-
VCCINT : デバ イ ス コ ア ロ ジ ッ ク があ る I/O イ ン タ ーフ ェ イ ス に供給 さ れます。 アプ
リ ケーシ ョ ンで必要な最小限の I/O 機能のみを イ ネーブルに し ます。デー タ レー ト で
許容 さ れ る と き は、 一部の機能で低電力モー ド を使用す る こ と も 考慮 し ます。
•
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
-
ク ロ ッ ク 生成モジ ュール : 通常 こ れ ら のモジ ュールの コ ン フ ィ ギ ュ レーシ ョ ンの電力
は、 Vccaux 電源か ら 供給 さ れ、 デバ イ ス の コ ア ロ ジ ッ ク があ る イ ン タ ーフ ェ イ ス に供
給 さ れ る 電力 よ り も 多 く の電力が消費 さ れます。 使用す る ク ロ ッ ク 生成モジ ュールの
数を最小限に抑え る よ う に し ます。ほ と ん ど のブ ロ ッ ク にはプ ロ グ ラ ム可能な出力、周
波数、 お よび位相シ フ ト があ る ため、 同 じ モジ ュールを使用 し て関連 し ない複数の IP
ブ ロ ッ ク の ク ロ ッ ク 信号を生成で き る こ と が よ く あ り ます。任意の ク ロ ッ ク レー ト を
生成す る ために乗算お よ び除算係数を選択す る と き に、 VCO 周波数を最小限に抑え
る よ う に し ます。
-
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン : 環境に依存し て異な る機能を持つ複数のアプ
リ ケーシ ョ ンが デザ イ ンに含まれる場合、 パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン を使
用 し て各環境に該当す る機能のみを使用 し てデバ イ ス を プ ロ グ ラ ムす る こ と を検討 し
て く だ さ い。パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン を実行する と 、 ロ ジ ッ ク および配線
リ ソース を節約で き る ので、 小 さ いサ イ ズのパーツ を使用で き る可能性があ り ます。
デバ イ ス環境
•
電圧 : 標準値の電源範囲では、 デバ イ ス が予期どお り に動作 し ます。 こ れ ら の レールに接
続 さ れてい る 電源レ ギ ュ レー タ ーお よ びその他の コ ン ポーネ ン ト で電圧レベルを下げ る こ
と がで き る場合は、 1 ~ 2 % 下げ る だけで も ト ラ ン ジ ス タ の リ ー ク 電力お よ びス イ ッ チン
グ電力に大幅に影響 し ます。 電圧レベルは、 ス タ テ ィ ッ ク 消費電力 と ダ イ ナ ミ ッ ク 消費電
力の両方に影響 し ます。
•
放熱パ ス : 生成 さ れた熱がデバ イ ス か ら 放散す る パ スは、 主に 2 つあ り ます。 熱は、 パ ッ
ケージ を通っ て空中に放散 さ れ る か (上方向)、パ ッ ケージ ボールお よ びボー ド を通っ て空
japan.xilinx.com
33
第 3 章 : 消費電力予測手法
中に放散 さ れます (下方向)。 デバ イ ス ダ イ と 周囲環境間で各移動係数を確認 し ます。 こ れ
ら の熱抵抗を下げ る と 、 デバ イ ス で生成 さ れた熱が多 く かつ迅速に環境に放散 さ れ、 デバ
イ ス のジ ャ ン ク シ ョ ン温度が下が り ますが、 その代わ り に ト ラ ン ジ ス タ の ス タ テ ィ ッ ク 消
費電力が下が り ま す。 周囲温度を 減 ら すか、 シ ス テ ム のエ ア フ ロ ーを 増やすか、 ま たは
FPGA の フ ァ ン が使用で き ないか、 な ど を評価 し ま す。 ま た、 ヒ ー ト シ ン ク を追加 し た
り 、 既存の ヒ ー ト シ ン ク の特性を変更する こ と も 検討で き ます。
ダ イ ナ ミ ッ ク 消費電力の削減
デザ イ ンのダ イ ナ ミ ッ ク 消費電力に寄与す る係数 : ∑ ( α. fclk .CL .V2 )
次に、 上記の式に含まれ る 係数について説明 し ます。
•
ア ク テ ィ ビ テ ィ (α, fclk)
コ ン ポーネ ン ト と 信号の ト グル数が少ないほ ど、消費電力 も 少な く な り ます。通常、I/O、ク ロ ッ
ク 、 演算器、 メ モ リ 、 お よ び一部のビ ッ ト 単位の ロ ジ ッ ク は、 デザ イ ンで最 も ア ク テ ィ ビ テ ィ
が多 く な る デザ イ ン箇所です。 さ ま ざ ま なオプシ ョ ン を使用 し て、 こ のア ク テ ィ ビ テ ィ を減 ら
す こ と がで き ます。
•
•
ユーザーに よ る介入 : デザ イ ンの動作を理解する こ と で、 デザ イ ンに含まれている モジ ュー
ルの出力が使用 されていない と き に こ れ ら のモジ ュールの電源を切る こ と がで き ます。 新し
いデータ がキ ャ プチ ャ さ れない よ う に入力をデ ィ スエーブルにで き ます。 ま た、 ク ロ ッ ク イ
ネーブル信号を追加し て、 デザ イ ン ブ ロ ッ ク 全体、 I/O イ ン ターフ ェ イ ス、 ま たは ク ロ ッ ク
ド メ イ ンにゲー ト を付け る こ と も可能です。 ク ロ ッ ク 周波数を減ら す こ と は稀ですが、 一部
のアプ リ ケーシ ョ ンでは入力デー タ の有無に よ っ て ク ロ ッ ク 周波数を調整す る こ と も 可能
です。
•
ISE 消費電力最適化アルゴ リ ズ ム : 階層の境界に関係な く 、 デザ イ ンのデー タ ー フ ロ ーで
出力が使用 さ れていないシーケ ン ス を厳密に検出 し 、 未使用のサ イ ク ルで ク ロ ッ ク と ロ
ジ ッ ク の両方ま たはいずれかがゲー ト 処理 さ れます。 た と えば、 セ レ ク タ ー値を予測す る
こ と で未使用の乗算器入力をデ ィ ス エーブルに し た り 、 読み取 り ま たは書 き込み操作が不
要な と き に RAM ポー ト をデ ィ ス エーブルに し ます。
キ ャ パシ タ ン ス (CL)
ト グル イ ベン ト ご と に駆動 さ れ る 必要があ る キ ャ パシ タ ン ス は、 ロ ジ ッ ク タ イ プ、 フ ァ ン ア ウ
ト 、お よ びキ ャ パシ タ ン ス、お よ びデザ イ ンで使用 さ れ る配線 リ ソ ース に よ っ て異な り ます。ま
ず、 デザ イ ンの制約を確認 し ます。 厳 し く 設定 さ れすぎ ていない効率の高い制約では、 イ ンプ
リ メ ン テーシ ョ ン ツールで タ イ ミ ン グ ク リ テ ィ カルなパ ス のみが最適化 さ れ、ほかのパ ス で使
用 さ れ る エ リ アお よ び配線構造が最小限に抑え ら れます。
•
•
信号配線 : ど の配線 リ ソ ース を使用す る かは、 各パ スお よ びその周囲 ロ ジ ッ ク で考慮す る
事項が多 く あ る ため、 配置配線ツールを使用 し て決定す る のが最善策です。 ただ し 、 フ ロ
アプ ラ ン手法を使用 し て特定の ク ロ ッ ク 領域に ま と めた り 、 イ ン タ ー コ ネ ク ト の度合いが
高い ロ ジ ッ ク を近 く に配置 し た り す る こ と がで き ます。 こ れに よ り 、 デザ イ ンに含まれ る
フ ァ ン ア ウ ト の大 き い信号やア ク テ ィ ブの度合いが大 き いパ ス の長 さ を短縮で き ます。
電圧 (V2)
電圧は、主要な外部パ ラ メ ー タ ーでダ イ ナ ミ ッ ク 消費電力に影響 し ます。電圧 : 標準値の電源範
囲では、デバ イ ス が予期どお り に動作 し ます。こ れ ら の レールに接続 さ れてい る 電源レ ギ ュ レー
タ ーお よ びその他の コ ン ポーネ ン ト で電圧レベルを下げ る こ と がで き る 場合、 ス タ テ ィ ッ ク 消
費電力お よ びダ イ ナ ミ ッ ク 消費電力の両方に影響す る ので、1 ~ 2 % 下げ る だけで も FPGA の
総消費電力に大幅に影響 し ます。
34
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
消費電力ク ロージ ャ 段階
•
エ レ メ ン ト 数 (∑)
エ レ メ ン ト 数を減 ら す と 、 切 り 替え る 総キ ャ パシ タ ン ス が減 り ます。 こ のためには、 タ ーゲ ッ
ト お よ びツール制約に対 し て HDL コー ド を効率的に記述す る必要があ り ます。 グルー ロ ジ ッ
ク の一部を DSP や ブ ロ ッ ク RAM な ど のハー ド ブ ロ ッ ク を使用す る よ う に変更す る の も 効果
的です。 シ フ ト レ ジ ス タ は特殊な LUT に イ ンプ リ メ ン ト し て、 使用す る レ ジ ス タ 数お よ び配
線構造数を減 ら す こ と がで き る こ と を覚え ておいて く だ さ い。時分割多重やパーシ ャ ル リ コ ン
フ ィ ギ ュ レーシ ョ ン な ど のエ リ ア を減 ら すその他の手法 も 試す こ と も 可能です。
•
バ ラ ン ス の取れた アプ ロ ーチ
ほ と ん ど の場合で、 解析後に ス タ テ ィ ッ ク と ダ イ ナ ミ ッ ク の両面で変更す る方法が、 消費電力
を削減 し て許容バジ ェ ッ ト 内に抑え る 最 も 簡単な方法です。
電源バジ ェ ッ ト が超えている場合
一部のアプ リ ケーシ ョ ン ま たは規格でプ リ ン ト 回路基板 1 つが消費で き る最大電力が定義 さ れてい
る ために、 総消費電力バジ ェ ッ ト を超え て し ま う 場合があ り ます。 ま た、 選択 し た電圧レ ギ ュ レー
タ ーで供給可能な最大電流にデザ イ ンが達 し て し ま っ てい る よ う な場合 も あ り ます。 FPGA はプ ロ
グ ラ ム可能で、 シ ス テ ム内に含まれ る コ ン ポーネ ン ト の中で最 も 制御で き る ので、 FPGA の消費電
力を最小限に抑え る こ と がで き ないかを確認す る のは当然です。 総消費電力には、 熱電力に加え て
FPGA を介 し て電源か ら 供給 さ れ る 電力お よ び コ ン ポーネ ン ト の外側に放散 さ れ る 電力 も 含 ま れ
ます。 内部消費電力を減 ら す手法は、 上記の 「熱バジ ェ ッ ト が超え てい る場合」 を参照 し て く だ さ
い。 ま た、 オ フチ ッ プ電力には、 FPGA が駆動 し てい る 外部 コ ンポーネ ン ト が主に寄与 し ます。 こ
れ ら には通常、 抵抗終端の負荷や特殊デバ イ ス (パ ワ ー ト ラ ン ジ ス タ 、 LED、 ま たはほかの コ ン
ポーネ ン ト な ど ) が挙げ ら れます。 オンチ ッ プ終端を オ フチ ッ プ終端の代わ り に使用す る と 、 I/O イ
ン タ ーフ ェ イ ス の総消費電力の差を算出で き ます。 FPGA では、 オンチ ッ プ終端を使用 し ない と き
にデ ィ ス エーブルにで き ます。 その一方で、 オンチ ッ プ終端を使用す る こ と で、 デバ イ ス で消費 さ
れ る 電力が増え、 その結果ス タ テ ィ ッ ク 消費電力が増え て し ま い ます。 各想定で総消費電力を確認
し 、 アプ リ ケーシ ョ ンに最適な ト ポ ロ ジ を選択 し ます。
手順 3 : 試行
上記の手順で特定 し た消費電力を最適化す るデザ イ ン箇所の候補 リ ス ト を確認 し 、 簡単な も のか ら
順に並び替え て、 実行す る 最適化ま たは試行を決定 し ます。 消費電力ツールでは、 What If? 解析を
実行で き る ので、 デザ イ ン変更を迅速に入力で き、 コー ド や制約を実際に変更 し た り イ ンプ リ メ ン
テーシ ョ ンに戻 ら ずに消費電力を予測で き ます。
•
イ ンプ リ メ ン テーシ ョ ン ツール内での試行
合成お よ び イ ンプ リ メ ン テーシ ョ ン ツールのオプシ ョ ン を見直 し 、デザ イ ン全体ま たは一部で
消費電力お よ びエ リ アの削減を オンに し ます。 ザ イ リ ン ク ス ツールでは、 消費電力最適化アル
ゴ リ ズ ムに よ り デザ イ ンの ロ ジ ッ ク が自動的にゲー ト 処理 さ れて、 コ アのダ イ ナ ミ ッ ク 消費電
力を約 15 % ~ 20 % も 節約で き ます。 こ の際、 コー ド を変更 し た り 論理検証を行 う 必要はあ
り ません。
•
XPA 内での試行
XPA では、 変更 し てか ら 解析に戻っ て消費電力への影響を確認で き ます。
•
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
[Environment] : 熱パ ラ メ ー タ ー、 プ ロ セ ス、 ま たは電圧が含まれてい ます。
japan.xilinx.com
35
第 3 章 : 消費電力予測手法
•
[Design Activity] : デザ イ ンに含まれ る ネ ッ ト ま たは イ ン ス タ ン ス のア ク テ ィ ビ テ ィ を調
整 し ます。 1 つま たは複数のア イ テ ム を同時に変更 し ます。 次 も 変更で き ます。
-
ク ロ ッ ク ド メ イ ン : ス イ ッ チン グ周波数を調整 し ます。
-
グルー ロ ジ ッ ク : ダ イ ナ ミ ッ ク ア ク テ ィ ビ テ ィ レー ト を調整 し ます。
-
I/O : ス タ テ ィ ッ ク ア ク テ ィ ビ テ ィ お よ びダ イ ナ ミ ッ ク ア ク テ ィ ビ テ ィ の確立を調整
し ます。負荷容量や近端ボー ド 終端な ど、デバ イ ス出力に接続 さ れてい る外部 コ ン ポー
ネ ン ト のパ ラ メ ー タ ー も 調整で き ます。
•
-
信号 : デー タ 信号のダ イ ナ ミ ッ ク ア ク テ ィ ビ テ ィ レー ト を調整 し ます。 制御信号で
は、 ス タ テ ィ ッ ク 確立を変更 し て ク ロ ッ ク イ ネーブル、 セ ッ ト 、 ま たは リ セ ッ ト の複
数の想定下で消費電力を評価で き ます。
-
特定ブ ロ ッ ク : ダ イ ナ ミ ッ ク ア ク テ ィ ビ テ ィ 確立に加え て、 ブ ロ ッ ク RAM のポー
ト イ ネーブル ま たは ラ イ ト イ ネーブルな ど の制御信号の ア ク テ ィ ビ テ ィ も 調整で
き ま す。
XPE 内での試行
複数の ソ ース を使用 し て開発 さ れてい る IP ブ ロ ッ ク がデバ イ ス で イ ンプ リ メ ン ト さ れた後に
は、 XPE に XPower Analyzer の結果を イ ン ポー ト し て、 こ れ ら の消費電力を確認で き ます。 ま
た、 ネ ッ ト リ ス ト を変更 し な く てはいけない状況を評価 し 、 実際に コー ド を変更 し ない場合の
消費電力への影響を評価す る こ と も で き ます。 XPE では ロ ジ ッ ク エ レ メ ン ト ま たは信号を
個々に変更で き ないので、 デザ イ ンの コ ア ロ ジ ッ ク に対する 作業の精度は XPA よ り も 低 く な
り ます。
XPE では、 次 も 試行で き ます。
•
•
リ ソ ース使用量 : リ ソ ース数を減 ら す こ と がで き る か試 し ます。 ロ ジ ッ ク の一部を ス ラ イ
ス ロ ジ ッ ク か ら ブ ロ ッ ク RAM や DSP な ど の専用ブ ロ ッ ク にマ ッ プ し 直 し た り 、 ま たそ
の逆を実行 し てみます。
•
リ ソ ース の コ ン フ ィ ギ ュ レーシ ョ ン : デザ イ ンの I/O、 ブ ロ ッ ク RAM、 ク ロ ッ ク ジ ェ ネ
レー タ ー、お よ びその他の リ ソ ース に別の コ ン フ ィ ギ ュ レーシ ョ ン設定を使用 し てみます。
PlanAhead RTL Power Estimator での試行
RTL Power Estimator には、RTL コー ド を変更 し て消費電力を減 ら す必要があ る と き に、リ ソ ー
ス ご と ま たはデザ イ ン階層ご と に消費電力を確認 し た り 、 デバ イ ス の消費電力に最 も 寄与す る
デザ イ ン箇所を特定す る機能があ り ます。 最初の解析か ら 、 合成ま たは配置配線に導 く デザ イ
ン制約お よ びツール オプシ ョ ン を簡単に引き 出す こ と がで き ます。 た と えば、 特定の ス テー ト
マシ ンに別の方法でマ ッ プす る指示や最 も 効率的な消費電力最適化オプシ ョ ンがあ り ます。 パ
イ プ ラ イ ン を追加 し た り 、 キ ャ リ ー チ ェ ーンや XOR フ ァ ン ク シ ョ ン な ど のア ク テ ィ ビ テ ィ の
高い ロ ジ ッ ク での消費電力の リ タ イ ミ ン グ を実行 し てみた り す る こ と が可能です。 キ ャ リ ー
チ ェ ーン を持つ長いパ ス では、 ク ロ ッ ク ド メ イ ンが遅 く な る 傾向があ り ますが、 グ リ ッ チ ア ク
テ ィ ビ テ ィ が増え てデザ イ ンの消費電力が増え て し ま い ます。 こ れ ら のパ ス を リ タ イ ミ ン グや
パ イ プ ラ イ ン処理す る と 、 有益にな る こ と が よ く あ り ます。
手順 4 : 変更を反映 し 、 消費電力での節約を確認
時間、 パフ ォーマ ン ス、 お よ び リ ソ ース制約での最適な変更を決定 し た ら 、 こ れ ら を反映 さ せます。
一度に試すオプシ ョ ンや変更が多すぎ る と 、 競合や相互作用が発生す る可能性があ る ため、 結果が
最適にな ら ない可能性があ り ます。 時間があ る場合は一度に試すオプシ ョ ン を限定 し て、 ほかの変
更を加え る 前に消費電力お よ びその他の制約への影響を評価す る方法が最適です。
36
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
消費電力および温度の計測
消費電力および温度の計測
こ のセ ク シ ョ ンでは、 FPGA の消費電力ま たは放熱量を計測す る さ ま ざ ま な方法について簡単に説
明 し ます。 こ れ ら の方法の一部では、 内部 FPGA リ ソ ース が使用 さ れ、 ほかの方法ではボー ド の コ
ン ポーネ ン ト ま たは外部 コ ン ポーネ ン ト が使用 さ れます。 消費電力 と 温度を ア ク テ ィ ブに監視 し て
開発後に変更す る 必要があ る アプ リ ケーシ ョ ン も あれば、 プ ロ ト タ イ プお よ び検証段階に ラ ボで こ
れ ら の計測手法を使用す る アプ リ ケーシ ョ ン も あ り ます。 デザ イ ンに最 も 該当す る点を考慮 し て く
だ さ い。
消費電力の計測
•
電流検出抵抗器 : レ ギ ュ レー タ ー出力 と FPGA 間に直列で挿入 さ れます。 こ の抵抗を付け る
と 、 電圧が多少下が り ます。 こ の量は、 オームの法則で電流に比例 し てい ます。 こ の電圧を計
測す る と 、 FPGA に供給 さ れてい る 電流が判明 し ます。
•
ア ド バン ス レ ギ ュ レー タ ーお よ びデジ タ ル パ ワ ー コ ン ト ロ ー ラ ー : 最新の評価キ ッ ト には、
ア ド バン ス レ ギ ュ レ ー タ ーお よ びパ ワ ー コ ン ト ロ ー ラ ーが含ま れてお り 、 こ れ ら を使用 し て
レ ギ ュ レー タ ー出力の電流お よ び電圧を キ ャ プチ ャ し 、 その情報を USB イ ン タ ーフ ェ イ ス を
介 し て監視 し てい る コ ン ピ ュー タ ーに送信で き ます。 こ の方法が電力レールを監視す る最 も 簡
単で便利な方法です。 ML605 お よ び SP605 ボー ド には、 Texas Instrument UCD92xx コ ン ト
ロ ー ラ ーが搭載 さ れてお り 、 Fusions Digital Power Designer ソ フ ト ウ ェ アか ら PMBus (I2C) USB イ ン タ ーフ ェ イ ス モジ ュールを介 し てア ク セ ス で き ます。
•
オン ボー ド モニ タ リ ン グ : 最新のザ イ リ ン ク ス デバ イ ス フ ァ ミ リ では、 内部センサーお よ び
最低 1 つのアナ ロ グ - デジ タ ル変換器があ り 、 供給電圧お よ びデバ イ ス の温度を計測で き ま
す。 ChipScope ユーテ ィ リ テ ィ では、 リ アル タ イ ムの JTAG ア ク セ ス が提供 さ れてお り 、 デバ
イ ス の コ ン フ ィ ギ ュ レーシ ョ ンの実行前 と 実行後に さ ま ざ ま な電源電圧やデバ イ ス のジ ャ ン ク
シ ョ ン温度を計測で き ます (図 3-4 を参照)。 ま た、 シ ス テ ム モニ タ ーま たは XADC コ ン ポー
ネ ン ト を コ ー ド に イ ン ス タ ン シエー ト し て、 FPGA アプ リ ケーシ ョ ンか ら こ れ ら を計測す る こ
と も で き ます。
•
外部モニ タ リ ン グ : デバ イ ス のパ ッ ケージに よ り シ リ コ ンにア ク セ ス で き ないため、 ジ ャ ン ク
シ ョ ン温度は直接計測で き ま せん。 し か し 、 ジ ャ ン ク シ ョ ン温度はパ ッ ケージ、 ヒ ー ト シ ン
ク 、 お よ びそのほかの熱伝対があ る場所の温度を計測す る こ と で予測で き ます。 ま た、 熱カ メ
ラ を使用 し てデバ イ ス の温度お よ び近隣 コ ン ポーネ ン ト お よ びそれ よ り 大 き い環境 と 相互作用
す る 放熱を視覚化で き ます。
•
オン ボー ド モニ タ リ ン グ : 熱計測が可能で消費電力の計測 と 同 じ 方法が使用 さ れます。 コ ン
フ ィ ギ ュ レーシ ョ ン の実行前お よ び実行後に ChipScope を使用 (図 3-4 を参照) す る か、 ま た
はシ ス テ ム モニ タ ー プ リ ミ テ ィ ブ をデザ イ ン に含めてデバ イ ス のジ ャ ン ク シ ョ ン温度を読み
込みます。
熱の計測
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
japan.xilinx.com
37
第 3 章 : 消費電力予測手法
X-Ref Target - Figure 3-4
図 3-4 : ChipScope を使用 し た電圧およびジ ャ ン ク シ ョ ン温度の監視
消費電力お よび温度の計測方法
デザ イ ンの総消費電力を構成す る次の 3 つの コ ン ポーネ ン ト を評価で き る よ う 、 計測前にデバ イ ス
のジ ャ ン ク シ ョ ン温度を制御 し て安定化 さ せ る必要があ り ます。 こ れは、 デバ イ スお よ びデザ イ ン
の ス タ テ ィ ッ ク 消費電力がデバ イ ス のジ ャ ン ク シ ョ ン温度に大 き く 依存 し てい る ためです。
38
•
デバ イ ス の ス タ テ ィ ッ ク 消費電力 : 最初に空のデザ イ ン を ダ ウ ン ロ ー ド し て、 ノ イ ズがキ ャ プ
チ ャ さ れてお ら ず、 すべての内部 ロ ジ ッ ク お よ び コ ン フ ィ ギ ュ レーシ ョ ン回路が既知の ス テー
ト にな っ てい る こ と を確認 し ます。 空のデザ イ ンにはゲー ト ま たはフ リ ッ プフ ロ ッ プが 1 個含
まれてお り 、 いずれ も ト グルせずにすべての出力が ト ラ イ ス テー ト にな っ てい ます。 ジ ャ ン ク
シ ョ ン温度が安定化す る の を待っ てか ら VCCINT、 VCCAUX、 お よ びその他の任意の電源を計
測 し ます。 特殊な装置、 単純な ヒ ー ト ガ ン、 ま たは冷却 ス プ レ ーを 使用 し て も 温度を変更で
き 、 デバ イ ス の ス タ テ ィ ッ ク 消費電力への環境に よ る影響を評価で き ます。
•
デザ イ ンの ス タ テ ィ ッ ク 消費電力 : FPGA にデザ イ ン を ダ ウ ン ロ ー ド し て、 すべての入力お よ
び内部ア ク テ ィ ビ テ ィ (入力デー タ 、 外部お よ び内部 ク ロ ッ ク 生成) を オフ に し ます。 デバ イ ス
の温度が安定す る ま で待っ てか ら 、 任意の電源レールの消費電力を計測 し ます。 こ れ ら の値か
ら デバ イ ス の ス タ テ ィ ッ ク 消費電力を差 し 引 く と 、 デザ イ ン で使用 さ れ る 特定の ロ ジ ッ ク リ
ソ ー ス お よ び コ ン フ ィ ギ ュ レ ーシ ョ ン で消費 さ れ る ス タ テ ィ ッ ク 消費電力 ( デザ イ ン の ス タ
テ ィ ッ ク 消費電力) がわか り ます。
•
デザ イ ンのダ イ ナ ミ ッ ク 消費電力 : FPGA にデザ イ ン を ダ ウ ン ロ ー ド し て、 デザ イ ンの ク ロ ッ
ク お よ び入力 ス テ ィ ミ ュ ラ ス を供給 し ま す。 ジ ャ ン ク シ ョ ン温度が安定す る ま で待っ てか ら 、
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
消費電力および温度の計測
任意の電源の消費電力を計測 し ます。 こ の消費電力は、 デザ イ ンの瞬間的な総消費電力を表 し
ます。 値は、 各 ク ロ ッ ク サ イ ク ルのア ク テ ィ ビ テ ィ に よ っ て変化 し ます。
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
japan.xilinx.com
39
第 3 章 : 消費電力予測手法
40
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
第4章
消費電力削減のための ヒ ン ト および手法
こ の章では、 消費電力を削減す る手法お よ びその手法で予期 さ れ る総消費電力への影響を説明 し ま
す。 こ の情報は、 時間、 消費電力バジ ェ ッ ト 、 使用可能 リ ソ ース、 お よ びデザ イ ンの変更許容度な
ど に合わせて最適なオプシ ョ ン を評価す る際に役立ち ます。 一部の手法は、 内容の重複を避け る た
め、 こ こ では詳 し く 説明 し てい ません。 詳細は、 前章の 「試行」 のセ ク シ ョ ン を参照 し て く だ さ い。
シ ス テム レ ベル
冷却ス ト ラ テ ジ
冷却ス ト ラ テジでは、 デバ イ ス で生成 さ れた熱が除去 さ れて環境に吸収 さ れ る よ う に し ます。 こ れ
ら の冷却ス ト ラ テジは、デバ イ ス の ス タ テ ィ ッ ク 消費電力に大 き く 影響 し ます。 こ の ス ト ラ テジは、
通常デザ イ ン開始時に使用で き、 フ ロ ー後半では実行 し ず ら く な り ます。
•
エア フ ロ ーを増や し ます。
•
周囲温度を下げます。
•
ヒ ー ト シ ン ク ( さ ら に大 き い ヒ ー ト シ ン ク ) を使用す る か、 ま たは別の レ ギ ュ レー タ ーを選択
し ます。
電源ス ト ラ テ ジ
電圧は、 ス タ テ ィ ッ ク 消費電力お よ びダ イ ナ ミ ッ ク 消費電力の両方に大 き く 影響 し ます。 電圧レベ
ルのア ク テ ィ ブ制御に よ り 、 指定の電圧がデバ イ ス に使用 さ れます。
•
ス イ ッ チン グ レ ギ ュ レー タ ーを使用 : リ ニア レ ギ ュ レー タ ー と 比べ る と 消費電力が低 く な り
ますが、 コ ン ポーネ ン ト 数は多 く な り ます。
•
調整可能な レ ギ ュ レー タ ーを使用 : 同 じ 電源で複数の FPGA に電力が供給 さ れ る 場合は、
FPGA お よ び消費電力が最 も 消費 さ れ る デバ イ ス の電圧値にで き る 限 り 近い値に な る よ う 調
整 し ます。
•
許容誤差が厳 し い レ ギ ュ レー タ ーを選択 し ます。
デバイ スの選択
•
製品に最適なデバ イ ス を選択 : ベン ダー、 集積度、 機能、 お よ びパフ ォーマン スは、 デバ イ ス
を選択す る 際の主要な要素ではあ り ません。機能を イ ンプ リ メ ン ト す る際にシ ス テ ム レベルで
決断す る こ と に よ り 、 全製品の消費電力を最小限に抑え る こ と がで き ます。
•
デバ イ ス数を最小限に制限 : 空間を節約 し 、 I/O イ ン タ ー コ ネ ク ト の消費電力、 総 リ ー ク 電力、
お よ びその他の要素を抑え る こ と がで き ます。 通常は、 プ ロ セ ッ サ と FPGA な ど の複数の コ ン
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
japan.xilinx.com
41
第 4 章 : 消費電力削減のための ヒ ン ト および手法
ポーネ ン ト を サ イ ズが大 き めの 1 つの FPGA と 置 き換え る こ と で、ス タ テ ィ ッ ク 消費電力を削
減で き ます。
•
最小デバ イ ス を選択 : リ ー ク 電力を削減で き ます。 通常、 1 つの FPGA フ ァ ミ リ では異な る ダ
イ サ イ ズ を含む同 じ パ ッ ケージがあ り ます。 た と えば、 プ ロ ト タ イ プ中や生産前には大 き めの
ダ イ を使用 し 、 量産段階では小 さ めのダ イ を使用で き ます。
•
最大パ ッ ケージ を選択 : 放熱量を増やす こ と がで き ます。 パ ッ ケージが大 き いほ ど、 ダ イ の熱
を環境に放散す る エ リ アが大 き く な り ます。パ ッ ケージの上面に装着す る ヒ ー ト シ ン ク を大 き
く す る と 、 下面のボール グ リ ッ ド ア レ イ を介 し てプ リ ン ト 回路基板に放散 さ れ る 熱を増やす
こ と がで き ます。
•
低電圧デバ イ ス を使用 : 一部のデバ イ ス フ ァ ミ リ では低電力オプシ ョ ン を使用で き ます。 電圧
要件を下げ る と 、 ス タ テ ィ ッ ク 消費電力お よ びダ イ ナ ミ ッ ク 消費電力を大幅に節約で き ます。
•
リ ー ク 電力が低いデバ イ ス を使用 : 一部のデバ イ ス フ ァ ミ リ には、特定の ス ピー ド グ レー ド ま
たは温度グ レー ド を使用す る と 、 低 リ ー ク 電力ま たは低ス タ テ ィ ッ ク 消費電力オプシ ョ ン を利
用で き ます。 こ れ ら のデバ イ ス の価格は多少高 く な り ますが、 電気代、 冷却ハー ド ウ ェ ア、 お
よ びシ ス テ ム管理費を その価格以上に節約で き る可能性があ り ます。
デバイ ス レ ベル
ザ イ リ ン ク ス設計チームは、 デバ イ ス の機能を向上 し 、 お客様のアプ リ ケーシ ョ ンにおけ る課題を
満たす よ う 、 革新的ではあ り なが ら も 合理的な ソ リ ュ ーシ ョ ン を提供す る こ と を目指 し てい ます。
実際面では、 製造プ ロ セ スお よ び構造パ ラ メ ー タ ー と いっ た 2 つの主要点において広範囲の研究お
よ び試験を行っ てい ます。 こ れ ら の ト ピ ッ ク は、 第 1 章の 「デバ イ ス の消費電力の要因」 を参照 し
て く だ さ い。
デザイ ン レ ベル
正確な消費電力情報を取得
消費電力を最小限に抑え る箇所を特定で き る よ う 、 ツールに よ る消費電力予測が現実的にな る よ う
に し ます。
•
予期す る デバ イ ス動作条件を指定 : デバ イ ス、 その熱仕様、 お よ び適用 さ れ る電圧は、 デバ イ
ス の電源要件お よ び熱要件を決定す る際の重要な要素です。
•
リ ソ ース使用量、 コ ン フ ィ ギ ュ レーシ ョ ン、 お よ びア ク テ ィ ビ テ ィ を指定
•
リ ソ ース使用量お よ び ク ロ ッ ク / 制御信号 / 主要入力のア ク テ ィ ビ テ ィ の情報を可能な限 り
ツールに供給 し ます。
•
以前のデザ イ ンのデー タ や情報を再利用 し た り 、 ツールの イ ン ポー ト 機能を使用 し て、 手
動に よ る デー タ 入力を最小限に抑え ます。
•
ア ク テ ィ ビ テ ィ を指定す る と き は、 通常動作ま たは ワ ース ト ケース動作に一致す る よ う に
し て く だ さ い。 デザ イ ンでデー タ がバース ト 処理 さ れ、 その後に静止期間があ る場合、 長
期間でア ク テ ィ ビ テ ィ が正規化す る よ う に し ます。 熱お よ び電源の影響は、 内部ス イ ッ チ
ン グ ロ ジ ッ ク に比べてかな り 時間がかか り ます。
リ ソ ース を効果的に使用
ロ ジ ッ ク : 忙 し い ために タ ーゲ ッ ト アーキ テ ク チ ャ を 完全に理解で き てい ない こ と が あ り ま す。
アーキ テ ク チ ャ を理解す る と 、 次を実行す る際に役立ち ます。
42
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
デザイ ン レベル
•
デザ イ ン記述を最適化
•
合成ツールに よ り ロ ジ ッ ク を専用ブ ロ ッ ク にマ ッ プで き る よ う な コー ド を記述 し ます。 合
成ツールでは、 タ イ ミ ン グ要件 と リ ソ ース使用率要件を満たす最善のマ ッ プ方法を決定で
き ます。た と えば、カ ウ ン タ ーま たは ス テー ト マシ ンは分散 ロ ジ ッ ク ま たはブ ロ ッ ク RAM
のいずれかにマ ッ プで き ます。 シ フ ト レ ジ ス タ は、 特定の LUT モー ド にマ ッ プ し てエ リ
アお よ び消費電力を節約で き ます。
•
ロ ジ ッ ク の最適化の妨げにな り 、 配置配線 リ ソ ース を多 く 使用す る非同期の制御信号を最
小限に抑え ます。
•
制御セ ッ ト 数を最小限に抑え ます。 制御セ ッ ト は、 ク ロ ッ ク 、 ク ロ ッ ク イ ネーブル、 セ ッ
ト 、 リ セ ッ ト 、 ラ イ ト イ ネーブル (LUT RAM の場合) 信号の固有グループで構成 さ れて
い ます。 1 つの ス ラ イ ス内に配置 さ れ る レ ジ ス タ 数は制御セ ッ ト 数に影響を受け ます。 こ
れは、 すべての レ ジ ス タ で ク ロ ッ ク 、 セ ッ ト / リ セ ッ ト 、 お よ び ク ロ ッ ク イ ネーブル信号
が共有 さ れ る ためです。 制御セ ッ ト 数が増え る ほ どデザ イ ンが拡散 し て消費電力が高 く な
り ます。 FPGA アーキ テ ク チ ャ に よ っ て異な り ますが、 限度に達 し た と き に近接 し た関連
ロ ジ ッ ク をパ ッ ク で きず、 配線 リ ソ ース が増加す る場合があ り ます。
•
パ イ プ ラ イ ン段を追加 し て、 組み合わせ ロ ジ ッ ク コーンのサ イ ズ を最小限に抑え ます。 こ
れに よ り 、 各 ク ロ ッ ク サ イ ク ルで信号が最終 ス テー ト に到達す る ま で、 レ ジ ス タ 間の グ
リ ッ チの伝搬を最小限に抑え る こ と がで き ます。
•
リ ソースの タ イ ム シ ェ ア リ ン グ を使用し ます。 こ の手法に よ り 、 同じ ハー ド ウ ェ ア リ ソー
ス に異な る フ ァ ン ク シ ョ ン を時分割多重化する こ と でデバ イ ス の リ ソ ース使用量を最小限
に抑え る こ と がで き ます。 こ れに よ り 、 小 さ いデバ イ ス を使用で き る よ う になっ た り 、 配置
配線の混雑を緩和し て ス タ テ ィ ッ ク 消費電力およびダ イ ナ ミ ッ ク 消費電力を削減で き ます。
-
低速で類似 し てい る プ ロ セ スは、 別の リ ソ ース を使用せずに同 じ リ ソ ース で実行で き
ます。 ただ し 、 処理す るデー タ のバ ッ フ ァ 処理、 マルチプ レ ク サ処理、 初期化、 お よ
び制御方法を慎重に検討す る必要があ り ます。複数の入力セ ンサーを処理す る な ど、並
列処理が行われ る アプ リ ケーシ ョ ンで こ の よ う な最適化を実行 し ます。 処理ユニ ッ ト
を入力 と 同 じ 数にす る 代わ り に 1 つの処理ユニ ッ ト のみを使用 し て高速に実行で き
ま す。 入力チ ャ ネルは順に処理 さ れ、 各出力に対す る 応答時間は同 じ に な り ま す。
XPower Estimator の What If? 予測を実行す る と 、 こ の作業に よ り 消費電力を節約で
き る かが判断で き ます。
-
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン こ の手法を使用する と 、 機能をオンザフ ラ イ で
変更で き、 全体を コ ン フ ィ ギ ュ レーシ ョ ン し 直 し て リ ン ク を確立 し 直す必要がな く な
り ます。パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンは、リ ソ ース使用量を最小限にする と き
に特に役立ち、 こ れに よ り ス タ テ ィ ッ ク 消費電力お よ びダ イ ナ ミ ッ ク 消費電力の両方
が削減 さ れます。 シ ス テ ムの現在の環境で必要な フ ァ ン ク シ ョ ンのみ、 ま たはアプ リ
ケーシ ョ ンの全プ ロ セ ス の う ちの特定期間で必要な フ ァ ン ク シ ョ ンのみ FPGA に読み
込む こ と がで き ます。詳細は、次の ウ ェ ブページか ら 入手可能なパーシ ャ ル リ コ ン フ ィ
ギ ュ レーシ ョ ンの手法ガ イ ド を参照し て く だ さ い。
http://japan.xilinx.com/tools/partial-reconfiguration.htm
-
DSP お よ びブ ロ ッ ク RAM のオプシ ョ ンの レ ジ ス タ を使用 し ます。た と えば、DSP ブ
ロ ッ ク で乗算器ま たは MREG レ ジ ス タ を イ ネーブルにす る と 、 ク ロ ッ ク サ イ ク ル間
の内部グ リ ッ チが最小限に抑え ら れて伝搬 さ れ る ので、 消費電力が最 も 抑え ら れた イ
ンプ リ メ ン テーシ ョ ンにな り ます。
•
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
リ ソ ース数を最小限に抑え る : ロ ジ ッ ク リ ソ ース数を最小限に抑え る と 、 配線 リ ソ ース数 も 削
減 さ れます。 こ れに よ り 、 イ ンプ リ メ ン テーシ ョ ン ソ フ ト ウ ェ アでデザ イ ン を さ ら に効果的に
配置配線で き る よ う にな り ます。
japan.xilinx.com
43
第 4 章 : 消費電力削減のための ヒ ン ト および手法
•
•
デバ ッ グ ロ ジ ッ ク をデ ィ スエーブルにする のではな く 、 最終的なデザ イ ンか ら削除し ます。
•
ク ロ ッ ク 生成 コ ン ポーネ ン ト の数を最小限に し ます。 主要 IP ブ ロ ッ ク ではな く デザ イ ン
最上位の視点か ら ク ロ ッ ク 生成お よ び管理を考え る こ と で、 不必要な複製や機能を回避 し
ます。 ク ロ ッ ク コ ン ポーネ ン ト には複数の出力があ る こ と が よ く あ り 、 各出力にはそれぞ
れプ ロ グ ラ ム可能な周波数お よ び位相シ フ ト 機能があ り ます。 プ ロ ジ ェ ク ト リ ー ド は、 2
つの IP ブ ロ ッ ク に 1 つの ク ロ ッ ク マネージ ャ ーを イ ン ス タ ン シエー ト す る 代わ り に、 1
つの ク ロ ッ ク ジ ェ ネ レ ー タ ーか ら 異な る ク ロ ッ ク ド メ イ ン を生成で き ないか、 評価で き
ます。
ア ク テ ィ ビ テ ィ を最小限に抑え る : コ ン ポーネ ン ト お よ び信号配線のア ク テ ィ ビ テ ィ は、 デバ
イ ス ダ イ ナ ミ ッ ク 消費電力に大き く 影響 し ます。
•
ク ロ ッ ク ま たはデー タ パ ス にゲー ト を付け ます。 こ の よ く あ る 手法では、 こ れ ら のパ ス が
使用 さ れない と き にパ ス が停止 さ れます。 ク ロ ッ ク にゲー ト を付け る と 、 駆動 さ れてい る
すべての同期 ロ ー ド が停止 さ れ、 デー タ パ ス にゲー ト を付け る と 、 ス イ ッ チす る信号お よ
びグ リ ッ チが発生す る 信号が次の同期エ レ メ ン ト ま で伝搬 さ れません。 ソ フ ト ウ ェ ア ツー
ルでは、記述お よ びネ ッ ト リ ス ト が解析 さ れて こ の よ う な状況が検出 さ れます。 それで も 、
ツールにはないアプ リ ケーシ ョ ン、 デー タ フ ロ ー、 お よ び依存性に関す る情報があ り 、 設
計者のみが入力で き ます。
ゲーテ ィ ン グの一般的なガ イ ド ラ イ ン :
44
-
ゲー ト 付 き信号の影響を受け る エ レ メ ン ト 数を最大限に し ます。 た と えば、 ク ロ ッ ク
イ ネーブル信号 と 共に各 ロ ー ド を ゲー ト す る よ り も 、その駆動 ソ ース の ク ロ ッ ク ド メ
イ ン を ゲー ト し た方が消費電力の節約量が多 く な り ます。
-
ア ク テ ィ ビ テ ィ ま たは ク ロ ッ ク ツ リ ー使用率を最小限に抑え る よ う ク ロ ッ ク ゲー
テ ィ ン グ を実行 し た り 、ま たは ク ロ ッ ク にマルチプ レ ク サ を付け る 場合は、専用 ク ロ ッ
ク バ ッ フ ァ ーの ク ロ ッ ク イ ネーブル ポー ト を使用 し ます。 LUT を挿入 し た り 、 その
他の方法で ク ロ ッ ク 信号を ゲー ト す る のは、消費電力 と タ イ ミ ン グ を考慮す る場合、効
率が よ く あ り ません。
-
制御セ ッ ト 数を最小限に抑え ます。 ゲー ト 付 き信号を追加 し てデー タ ま たは ク ロ ッ ク
パ ス を停止す る には、 ロ ジ ッ ク と 配線が必要にな る ので、 本来の目的を達成で き る よ
う 、 制御セ ッ ト 数を最小限にす る必要があ り ます。 こ れ ら の余分 リ ソ ース を配置配線
す る と 、 既存 ロ ジ ッ ク の イ ンプ リ メ ン テーシ ョ ン を悪化 さ せ る可能性があ り ます。 配
置が広がっ た り 、 複製が作成 さ れた り 、 ま たは配線が混雑す る可能性があ り 、 こ の結
果ダ イ ナ ミ ッ ク 消費電力が増加 し ます。
-
ブ ロ ッ ク RAM ポー ト をデ ィ ス エーブルに し ます。 アプ リ ケーシ ョ ンでア レ イ か ら の
読み出 し ま たはア レ イ への書 き 込みが行われない と き は、 使用 さ れて い ない メ モ リ
ポー ト をデ ィ ス エーブルにす る よ う に イ ネーブル信号を記述 し ます。
•
サ スペン ド モー ド ま たはス タ ン ド バ イ モー ド を使用 し て、 デバ イ ス を使用 さ れない と き
にデ ィ ス エーブルに し ま す。 機能は、 FPGA フ ァ ミ リ に よ っ て異な り ま す。 こ の手法は、
バ ッ テ リ ー アプ リ ケーシ ョ ンや処理す る デー タ がバー ス ト 処理 さ れてその後長期間ア ク
テ ィ ビ テ ィ がない よ う な と き に よ く 使用 さ れます。 こ の メ カ ニ ズ ムは簡単に イ ンプ リ メ ン
ト で き 、 デバ イ ス の ス タ テ ィ ッ ク 消費電力お よ びダ イ ナ ミ ッ ク 消費電力を削減で き ます。
デバ イ ス がパ ワ ー ダ ウ ン モー ド か ら 回復す る と き に、 アプ リ ケーシ ョ ン で起動時間が許
容 さ れ る よ う に し て く だ さ い。
•
アーキ テ ク チ ャ コ ン ポーネ ン ト それぞれにパ ワ ー ダ ウ ン モー ド を使用 し ます。 デバ イ ス
コ ン ポーネ ン ト のほ と ん ど には、 回路の電源を オ フ に し た り 、 ク ロ ッ ク ま たはデー タ フ
ロ ーをデ ィ ス エーブルにす る 機能があ り ます (例 : ブ ロ ッ ク RAM ポー ト イ ネーブルお よ
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
デザイ ン レベル
び ト ラ ン シーバーの各種パ ワ ー ダ ウ ン モー ド )。 アーキ テ ク チ ャ について学び、 専用の消
費電力節約構造を最大限に利用 し ます。
•
配置を ガ イ ド : デザ イ ン ロ ジ ッ ク の配置を ソ フ ト ウ ェ アに制御 さ せ る のが、 通常は最善策で
す。 小 さ いデバ イ ス エ リ アに ロ ジ ッ ク を制約す る と 、 配線 リ ソ ー ス が短 く て済むのでダ イ ナ
ミ ッ ク 消費電力が節約 さ れ る と 考え る で し ょ う が、 逆に混雑を招 き、 こ の人工的に発生 し た混
雑を回避す る ために最適ではない リ ソ ース が信号で使用 さ れ る可能性があ り ます。 し か し 、 次
の よ う な場合には、 配置を ガ イ ド す る こ と が役立ち ます。
•
タ イ ミ ン グ制約ま たはその他の制約が緩 く 設定 さ れてい る と き にア ク テ ィ ビ テ ィ が高い ロ
ジ ッ ク を高密度で配置 し ま す。 XOR な ど の演算器お よ びビ ッ ト 単位の フ ァ ン ク シ ョ ン で
は、 ク ロ ッ ク サ イ ク ル間にグ リ ッ チが発生す る可能性があ り 、 ダ イ ナ ミ ッ ク 消費電力が増
え ます。 こ れ ら の フ ァ ン ク シ ョ ン を互いに近 く に配置す る と 、 配線 リ ソ ー ス が短 く な り 、
同 じ ス ラ イ ス ま たは CLB にパ ス を含め る こ と がで き、 消費電力が抑え ら れます。
I/O : I/O イ ン タ ーフ ェ イ ス では、 寄生要素に よ る影響を受け る可能性があ る長距離を駆動す る必要
があ る ため、 通常はデバ イ ス の消費電力要件の大部分を占め ます。
•
最小限の VCCAUX を使用 し ます。 こ れに よ り 、 こ の電源の ス タ テ ィ ッ ク 消費電力お よ びダ イ ナ
ミ ッ ク 消費電力の両方が最小限に抑え ら れます。
•
入力 : 内部で参照 さ れ る入力規格の使用を制限 し ます。
•
出力 :
•
受信チ ッ プでサポー ト さ れ る スルー /駆動強度/電圧の最小レベルを使用 し ます。
•
終端ま たは直列終端ではな く パ ラ レ ル終端を選択 し ます。 こ の決定には、 シ グナル イ ン テ
グ リ テ ィ シ ミ ュ レーシ ョ ン ツールを使用で き ます。
•
デバ イ ス の熱バジ ェ ッ ト 、 シ ス テ ム コ ス ト 、 お よ びボー ド の スペース要件を考慮 し て、 オ
ンチ ッ プ終端ま たはオ フチ ッ プ終端の ど ち ら を使用 し た ら よ いかを検討 し ます。
•
電圧幅の低い差動規格を使用で き ないか検討 し ます。
•
アプ リ ケーシ ョ ンで大型パ ラ レ ル
し ます。
•
IBUI、 IO DELAY な ど の I/O 機能の要件を評価 し 、 許容 さ れ る場合はデ ィ ス エーブルに
バ ス の変わ り に ト ラ ン シーバーを使用で き ないか検討
し ます。
ト ラ ン シーバー
•
低電力モー ド を使用 し て、 一部の回路を使用 さ れない と き にデ ィ ス エーブルに し ます。
•
ト ラ ン シーバーを可能な数だけ 1 つの タ イ ルにパ ッ ク し て、 サポー ト 回路の複製を最小限に抑
え ます。
メ モ : 作業 し すぎない よ う に し て く だ さ い。 ワ ース ト ケース のデバ イ ス、 プ ロ セ ス、 環境、 お よ び
デザ イ ン ア ク テ ィ ビ テ ィ を必ず同時に設計する 必要はあ り ません。 場合に よ っ ては、 こ の よ う な状
況が発生す る 前にシ ス テ ムのほかの コ ン ポーネ ン ト が停止す る こ と があ り ます。こ の よ う な場合、絶
対定格のジ ャ ン ク シ ョ ン温度を超え ない よ う に し 、 デバ イ ス が既知の ス テー ト か ら 再起動で き る よ
う にす る 必要があ り ます。 こ の よ う な状況でデー タ を処理す る こ と は、 ア ッ プ ス ト リ ーム ま たはダ
ウ ン ス ト リ ームのボー ド コ ンポーネ ン ト が動作不可能な場合があ る ため、問題にな ら ない可能性が
あ り ます。
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
japan.xilinx.com
45
第 4 章 : 消費電力削減のための ヒ ン ト および手法
ソ フ ト ウ ェ ア設定およびアルゴ リ ズム レ ベル
合成
合成ツールでは、 エ リ ア、 パフ ォーマン ス、 ラ ン タ イ ム、 お よ び消費電力制約間で ト レー ド オ フ を
行い ます。 集積度ま たはパフ ォーマン ス の目標を満たす こ と が優先 さ れます。 ク リ テ ィ カルではな
いパ ス は、 エ リ アお よ び消費電力を最小限に抑え る よ う に最適化 さ れ ま す。 次のセ ク シ ョ ン では、
合成 さ れたネ ッ ト リ ス ト を さ ら に最適化す る手法、 フ ロ ー、 お よ び制約について説明 し ます。
こ こ で説明す る オ プ シ ョ ン お よ び手法は、 ザ イ リ ン ク ス XST を 参照 し て い ま すが、 Synopsys
Synplify ま たは Mentor Precision な ど のその他の FPGA 合成ツールに も 類似 し た機能があ り ます。
一般
•
完成 し ていて現実的な タ イ ミ ン グ制約を供給
こ れに よ り 、 ク リ テ ィ カル パ ス の最適化に焦点を絞 る こ と がで き、 残 り のパ ス を最適化 し てエ
リ アお よ び消費電力を最小限にで き ます。パフ ォーマ ン ス要件を人為的に厳 し く 制約す る と 、複
製が多数生成 さ れ、 論理記述がハー ド ウ ェ ア リ ソ ース に非効率的にマ ッ プ さ れて し ま い ます。
制約が緩すぎ る と 、 最適にマ ッ プ さ れず、 配置配線で予期す る パフ ォーマン ス を達成す る こ と
が困難にな り ます。
•
ブ ラ ッ ク ボ ッ ク ス を読み出す
ブ ラ ッ ク ボ ッ ク スへのパ スお よ びブ ラ ッ ク ボ ッ ク ス か ら のパ ス の タ イ ミ ン グ情報お よ びブ
ラ ッ ク ボ ッ ク ス内の リ ソ ース使用量を取得で き ます。 こ れに よ り 、 ロ ジ ッ ク リ ソ ース の不必要
な複製や最適ではない使用を回避で き ます。
XST に よ る消費電力最適化
•
同時にア ク テ ィ ブなブ ロ ッ ク RAM のポー ト 数を最小限に抑え る
こ の最適化は、-power yes オプシ ョ ンに よ り イ ネーブルに さ れますが、複数のブ ロ ッ ク RAM に
ま たが る RAM ま たは ROM 記述が分解 さ れます。 タ イ ミ ン グ制約を満た し なが ら ア ク テ ィ ブ
なブ ロ ッ ク RAM ポー ト 数を ク ロ ッ ク サ イ ク ルご と に最小限にす る よ う 、 ア ド レ ス ラ イ ン、
ポー ト イ ネーブル、 お よび ラ イ ト イ ネーブル制御信号が調整 さ れます。
•
パフ ォーマ ン スへの影響を考慮せずに最 も 消費電力を抑え る よ う にブ ロ ッ ク RAM を強制的に
マップ
こ の メ モ リ に関連 し た タ イ ミ ン グ パ ス が重要ではない と き に、 block_power2 オプシ ョ ン を
ram_style 制約に使用 し ます。 こ れに よ り 、 消費電力を 15% ~ 75% 節約で き ます。
XST に よ る エ リ ア最適化
•
area 最適化モー ド を使用
可能な限 り XST で area 最適化モー ド を使用 し ます。 こ れに よ り 、 リ ソ ース使用量が最低限に
抑え ら れます。
•
マ ッ プを強制的に決定
特殊な ロ ジ ッ ク ブ ロ ッ ク では、 パフ ォーマン スへの影響を慎重に予測 し た後、 ツールで強制的
に フ ァ ン ク シ ョ ン を専用 ロ ジ ッ ク リ ソ ース にマ ッ プで き ます。 た と えば、 カ ウ ン タ ーを使用可
能な DSP ブ ロ ッ ク に強制的にマ ッ プで き ます。 シ フ ト レ ジ ス タ は、 ス ラ イ ス の SRL モー ド に
マ ッ プ可能です。ワー ド 数が少ない メ モ リ がブ ロ ッ ク RAM と し て イ ンプ リ メ ン ト さ れていて、
その入力配線ま たは出力配線が長い場合、 配線の消費電力を削減で き る可能性があ る ため、 分
散 RAM に強制的にマ ッ プす る よ う な場合 も こ の例です。
46
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
ソ フ ト ウ ェ ア設定およびアルゴ リ ズム レベル
リ ソ ース シ ェ ア リ ン グの使用
•
リ ソ ース を共有す る と 、 算術演算子の数を最小限に抑え る こ と がで き る ので、 デバ イ ス使用率
を削減で き ます。類似す る 算術演算子は、 こ れ ら の出力が同時に使用 さ れ る こ と がない場合、デ
バ イ ス の共有 リ ソ ース を使用 し て イ ンプ リ メ ン ト で き ます。 通常、 リ ソ ース共有では因数分解
さ れた入力のいずれかを選択す る ために、 マルチプ レ ク サ ロ ジ ッ ク が追加 さ れます。 因数分解
を実行す る こ と で ロ ジ ッ ク 使用量を最小限に抑え、 ロ ジ ッ ク の複製を回避で き ます。 合成ツー
ルでは、 こ れ ら の最適化がデフ ォ ル ト で実行 さ れ、 潜在的なパフ ォーマン スへの副作用が制御
さ れ る ので、 こ の最適化をデ ィ ス エーブルにす る 必要はほぼあ り ません。
その他の XST オプ シ ョ ン
レ ジ ス タ バ ラ ン ス調整を使用 し て ア ク テ ィ ビ テ ィ を削減
•
-register_balancing オプシ ョ ン を使用する と 、 組み合わせ ロ ジ ッ ク に含まれ る タ イ ミ ン グ パ ス
の長 さ が均一にな る よ う に、 レ ジ ス タ の タ イ ミ ン グが前方ま たは後方に調整 さ れます。 こ のオ
プシ ョ ンは主にデザ イ ン パフ ォーマ ン ス の向上のために実行 さ れますが、最長の タ イ ミ ン グ パ
ス を短 く す る こ と でグ リ ッ チの伝搬が最小限に抑え ら れ る ので、 ア ク テ ィ ビ テ ィ が削減 さ れま
す。 レ ジ ス タ バ ラ ン ス調整は、 演算器や幅の広いビ ッ ト 単位構造な ど ア ク テ ィ ビ テ ィ の高い ロ
ジ ッ ク を イ ンプ リ メ ン ト す る パ ス で特に有益です。
FSM エン コ ー ド 方式
•
大型ス テー ト マシ ンのエン コ ー ド 方式を多数試す こ と がで き ます。た と えば、Gray エン コー ド
を使用す る と 、 ス テー ト 遷移間のビ ッ ト 変化数を最小限に抑え る こ と がで き ます。 エン コー ド
方式を変更す る と 、 出力の生成に必要な ロ ジ ッ ク 数に対 し て必要にな る 次の ス テー ト をデ コ ー
ド す る ための ロ ジ ッ ク 数に影響 し ます。
イ ン プ リ メ ン テーシ ョ ン
次のセ ク シ ョ ンでは、 個別ま たは組み合わせて使用す る こ と で さ ら に最適化を実行で き る アルゴ リ
ズ ムについて説明 し ます。
ネ ッ ト リ ス ト の最適化
ア ク テ ィ ビ テ ィ を意識 し た最適化 (高度なゲーテ ィ ン グ ) を イ ネーブル
•
こ れ ら のアルゴ リ ズ ムでは、 論理式が解析 さ れて、 結果に影響 し ない ソ ース レ ジ ス タ が ク ロ ッ
ク サ イ ク ルご と に検出 さ れます。 ソ フ ト ウ ェ アでは、 FPGA ロ ジ ッ ク に含まれてい る 十分な ク
ロ ッ ク イ ネーブル (CE) リ ソ ース を使用 し て、無駄な ス イ ッ チ イ ン グ ア ク テ ィ ビ テ ィ を回避す
る 高精度のゲーテ ィ ン グ信号が作成 さ れます (図 4-1 を参照)。 高度な ク ロ ッ ク お よ びデー タ
ゲーテ ィ ン グは、map -power high オプシ ョ ン を使用 し て制御で き ます。総ダ イ ナ ミ ッ ク 消費電
力は 15% 以上削減可能で、 ほ と ん ど の場合で挿入 し たゲーテ ィ ン グ ロ ジ ッ ク が原因でパ
フ ォーマ ン ス が影響を受け る こ と はあ り ません。
Before
sig
After
Power
Consumption
Power
Consumption
sig
CE
X-Ref Target - Figure 4-1
図 4-1 : 高度な ク ロ ッ ク ゲーテ ィ ングによ る ス イ ッ チ ング消費電力の削減
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
japan.xilinx.com
47
第 4 章 : 消費電力削減のための ヒ ン ト および手法
•
リ ソ ース を再配分す る
ネ ッ ト リ ス ト の最適化では、 フ ァ ン ア ウ ト の大 き いネ ッ ト が低消費電力の配線構造に再マ ッ プ
さ れます。た と えば、多 く の負荷に分配 さ れる リ セ ッ ト 信号はグ ローバル ネ ッ ト にマ ッ プ さ れる
可能性があ り ます。グ ローバル ネ ッ ト はパフ ォーマン ス に対 し て最適化 さ れた専用配線で、キ ャ
パシ タ ン ス (容量) も 比較的低い値です。 ま た、 残 り のデータ パス を配置配線する配線 リ ソ ース
も 解放 さ れ る ので、 配線の混雑が減 り 、 全体的なキ ャ パシ タ ン ス も 削減 さ れます。 こ れ ら のすべ
ての効果は map -power on オプシ ョ ンで制御 さ れ、 ダ イ ナ ミ ッ ク 消費電力を削減し ます。
配置
•
•
キ ャ パシ タ ン ス を意識 し た最適化
•
ク ロ ッ ク の負荷を グループ化 : こ のプ ロ セ ス ではフ リ ッ プ フ ロ ッ プや DSP ブ ロ ッ ク な ど
のエ レ メ ン ト の配置が再編成 さ れ、 各 ク ロ ッ ク ネ ッ ト の範囲が最小限に抑え ら れます。 ク
ロ ッ ク 負荷が最少数の水平ま たは垂直 ク ロ ッ ク スパ イ ンに沿っ て配置 さ れ る と き 、 ク ロ ッ
ク 領域内の未使用の分岐は ソ フ ト ウ ェ アでデ ィ ス エーブルにで き ます。 こ れに よ り 、 使用
さ れ る ク ロ ッ ク リ ソ ース数お よ びバ ッ フ ァ 要件が減 り 、 ダ イ ナ ミ ッ ク 消費電力が抑え ら れ
ます。 こ のプ ロ セ スは、 map -power on オプシ ョ ンに よ っ て制御 さ れます。
•
デー タ 負荷を グループ化 : こ のアルゴ リ ズ ムでは、 パフ ォーマン ス要件を満た し なが ら デ
ザ イ ンに含まれ る ワ イ ヤの全長が最短に さ れます。 ダ イ ナ ミ ッ ク 消費電力は、 関連 し て増
加す る キ ャ パシ タ ン ス が原因で、 フ ァ ン ア ウ ト お よ び配線構造の種類 と 長 さ と 共に増加す
る ので、 デー タ 負荷を グループに ま と め る と 、 消費電力を節約で き ます。 こ のグループ化
アルゴ リ ズ ム も 、 map -power on オプシ ョ ンで イ ネーブルに さ れ、 関連 ロ ジ ッ ク を隣接 し
て配置す る こ と で消費電力の削減を達成で き ます。
ア ク テ ィ ビ テ ィ を意識 し た最適化
•
シ ミ ュ レーシ ョ ン結果か ら ア ク テ ィ ビ テ ィ を入力 : こ れに よ り 配置プ ロ グ ラ ムで消費電
力が最小限に済む よ う に効率 よ く ネ ッ ト リ ス ト の優先順位が付け ら れて フ ロ アプ ラ ン さ れ
ます。 電圧お よ びキ ャ パシ タ ン ス に加え て、 ア ク テ ィ ビ テ ィ はダ イ ナ ミ ッ ク 消費電力を決
定す る 必須要素です。 デフ ォ ル ト では、 配置プ ロ グ ラ ムでデザ イ ンのパフ ォーマン スお よ
び配線目標を満たす よ う に試み ら れます。シ ミ ュ レーシ ョ ン結果のア ク テ ィ ビ テ ィ 情報は、
ア ク テ ィ ビ テ ィ が高い ロ ジ ッ ク お よ びパ ス を配置す る と き に配置プ ロ グ ラ ム を さ ら にガ イ
ド し ます。 こ れに よ り 、 こ れ ら の構造に対す る 内部 CLB 配線が増え配置密度が増すので、
ダ イ ナ ミ ッ ク 消費電力が削減 さ れ ま す。 こ れ ら のアルゴ リ ズ ム を イ ネーブルにす る には、
次のオプシ ョ ン を使用 し ます。
map -activity_file file_name.saif
同一の階層セパレー タ ー、 最上位名な ど を使用 し て、 ネ ッ ト リ ス ト と シ ミ ュ レーシ ョ ン出
力の コ ン ポーネ ン ト が効率 よ く 一致す る よ う に し て く だ さ い。
•
重要 : キ ャ パシ タ ン スお よ びゲーテ ィ ン グ アルゴ リ ズ ムの両方を イ ネーブルにす る map
-power xe オプシ ョ ン を使用す る こ と を検討 し て く だ さ い。 過去のデー タ に基づ く と 、 こ
のオプシ ョ ンがダ イ ナ ミ ッ ク 消費電力を削減で き る最 も 効率的なオプシ ョ ンです。
その他
•
ツールのア ッ プデー ト を確認
ほ と ん ど の IC コ ンポーネ ン ト では、製造プ ロ セ ス の成熟度に伴い、FPGA の消費電力特性が改
善 さ れます。FPGA 初期段階では、消費電力特性はシ ミ ュ レーシ ョ ンか ら 取得 さ れてい ます。エ
ン ジニ ア リ ン グ サンプルが入手可能にな る と 、 計測デー タ を消費電力モデルに統合で き ます。
デバ イ ス が完全生産に進む と 、 複数の製造バ ッ チにおけ る プ ロ セ ス のば ら つ き が完全に特性化
48
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
デバイ スまたはアーキテ ク チ ャ を効率的に比較
さ れ、 こ れ ら の計測で消費電力モデルがア ッ プデー ト さ れます。 消費電力予測お よ び解析ツー
ルでは、 こ のア ッ プデー ト さ れた情報を使用 し て精度の高い結果を出力で き ます。
•
ソ フ ト ウ ェ アの消費電力オプシ ョ ン を一括 し て試行
ISE の SmartXplorer 機能ま たは PlanAhead の [Design Runs] ビ ューを使用す る と 、 消費電力
に影響す る さ ま ざ ま な ソ フ ト ウ ェ ア オプシ ョ ン を一括 し て試行で き ます。 こ れ ら には、 合成お
よ び配置配線ツールの設定を調整す る定義済みの ス ト ラ テジ セ ッ ト があ り ます。独自の ス ト ラ
テジ を追加す る か、 ま たは既存の ス ト ラ テジ を編集 し て、 複数のマシ ンで高速に異な る run を
実行 し ます。
デバイ ス またはアーキテ ク チ ャ を効率的に比較
デバ イ ス ま たはアーキ テ ク チ ャ を比較す る には、 次を実行 し ます。
•
放熱係数を一致 さ せ る
ツールにはそれぞれ異な る オプシ ョ ンお よ びデフ ォ ル ト 設定があ る ので、 比較す る と き は放熱
係数を一致 さ せ る 必要があ り ます。 こ れに よ り 、 ス タ テ ィ ッ ク 消費電力を正 し く 比較で き ます。
•
使用 さ れ る リ ソ ース を一致 さ せ る
アーキ テ ク チ ャ にはそれぞれ異な る リ ソ ース ( シ フ ト レ ジ ス タ 、 メ モ リ コ ン ト ロ ー ラ ー、 ク
ロ ッ ク マネージ ャ ーな ど ) お よ び異な る サ イ ズの リ ソ ース (LUT、 BRAM、 DSP ブ ロ ッ ク な ど
) があ る ため、 両方の ツールで同等の数値を入力 し て く だ さ い。 た と えば、 LUT SRL を使用 し
て イ ンプ リ メ ン ト さ れ る シ フ ト レ ジ ス タ がサポー ト さ れないアーキテ ク チ ャ では、サポー ト す
る アーキ テ ク チ ャ と 同 じ 機能を達成す る ために フ リ ッ プ フ ロ ッ プ数が多 く 必要にな り ます。
•
I/O 設定を一致 さ せ る
アーキ テ ク チ ャ ではそれぞれ異な る I/O 規格、 終端ま たはデー タ キ ャ プチ ャ 、 お よ びア ラ イ メ
ン ト ブ ロ ッ ク がサポー ト さ れ る 場合があ り ます。 比較す る と き は、 同 じ 電圧レベルお よ び機能
にな る よ う に し て く だ さ い。
•
ア ク テ ィ ビ テ ィ を一致 さ せ る
ツールのデフ ォ ル ト は、 アーキ テ ク チ ャ お よ びベン ダーに よ っ て異な り ます。 比較す る前に こ
れ ら の情報を変更 し て く だ さ い。
•
結果の値に何が含まれてい る かを理解す る
次の よ う な質問を自問 し て く だ さ い。 何が含まれてい る か。 何が除外 さ れてい る か。 すべての
電圧の影響が含まれてい る か。 オ フチ ッ プ終端で散逸 さ れ る消費電力は含まれてい る か。
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
japan.xilinx.com
49
第 4 章 : 消費電力削減のための ヒ ン ト および手法
50
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
第5章
ま とめ
こ のガ イ ド で説明 し た原則に従 う と 、デザ イ ン サ イ ク ルを通 し てデザ イ ンの消費電力予測が実行 し
やす く な り ます。 解析手法は総消費電力に影響す る主な要因の特定を容易に し 、 ヒ ン ト お よ び手法
は消費電力が超え て し ま っ てい る と き の最 も 効果的な対処方法を決断す る際に役立ち ます。 当然デ
ザ イ ンはそれぞれ異な る ので、 特定のデバ イ ス、 環境、 プ ロ セ ス、 お よ び締め切 り に応 じ て こ の資
料を利用 し て く だ さ い。
最終的には消費電力を最小限に抑え る こ と で、 同 じ 消費電力で実行で き る アプ リ ケーシ ョ ンの操作
が増え ます。エン ド 製品の動作 コ ス ト を電気代、信頼性、お よ び管理費のすべての面で削減で き ます。
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
japan.xilinx.com
51
第 5 章 : まとめ
52
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
付録 A
その他の リ ソ ース
消費電力に関する資料
•
ザ イ リ ン ク ス の ウ ェ ブサ イ ト で消費電力 ソ リ ューシ ョ ン ページ を参照 し て く だ さ い。
http://japan.xilinx.com/power
•
『Lowering Power at 28 nm with Xilinx 7 Series FPGAs』 (WP389)
•
『高度な ク ロ ッ ク ゲーテ ィ ン グに よ る ス イ ッ チン グ電力の削減』 (WP370)
•
XPower Estimator (XPE)
ツール資料
•
•
•
『XPower Estimator ユーザー ガ イ ド 』 (UG440)
•
『Seven Steps to an Accurate Worst-Case Power Analysis Using Xilinx Power Estimator
(XPE) 』 (WP353)
XPower Analyzer (XPA)
•
グ ラ フ ィ カル イ ン ターフ ェ イ ス : XPower Analyzer (XPA) ヘルプ
•
コ マ ン ド ラ イ ン ツール (xpwr) : 『コ マ ン ド ラ イ ン ツール ユーザー ガ イ ド 』 (UG628)
PlanAhead RTL 電力予測
•
『PlanAhead ユーザー ガ イ ド 』 (UG632) (第 5 章 「RTL デザ イ ン」 の 「消費電力予測」 )
サポー ト およびその他
•
シ リ コ ン、 ソ フ ト ウ ェ ア、 IP に関する 問題を ア ンサー デー タ ベース で検索 し た り 、 テ ク ニ カ
ル サポー ト の ウ ェ ブ ケース を開 く には、 次のザ イ リ ン ク ス ウ ェ ブサ イ ト にア ク セ ス し て く だ
さ い。
http://japan.xilinx.com/support
•
内部 リ ソ ースお よ び I/O リ ソ ース の機能 :
http://japan.xilinx.com/documentation の [デバ イ ス ] タ ブで [FPGA デバ イ ス フ ァ ミ リ ] を
ク リック
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
japan.xilinx.com
53
付録 A : その他の リ ソ ース
54
japan.xilinx.com
消費電力手法ガ イ ド
UG786 (v13.1) 2011 年 3 月 1 日
Fly UP