...

Spartan-6 ファミリ概要

by user

on
Category: Documents
12

views

Report

Comments

Transcript

Spartan-6 ファミリ概要
11
Spartan-6 フ ァ ミ リ 概要
DS160 (v2.0) 2011 年 10 月 25 日
Product 製品仕様
概要
Spartan®-6 フ ァ ミ リ は、 量産アプ リ ケーシ ョ ンに最 も 低い総 コ ス ト で最先端のシ ス テ ム統合機能を提供 し ます。 こ の フ ァ ミ リ は 13 の
製品で構成 さ れてお り 、 集積度は 3,840 ~ 147,443 ロ ジ ッ ク セル と 広範囲で、 前世代 Spartan フ ァ ミ リ の半分の消費電力で さ ら に高速
かつ包括的な コ ネ ク テ ィ ビ テ ィ を提供 し ます。 Spartan-6 フ ァ ミ リ は、 コ ス ト 、 消費電力、 性能を最適なバ ラ ン ス で提供する ために、 実
績あ る 45nm の低消費電力銅配線プ ロ セ ス技術を採用 し 、 新 し く 、 効率性の増 し たデ ュ アル レ ジ ス タ 6 入力 LUT (ル ッ ク ア ッ プ テー
ブル) ロ ジ ッ ク お よ び内蔵型のシ ス テ ム レベル ブ ロ ッ ク を豊富に装備 し てい ま す。 こ れ ら のブ ロ ッ ク には、 18Kb (2 x 9Kb) ブ ロ ッ ク
RAM、 第 2 世代 DSP48A1 ス ラ イ ス、 SDRAM メ モ リ コ ン ト ロ ー ラ ー、 機能強化 さ れた多様なモー ド の ク ロ ッ ク マネージ メ ン ト ブ
ロ ッ ク 、 SelectIO™ テ ク ノ ロ ジ、 消費電力を低減 し た高速シ リ アル ト ラ ン シーバー ブ ロ ッ ク 、 PCI Express® に準拠 し たエン ド ポ イ ン
ト ブ ロ ッ ク 、 高度なシ ス テ ム レベルの電力管理モー ド 、 自動検出コ ン フ ィ ギ ュ レーシ ョ ン オプシ ョ ン、 AES と Device DNA に よ る 強
化 さ れた IP セキ ュ リ テ ィ が含ま れ ます。 こ の よ う な機能に よ り 、 ASIC に代わ る 、 使用が非常に簡単な低 コ ス ト のプ ロ グ ラ マブル デ
バ イ ス が実現 さ れてい ます。 Spartan-6 FPGA は、 量産 ロ ジ ッ ク デザ イ ン、 カ ス タ マー指向の DSP デザ イ ン、 コ ス ト を重視す る エンベ
デ ッ ド アプ リ ケーシ ョ ンに最高の ソ リ ュ ーシ ョ ン を提供 し ます。 こ の Spartan-6 FPGA は、 ソ フ ト ウ ェ ア と ハー ド ウ ェ ア コ ン ポーネ ン
ト が 1 つに包括 し て提供 さ れ、 設計者が開発の初期段階か ら デザ イ ンに独自の価値を付加す る 作業に集中で き る よ う にす る タ ーゲ ッ ト
デザ イ ン プ ラ ッ ト フ ォームの基盤 と な る プ ロ グ ラ マブル シ リ コ ンです。
Spartan-6 の特長
•
Spartan-6 フ ァ ミ リ
• Spartan-6 LX FPGA : ロ ジ ッ ク 向け
• Spartan-6 LXT FPGA : 高速シ リ アル コ ネ ク テ ィ ビ テ ィ
向け
•
•
低消費電力に最適化
• 複数の効率的な統合ブ ロ ッ ク
• 最適かつ多様な I/O 規格のサポー ト
•
ス タ ッ ガー ド パ ッ ド を採用
• 量産プ ラ ス チ ッ ク ワ イ ヤ ボ ン ド パ ッ ケージ
ス タ テ ィ ッ ク お よ びダ イ ナ ミ ッ ク 消費電力の低減
低 コ ス ト 、 低消費電力に最適化 さ れた 45nm プ ロ セ ス
電力を消費 し ないハ イ バネー ト 電源モー ド
複数ピ ンでの ウ ェー ク ア ッ プ、制御に よ っ て ス テー ト と
コ ン フ ィ ギ ュ レーシ ョ ン を維持す る サ スペン ド モー ド
• 低消費電力の 1.0V コ ア電圧 (LX FPGA、 -1L のみ)
• 高性能 1.2V コ ア電圧 (LX お よ び LXT FPGA、 -2、 -3、
お よ び -3N ス ピー ド グ レー ド )
•
•
•
•
•
複数電圧、複数規格に対応す る SelectIO™ イ ン タ ーフ ェ イ ス
バン ク
• 差動 I/O 当た り 最大 1,080Mb/s のデー タ 転送速度
•
ピ ン当た り 最大 24mA ま で出力駆動能力を選択可能
• 3.3V ~ 1.2V の I/O 規格お よ びプ ロ ト コ ル
• 低 コ ス ト HSTL お よ び SSTL メ モ リ イ ン タ ーフ ェ イ ス
•
ホ ッ ト ス ワ ッ プに準拠
• I/O スルー レー ト が調整可能であ る ため、シ グナル イ ン
テ グ リ テ ィ が向上
•
高速 イ ン タ ーフ ェ イ ス : シ リ アル ATA、Aurora、1G イ ー
サネ ッ ト 、PCI Express、OBSAI、CPRI、EPON、GPON、
DisplayPort、 XAUI
•
PCI Express 用エン ド ポ イ ン ト ブ ロ ッ ク の統合 (LXT)
•
32 お よ び 64 ビ ッ ト 、 33MHz の仕様に準拠 し た低 コ ス ト の
統合 PCI® テ ク ノ ロ ジのサポー ト
•
効率的な DSP48A1 ス ラ イ ス
• 高性能な演算お よ び信号処理
• 高速の 18 x 18 乗算器お よ び 48 ビ ッ ト ア キ ュ ム レー タ
• パ イ プ ラ イ ン化お よ びカ ス ケー ド 機能
•
フ ィ ル ター アプ リ ケーシ ョ ン をサポー ト する前置加算器
•
メ モ リ コ ン ト ロ ー ラ ー ブ ロ ッ ク の統合
DDR、 DDR2、 DDR3、 LPDDR のサポー ト
最大デー タ レー ト 800Mb/s (最大 12.8Gb/s の帯域幅)
独立 し た FIFO を備えた複数ポー ト バ ス ス ト ラ ク チ ャ
に よ り 、 タ イ ミ ン グの問題を軽減
•
•
•
•
ロ ジ ッ ク 容量が増加 し た豊富な ロ ジ ッ ク リ ソ ース
シ フ ト レ ジ ス タ /分散 RAM オプシ ョ ン
効率的な 6 入力 LUT に よ る 性能向上 と 消費電力削減
パ イ プ ラ イ ン ベース のアプ リ ケーシ ョ ン用のデ ュ アル
フ リ ッ プ フ ロ ッ プ を備えた LUT
•
•
•
•
広範囲な粒度のブ ロ ッ ク RAM
• Byte Write Enable が可能な高速ブ ロ ッ ク RAM
• オプシ ョ ン で 2 つの独立 し た 9Kb ブ ロ ッ ク RAM と し
て使用可能な 18Kb ブ ロ ッ ク
高速 GTP シ リ アル ト ラ ン シーバーを搭載 し た LXT FPGA
最高 3.2Gb/s
•
© 2009–2011 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States
and other countries. PCI, PCIe and PCI Express are trademarks of PCI-SIG and used under license. All other trademarks are the property of their respective owners.
DS160 (v2.0) 2011 年 10 月 25 日
Product 製品仕様
japan.xilinx.com
1
Spartan-6 フ ァ ミ リ 概要
•
CMT ( ク ロ ッ ク マネージ メ ン ト タ イ ル) に よ る 性能の向上
• 低 ノ イ ズで、 柔軟な ク ロ ッ キ ン グ
•
ク ロ ッ ク ス キ ュ ーお よ びデ ュ ーテ ィ サ イ ク ルの歪みを
軽減す る デジ タ ル ク ロ ッ ク マネージ ャ (DCM)
• 低ジ ッ タ ーの ク ロ ッ キ ン グ を実現す る 位相 ロ ッ ク ルー
プ (PLL)
• 乗算、 除算、 位相シ フ ト を同時に実行で き る 周波数合成
• 16 個の低ス キ ュ ー グ ロ ーバル ク ロ ッ ク ネ ッ ト ワ ー ク
•
•
•
コ ン フ ィ ギ ュ レーシ ョ ンの単純化 と 低 コ ス ト 規格のサポー ト
• 2 ピ ンでの自動検出 コ ン フ ィ ギ ュ レーシ ョ ン
• サー ド パーテ ィ の SPI (最大 x4) お よ び NOR フ ラ ッ
シ ュ を広範囲でサポー ト
機能が豊富なザ イ リ ン ク ス プ ラ ッ ト フ ォーム フ ラ ッ
シ ュ (JTAG を使用)
複数の ビ ッ ト ス ト リ ー ム を 用い た リ モー ト での ア ッ プ
デー ト を マルチブー ト 機能でサポー ト ( ウ ォ ッ チ ド ッ グ
保護機能を使用)
•
強化 さ れたセキ ュ リ テ ィ に よ る デザ イ ン保護
• デザ イ ン認証に固有の Device DNA 識別子を使用
• 大型のデバ イ ス では AES ビ ッ ト ス ト リ ーム を暗号化
•
向上 し た低 コ ス ト の MicroBlaze™ ソ フ ト プ ロ セ ッ サを使
用 し た高速エンベデ ッ ド プ ロ セ ッ シ ン グ
•
業界最先端の IP お よ び リ フ ァ レ ン ス デザ イ ン
Spartan-6 FPGA の機能
表 1 : Spartan-6 FPGA デバイ スの機能一覧
コ ン フ ィ ギ ャ ラ ブル ロ ジ ッ ク
ブ ロ ッ ク (CLB)
デバイ ス
ロジ ッ ク
セル(1)
DSP48A1
スライス
スライス
(2)
フリ ップ
フロップ
RAM (Kb)
最大分散
(3)
PCI
ブ ロ ッ ク RAM
ブロ ッ ク
18Kb(4)
メモリ
Express 用
コン ト
エン ド
CMT(5) ロー ラ ー
ポイ ン ト
ブロ ッ ク
ブ
ロ
ッ
ク
最大 (Kb)
PCI
(最大数)(6)
最大
合計
シーバー
バン ク
GTP ト ラ ン
I/O
最大
ユー
ザー
I/O
Express
XC6SLX4
3,840
600
4,800
75
8
12
216
2
0
0
0
4
132
XC6SLX9
9,152
1,430
11,440
90
16
32
576
2
2
0
0
4
200
XC6SLX16
14,579
2,278
18,224
136
32
32
576
2
2
0
0
4
232
XC6SLX25
24,051
3,758
30,064
229
38
52
936
2
2
0
0
4
266
XC6SLX45
43,661
6,822
54,576
401
58
116
2,088
4
2
0
0
4
358
XC6SLX75
74,637
11,662
93,296
692
132
172
3,096
6
4
0
0
6
408
XC6SLX100
101,261
15,822
126,576
976
180
268
4,824
6
4
0
0
6
480
XC6SLX150
147,443
23,038
184,304
1,355
180
268
4,824
6
4
0
0
6
576
XC6SLX25T
24,051
3,758
30,064
229
38
52
936
2
2
1
2
4
250
XC6SLX45T
43,661
6,822
54,576
401
58
116
2,088
4
2
1
4
4
296
XC6SLX75T
74,637
11,662
93,296
692
132
172
3,096
6
4
1
8
6
348
XC6SLX100T
101,261
15,822
126,576
976
180
268
4,824
6
4
1
8
6
498
XC6SLX150T
147,443
23,038
184,304
1,355
180
268
4,824
6
4
1
8
6
540
注記 :
1.
2.
3.
4.
5.
6.
Spartan-6 FPGAの ロ ジ ッ ク セル数は、 新 し い 6 入力 LUT アーキ テ ク チ ャ に よ っ て強化 さ れた ロ ジ ッ ク セル機能を反映 さ せて カ ウ ン ト さ れてい
ます。
各 Spartan-6 ス ラ イ ス には、 4 つの LUT と 8 つの フ リ ッ プ フ ロ ッ プが含まれます。
各 DSP48A1 ス ラ イ ス には、 18 x 18 乗算器、 加算器、 ア キ ュ ーム レー タ が 1 つずつ含ま れます。
ブ ロ ッ ク RAM の基本的なサ イ ズは 18Kb です。 各ブ ロ ッ ク は、 2 つの独立 し た 9Kb ブ ロ ッ ク と し て も 使用で き ます。
各 CMT には、 2 つの DCM と 1 つの PLL が含ま れます。
メ モ リ コ ン ト ロ ー ラ ー ブ ロ ッ ク は、 ス ピー ド グ レー ド -3N ではサポー ト さ れてい ません。
DS160 (v2.0) 2011 年 10 月 25 日
Product 製品仕様
japan.xilinx.com
2
Spartan-6 フ ァ ミ リ 概要
Spartan-6 FPGA デバイ ス と パ ッ ケージの組み合わせ と 最大 I/O 数
表 2 に、 Spartan-6 FPGA のデバ イ ス と パ ッ ケージの各組み合わせで利用可能な I/O お よ び GTP ト ラ ン シーバー数を示 し ます。 ト ラ ン
シーバーの有無に よ り 、 LX と LXT ではピ ン配置に互換性があ り ません。
表 2 : Spartan-6 FPGA のデバイ ス と パ ッ ケージの組み合わせ と 使用可能な最大 I/O 数
パ ッ ケージ
CPG196(1)
TQG144(1)
CSG225(2)
FT(G)256(3)
CSG324
FG(G)484(3,4)
CSG484(4)
FG(G)676(3)
FG(G)900(3)
本体 (mm)
8x8
20 x 20
13 x 13
17 x 17
15 x 15
23 x 23
19 x 19
27 x 27
31 x 31
ピ ッ チ (mm)
0.5
0.5
0.8
1.0
0.8
1.0
0.8
1.0
1.0
ユーザー I/O
GTP
ユー
ザー
160
186
NA
200
160
186
NA
232
ユーザー I/O
ユーザー I/O
ユーザー I/O
XC6SLX4
106
102
132
XC6SLX9
106
102
XC6SLX16
106
デバイ ス
XC6SLX25
I/O
GTP
ユー
ザー
GTP
ユー
ザー
I/O
I/O
NA
226
NA
266
NA
218
NA
316
NA
320
NA
358
XC6SLX75
NA
280
NA
328
NA
408
XC6SLX100
NA
326
NA
338
NA
480
NA
338
NA
498
XC6SLX45
186
I/O
GTP
ユー
ザー
XC6SLX150
GTP
ユー
ザー
NA
576
I/O
NA
338
XC6SLX25T
2
190
2
250
XC6SLX45T
4
190
4
296
4
296
XC6SLX75T
4
268
4
292
8
348
XC6SLX100T
4
296
4
296
8
376
8
498
XC6SLX150T
4
296
4
296
8
396
8
540
注記 :
1. こ れ ら のデバ イ ス には メ モ リ コ ン ト ロ ー ラ ーは搭載 さ れてい ません。
2. XC6SLX9 お よ び XC6SLX16 デバ イ ス の CSG225 パ ッ ケージでサポー ト さ れ る メ モ リ コ ン ト ロ ー ラ ーは x8 です。 XC6SLX4 デバ イ ス に メ モ リ
コ ン ト ロ ー ラ ーは搭載 さ れてい ません。
3.
4.
こ れ ら のデバ イ ス は、 標準の注文オプシ ョ ン と し て鉛パ ッ ケージ と 鉛フ リ ー パ ッ ケージ (G を追加) の両方で提供 さ れてい ます。
こ れ ら のパ ッ ケージの XC6SLX75、 XC6SLX75T、 XC6SLX100、 XC6SLX100T、 XC6SLX150、 XC6SLX150T デバ イ ス では、 4 つの メ モ リ コ
ン ト ロ ー ラ ー う ち、 2 つがサポー ト さ れます。
コ ン フ ィ ギ ュ レーシ ョ ン
Spartan-6 FPGA では、 カ ス タ マ イ ズ し た コ ン フ ィ ギ ュ レーシ ョ ン デー タ を SRAM タ イ プの内部 ラ ッ チに格納 し ます。 コ ン フ ィ ギ ュ
レーシ ョ ン ビ ッ ト 数は、 デバ イ ス のサ イ ズ と ユーザー デザ イ ンの イ ンプ リ メ ン テーシ ョ ン オプシ ョ ンに依存 し 、 3Mb ~ 33Mb です。
コ ン フ ィ ギ ュ レーシ ョ ン デー タ は揮発性の媒体に格納 さ れ る ため、 FPGA への電源投入ご と に再 ロ ー ド する 必要があ り ます。 格納 さ れ
たデー タ は、 PROGRAM_B ピ ン を Low にす る こ と で、 随時再 ロ ー ド で き ます。 コ ン フ ィ ギ ュ レーシ ョ ン デー タ の ロ ー ド には、 複数
の方法 と デー タ 形式が利用可能です。
ビ ッ ト シ リ アル コ ン フ ィ ギ ュ レーシ ョ ンは、 FPGA が コ ン フ ィ ギ ュ レーシ ョ ン ク ロ ッ ク (CCLK) 信号を生成す る マ ス タ ー シ リ アル
モー ド か、外部コ ン フ ィ ギ ュ レーシ ョ ン デー タ ソ ース が FPGA への ク ロ ッ ク も 供給する ス レーブ シ リ アル モー ド のいずれかで実行で
き ます。 バ イ ト 幅の コ ン フ ィ ギ ュ レーシ ョ ンにおけ る 転送は 8 お よ び 16 ビ ッ ト 幅で、 マ ス タ ー SelectMAP モー ド では CCLK 信号を
生成 し 、 ス レーブ SelectMAP モー ド では CCLK 信号を受信 し ます。 マ ス タ ー シ リ アル モー ド では、 オプシ ョ ン で ビ ッ ト ス ト リ ーム
の開始に よ っ て ク ロ ッ キ ン グ ソ ース を内部 ク ロ ッ ク よ り も 高速で正確な外部 ク ロ ッ ク に切 り 替え る こ と がで き ます。 JTAG ピ ン も 利用
可能で、 バ ウ ン ダ リ ス キ ャ ン プ ロ ト コ ルを用いて ビ ッ ト シ リ アルの コ ン フ ィ ギ ュ レーシ ョ ン デー タ を ロ ー ド し ます。
DS160 (v2.0) 2011 年 10 月 25 日
Product 製品仕様
japan.xilinx.com
3
Spartan-6 フ ァ ミ リ 概要
ビ ッ ト ス ト リ ーム コ ン フ ィ ギ ュ レーシ ョ ン の情報は、 ISE® ソ フ ト ウ ェ アの BitGen と い う プ ロ グ ラ ム に よ っ て生成 さ れ ま す。 通常、
コ ン フ ィ ギ ュ レーシ ョ ン プ ロ セ スは次の順序で実行 さ れます。
•
電源が投入 さ れた (パ ワーオン リ セ ッ ト )、 ま たは PROGRAM_B が Low にな っ た こ と を検出
•
コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ 全体を ク リ ア
•
モー ド ピ ン をサンプル し て コ ン フ ィ ギ ュ レーシ ョ ン モー ド を特定 : マ ス タ ー / ス レーブ、 ビ ッ ト シ リ アル/パ ラ レル
•
コ ン フ ィ ギ ュ レーシ ョ ン デー タ を ロ ー ド : バ ス幅の検出パ タ ーンか ら 開始 し 、 同期ワー ド 、 適切なデバ イ ス コ ー ド を確認 し て、 最
後にビ ッ ト ス ト リ ーム全体の CRC (巡回冗長検査) で完了
•
ユーザー定義の一連の イ ベン ト を開始 : フ リ ッ ププ ロ ッ プの内部 リ セ ッ ト ( ま たはプ リ セ ッ ト ) の リ リ ース、 DCM ま たは PLL、 あ
る いは こ れ ら 両方の ロ ッ ク を待機 ( オプシ ョ ン )、 出力 ド ラ イ バの起動、 DONE ピ ンの High 駆動
FPGA の コ ン フ ィ ギ ュ レーシ ョ ンには、主にマ ス タ ー シ リ アル ペ リ フ ェ ラ ル イ ン タ ーフ ェ イ ス (SPI) お よ びマ ス タ ー バ イ ト ワ イ ド ペ
リ フ ェ ラ ル イ ン タ ーフ ェ イ ス (BPI) の 2 つの方法が使用 さ れ ます。 Spartan-6 FPGA は、 業界標準のSPI シ リ アル フ ラ ッ シ ュ PROM
に直接接続 し てセルフ コ ン フ ィ ギ ュ レーシ ョ ン し ます。 ま た、 パ ラ レル NOR フ ラ ッ シ ュ に接続する 場合には、 BPI を使用 し てセルフ
コ ン フ ィ ギ ュ レーシ ョ ン可能です。 Spartan-6 ただ し 、 XC6SLX4、 XC6SLX25、 XC6SLX25T デバ イ ス では BPI コ ン フ ィ ギ ュ レーシ ョ
ンはサポー ト さ れてお ら ず、 TQG144 お よ び CPG196 パ ッ ケージの Spartan-6 FPGA で BPI は使用で き ません。
Spartan-6 FPGA は、2 つ以上の FPGA コ ン フ ィ ギ ュ レーシ ョ ン ビ ッ ト ス ト リ ーム を 1 つの コ ン フ ィ ギ ュ レーシ ョ ン ソ ース に保存で き
る マルチブー ト コ ン フ ィ ギ ュ レーシ ョ ン を サポー ト し てい ます。 後続 し て読み込む コ ン フ ィ ギ ュ レーシ ョ ン ビ ッ ト ス ト リ ーム の選択
やその タ イ ミ ン グは FPGA アプ リ ケーシ ョ ンが制御 し ます。
ま た、 Spartan-6 FPGA には、 ト ラ ッ キ ン グ、 デザ イ ンの複製防止、 IP の保護を目的 と し た Device DNA があ ら か じ め設定 さ れてい ま
す。 最 も 大型のデバ イ ス では、 ビ ッ ト ス ト リ ームが不正に コ ピー さ れ る こ と を防ぐ ため、 AES 暗号が用い ら れてい ます。
リードバッ ク
ほ と ん ど の コ ン フ ィ ギ ュ レーシ ョ ン デー タ は、 シ ス テ ムの動作に影響を及ぼす こ と な く リ ー ド バ ッ ク で き ます。
CLB、 ス ラ イ ス、 お よび LUT
Spartan-6 FPGA の各 コ ン フ ィ ギ ャ ラ ブル ロ ジ ッ ク ブ ロ ッ ク (CLB) は、 2 つの垂直カ ラ ム の一部 と し て並列す る 2 つの ス ラ イ ス で構
成 さ れてい ます。 Spartan-6 アーキ テ ク チ ャ には、 SLICEM、 SLICEL、 お よ び SLICEX の 3 種類の CLB ス ラ イ ス があ り ます。 各ス ラ
イ ス には、 4 つの LUT、 8 つの フ リ ッ プ フ ロ ッ プ、 その他の ロ ジ ッ ク が含まれます。 LUT は汎用の組み合わせ ロ ジ ッ ク お よ びシーケ ン
シ ャ ル ロ ジ ッ ク に使用 さ れます。 合成ツールでは、 こ の よ う な高効率の ロ ジ ッ ク 、 演算、 お よ び メ モ リ 機能を活か し た合成が実行 さ れ
ます。 さ ら に、 経験の豊富な設計者は こ れ ら を イ ン ス タ ン シエー ト す る こ と も 可能です。
SLICEM
Spartan-6 FPGA の ス ラ イ ス の 4 分の 1 (25%) が SLICEM です。4 つの SLICEM LUT はそれぞれ、出力を 1 つ備えた 6-入力 LUT か、
5 ビ ッ ト の同一ア ド レ ス と 2 つの独立 し た出力を持つデ ュ アル 5 入力 LUT と し て構成で き ます。 こ れ ら の LUT は、 64 ビ ッ ト の分散
RAM (1 つの LUT が 64 ビ ッ ト の RAM 1 つ、 ま たは 32 ビ ッ ト の RAM 2 つ と な る )、 32 ビ ッ ト シ フ ト レ ジ ス タ (SRL32) 1 つ、 ま た
はア ド レ ス指定可能な 16 ビ ッ ト のシ フ ト レ ジ ス タ (SRL16) 2 つ と し て使用で き ます。 各 LUT 出力は、 CLB 内の フ リ ッ プ フ ロ ッ プで
ラ ッ チで き ます。 演算処理では、 高速のキ ャ リ ー チ ェーンが ス ラ イ ス カ ラ ム内で上方に向けて キ ャ リ ー信号を伝播 し ます。
SLICEL
Spartan-6 FPGA ス ラ イ ス の 4 分の 1 (25%) が SLICEL です。 メ モ リ お よ びシ フ ト レ ジ ス タ 機能を除 く SLICEM の全機能を備え てい
ます。
SLICEX
Spartan-6 FPGA ス ラ イ ス の 2 分の 1 (50%) が SLICEX です。 SLICEX の構造は、 演算キ ャ リ ー オプシ ョ ンお よ び多入力マルチプ レ
ク サーを除き SLICEL と 同 じ です。
DS160 (v2.0) 2011 年 10 月 25 日
Product 製品仕様
japan.xilinx.com
4
Spartan-6 フ ァ ミ リ 概要
ク ロ ッ ク 管理
各 Spartan-6 FPGA は、 最大 6 つの CMT を含みます。 1 つの CMT は、 個別にあ る いは結合 し て使用で き る 2 つの DCM お よ び 1 つ
の PLL で構成 さ れてい ます。
DCM
DCM は入力周波数 (CLKIN) の位相を 0°、 90°、 180°、 270°(CLK0、 CLK90、 CLK180、 CLK270) シ フ ト さ せた 4 つ ク ロ ッ ク を生成
で き 、 周波数が 2 倍の CLK2X と それを反転 し た CLK2X180 も 生成で き ます。 CLKDV は周波数を分周 し た ク ロ ッ ク を提供 し 、 こ れ
は CLK0 と 同位相にで き ます。 分周比は 2 ~ 16 の各整数、 お よ び 1.5、 2.5、 3.5...、 7.5 に設定で き ます。 ま た、 CLKIN はオプシ ョ
ン と し て 2 で分周で き ます。 ク ロ ッ ク 信号が CLKIN を駆動 し 、 CLK0 出力が CLKFB 入力に戻る 場合、 DCM は遅延な し の ク ロ ッ ク
バ ッ フ ァ ー と な り ます。
周波数合成
基本的な DCM 機能 と は別に、 DCM 入力周波数 (FIN) を M (2 ~ 32 の整数) で逓倍 し 、 同時に D (1 ~ 32 の整数) で分周 し た出力周波
数を出力す る よ う 、 周波数合成出力 CLKFX お よ び CLKFX180 を プ ロ グ ラ ムで き ます。
位相シ フ ト
CLK0 が CLKFB に接続 さ れてい る 状態で、 9 つの CLK の出力すべて (CLK0、 CLK90、 CLK180、 CLK270、 CLK2X、 CLK2X180、
CLKDV、 CLKFX、 CLKFX180) は、 固定遅延の整数倍で定義 さ れた共通の数値でシ フ ト で き ます。 DCM の固定遅延値 (入力周期の
分数) は コ ン フ ィ ギ ュ レーシ ョ ンで設定で き 、 動的に イ ン ク リ メ ン ト ま たはデ ク リ メ ン ト 可能です。
スペ ク ト ラ ム拡散ク ロ ッ ク
『Spartan-6 FPGA デー タ シー ト : DC 特性お よ びス イ ッ チ特性』 に記載 さ れた入力 ク ロ ッ ク 仕様に従っ てい る 場合、DCM は一般的な ス
ペ ク ト ラ ム拡散 ク ロ ッ ク 入力を受信お よ び ト ラ ッ ク で き ます。 Spartan-6 FPGA は、 標準の固定周波数オシ レー タ ーか ら の スペ ク ト ラ
ム拡散 ク ロ ッ ク を生成 し ます。
PLL
PLL は、 DCM と 併用 し た場合には入力 ク ロ ッ ク の広範な周波数の合成回路お よ びジ ッ タ ー フ ィ ル タ ー と し ての機能を提供 し ま す。
PLL の中心は周波数範囲が 1 オ ク タ ーブ以上の 400MHz ~ 最大 1,080MHz の VCO (電圧制御オシ レー タ ー ) です。 ま た、 PLL には
3 つのプ ロ グ ラ ム可能な周波数分周回路 (D、 M、 O) があ り 、 必要な場合はアプ リ ケーシ ョ ンに VCO を適用 し ます。
Pre-Divider (前置分周器) D ( コ ン フ ィ ギ ュ レーシ ョ ン でプ ロ グ ラ ム可能) は入力周波数を低減 さ せ、 従来の PLL 位相 コ ンパレー タ ーの
入力 1 つを供給 し ます。 フ ィ ー ド バ ッ ク 分周器 ( コ ン フ ィ ギ ュ レーシ ョ ンでプ ロ グ ラ ム可能) は、 位相 コ ンパレー タ ーのその他の入力を
供給する 前に VCO 出力周波数を分周す る ため、 乗算器 と し て機能 し ます。 D お よ び M は、 VCO が制御可能な周波数範囲内 と な る よ
う に適切に選択す る 必要があ り ます。
VCO には 等分 さ れた 8 つの出力位相 (0°、 45°、 90°、 135°、 180°、 225°、 270°、 315°) があ り 、 それぞれが O0 ~ O5 の 6 つの出力
分周器の 1 つを駆動す る よ う 選択で き ます。 こ れ ら の各分周器は、 1 ~ 128 の任意の整数で分周す る よ う に コ ン フ ィ ギ ュ レーシ ョ ン で
プ ロ グ ラ ム可能です。
ク ロ ッ ク分配
各 Spartan-6 FPGA は、 大 き い フ ァ ン ア ウ ト 、 短い伝播遅延、 非常に低い ス キ ュ ー と いっ た異な る ク ロ ッ ク 要件を満たすため、 豊富な
ク ロ ッ ク ラ イ ン を提供 し てい ます。
グ ローバル ク ロ ッ ク ラ イ ン
各 Spartan-6 FPGA が備え る 16 のグ ロ ーバル ク ロ ッ ク ラ イ ンは最大の フ ァ ン ア ウ ト を提供 し 、すべての フ リ ッ プ フ ロ ッ プ ク ロ ッ ク に
到達 し ます。 こ れ ら の ラ イ ンは、 グ ロ ーバル ク ロ ッ ク バ ッ フ ァ ーで駆動で き る だけでな く 、 グ リ ッ チな し で ク ロ ッ ク を多重伝送 し た
り 、 ク ロ ッ ク イ ネーブル と し ての機能を果た し ます。 グ ロ ーバル ク ロ ッ ク は、 通常 CMT か ら 駆動 さ れ る ため、 基本的な ク ロ ッ ク 分散
遅延が完全に削除 さ れます。
I/O ク ロ ッ ク
I/O ク ロ ッ ク は非常に高速で、 ロ ーカルの入力 と 出力遅延回路お よ び I/O のシ リ ア ラ イ ザー /デシ リ ア ラ イ ザー (SERDES) 回路にのみ
使用 し ます ( 「I/O ロ ジ ッ ク 」 を参照)。
DS160 (v2.0) 2011 年 10 月 25 日
Product 製品仕様
japan.xilinx.com
5
Spartan-6 フ ァ ミ リ 概要
ブ ロ ッ ク RAM
すべて の Spartan-6 FPGA に 12 ~ 268 個のデ ュ アル ポー ト ブ ロ ッ ク RAM が あ り 、 それぞれが 18Kb を格納 し ま す。 各 ブ ロ ッ ク
RAM には、 格納 さ れたデー タ のみを共有す る 完全に独立 し た 2 つのポー ト があ り ます。
同期動作
読み出 し ま たは書 き 込みに関わ ら ず、 メ モ リ へのア ク セ ス は ク ロ ッ ク で制御 さ れます。 すべての入力、 デー タ 、 ア ド レ ス、 ク ロ ッ ク イ
ネーブル、 ラ イ ト イ ネーブルには レ ジ ス タ が付いてい ます。 デー タ 出力は常に ラ ッ チ さ れ、 次の動作ま でデー タ を保持 し ま す。 オプ
シ ョ ン と し ての出力デー タ のパ イ プ ラ イ ン レ ジ ス タ は、 1 サ イ ク ル分の レ イ テ ン シが増加する 代わ り に、 よ り 高い ク ロ ッ ク レー ト での
動作を可能に し ます。
デュ アル ポー ト モー ド での書 き 込み動作中、デー タ 出力は前に保存 さ れたデー タ ま たは新たに書 き 込まれたデー タ を反映 さ せ る か、変
更な し でその ま ま維持す る こ と がで き ます。
プ ログ ラ ム可能なデー タ 幅
•
各ポー ト は、 16K × 1、 8K × 2、 4K × 4、 2K × 9 ( ま たは 8)、 1K × 18 ( ま たは 16)、 512 x 36 ( ま たは 32) のいずれかに設定で き ます。
•
x9、 x18、 x36 のポー ト 幅にはパ リ テ ィ ビ ッ ト が含まれます。 2 つのポー ト には別々の比率を設定で き ます。
•
各ブ ロ ッ ク RAM は、 完全に独立 し た 2 つの 9Kb ブ ロ ッ ク RAM に分割で き 、 それぞれを 8K x 1 ~ 512 x 18 の任意のア スペ ク
ト 比で、 シ ンプル デ ュ アルポー ト モー ド では 256 x 36 で構成で き ます。
メ モ リ コ ン ト ロー ラ ーブ ロ ッ ク
ほ と ん ど の Spartan-6 デバ イ ス には、 専用の メ モ リ コ ン ト ロ ー ラ ー ブ ロ ッ ク (MCB) が含 ま れ ま す。 各 MCB は、 シ ン グ ル チ ッ プ
DRAM (DDR、 DDR2、 DDR3、 ま たは LPDDR) を タ ーゲ ッ ト と し 、 最大 800Mb/s のア ク セ ス レー ト をサポー ト し ます。
MCB には、 あ ら か じ め定義 さ れた FPGA I/O への専用配線が備わ っ てい ます。 MCB が未使用の場合、 こ れ ら の I/O は汎用 FPGA I/O
と し て利用で き ます。 メ モ リ コ ン ト ロ ー ラ ーは、 Spartan-6 FPGA 内部の ロ ジ ッ ク への、 完全なマルチ ポー ト の調整済み イ ン タ ーフ ェ
イ ス を提供 し ます。 従来の FIFO 制御信号を使用 し て、 独立 し た内蔵 FIFO に コ マ ン ド を プ ッ シ ュ 、 お よ びデー タ を プ ッ シ ュ あ る いは
プルで き ます。 マルチ ポー ト の メ モ リ コ ン ト ロ ー ラ ーは さ ま ざ ま な手法で設定で き ます。 内部の 32、 64、 ま たは 128 ビ ッ ト イ ン タ ー
フ ェ イ ス は、 MCB への単純で信頼性の高い イ ン タ ーフ ェ イ ス です。
MCB は 4、 8、 ま たは 16 ビ ッ ト の外部 DRAM に接続可能です。 幅が広 く 、 低周波数で ク ロ ッ ク さ れていた従来の内部デー タ バ ス と
比較する と 、MCB は多様な アプ リ ケーシ ョ ンに対 し て、 よ り 高速な DRAM イ ン タ ーフ ェ イ ス を提供 し ます。 FPGA ロ ジ ッ ク イ ン タ ー
フ ェ イ ス は、 物理的な メ モ リ デバ イ ス と 関係な く 柔軟に設定可能です。 MCB の機能は、 ス ピー ド グ レー ド -3N ではサポー ト さ れて
い ません。
デジ タ ル信号処理— DSP48A1 ス ラ イ ス
DSP アプ リ ケーシ ョ ンは、 専用の DSP ス ラ イ ス に最適に実装 さ れた多数のバ イ ナ リ 乗算器お よ びア キ ュ ーム レー タ を使用 し ます。 す
べての Spartan-6 FPGA は、 専用で完全にカ ス タ マ イ ズ さ れた低消費電力 DSP ス ラ イ ス を数多 く 装備 し 、 シ ス テ ム デザ イ ンの柔軟性
を維持 し なが ら 、 高速処理お よ び小型化を実現 し てい ます。
各 DSP48A1 ス ラ イ ス は、 専用の 18 × 18 ビ ッ ト 2 の補数乗算器お よ び 48 ビ ッ ト ア キ ュ ム レ ー タ で構成 さ れ、 こ れ ら は共に最大
390MHz で動作可能な性能を持ち ます。 こ の DSP48A1 ス ラ イ ス は多数のパ イ プ ラ イ ンお よ び拡張性能を提供 し 、 デジ タ ル信号処理だ
けでな く その他多 く のアプ リ ケーシ ョ ンで速度、 そ し て効率性を向上 さ せます。 こ の よ う な アプ リ ケーシ ョ ンには、 バ ス幅の広いダ イ
ナ ミ ッ ク シ フ タ ー、 メ モ リ ア ド レ ス ジ ェ ネ レー タ ー、 多入力マルチプ レ ク サー、 メ モ リ マ ッ プ さ れた I/O レ ジ ス タ フ ァ イ ルが含まれ
ます。 ま た、 ア キ ュ ーム レー タ は同期のア ッ プ/ ダ ウ ン カ ウ ン タ ー と し て も 使用可能です。 乗算器はバレル シ フ タ ー と し て も 使用可能
です。
DS160 (v2.0) 2011 年 10 月 25 日
Product 製品仕様
japan.xilinx.com
6
Spartan-6 フ ァ ミ リ 概要
入力/出力
I/O ピ ン数は、 デバ イ スお よ びパ ッ ケージのサ イ ズに応 じ て 102 ~ 576 です。 各 I/O ピ ンは コ ン フ ィ ギ ュ レーシ ョ ン可能で、 最大 3.3V
ま での さ ま ざ ま な規格に準拠 し てい ます。 『Spartan-6 FPGA SelectIO リ ソ ース ユーザー ガ イ ド 』 には、 さ ま ざ ま な I/O オプシ ョ ンに
おけ る I/O 互換性が記載 さ れてい ます。 電源ピ ンお よ び一部の コ ン フ ィ ギ ュ レーシ ョ ン専用ピ ン を除き 、 すべてのパ ッ ケージ ピ ンは同
一の I/O 機能を持ち、 特定のバン ク 規則に よ っ てのみ制約 さ れます。 ユーザー I/O はすべて双方向で、 入力専用ピ ンはあ り ません。
全 I/O ピ ンはバン ク ご と に分割 さ れてお り 、 小型デバ イ ス は 4 バン ク 、 大型デバ イ ス は 6 バン ク と な っ てい ます。 各バン ク には複数の
共通 VCCO 出力電源電圧ピ ンがあ り 、 こ れ ら は特定の入力バ ッ フ ァ ーに も 電源を供給 し ます。 一部のシ ン グルエン ド 入力バ ッ フ ァ ーに
は、 外部に基準電圧 (VREF) が必要です。 各バン ク にはデ ュ アル目的 VREF-I/O ピ ンがあ り ます。 あ る I/O で VREF 電圧が必要な場合、
こ のバン ク にあ る 各 VREF ピ ンは同 じ 電圧レールに接続す る 必要があ り 、 I/O ピ ン と し ては使用で き ません。
I/O 電気特性
シ ン グルエン ド 出力は従来型の CMOS プ ッ シ ュ /プル出力ス ト ラ ク チ ャ を使用する も ので、 VCCO は High を、 グ ラ ン ド は Low を駆動
し 、 ハ イ イ ン ピーダ ン ス状態 も 可能です。 各 I/O には弱い内部プルア ッ プ ま たはプルダ ウ ン抵抗、 内部分割終端入力抵抗、 出力駆動能
力やスルー レー ト の調整、 差動終端抵抗な ど、 シ ス テ ム設計者がオプシ ョ ン と し て設定で き る な多数の I/O 機能があ り ます。 各 I/O 規
格でサポー ト さ れてい る オプシ ョ ンの詳細は、 『Spartan-6 FPGA SelectIO リ ソ ース ユーザー ガ イ ド 』 を参照 し て く だ さ い。
I/O ロ ジ ッ ク
入力および出力遅延
こ のセ ク シ ョ ン では、 I/O イ ン タ ーフ ェ イ ス に接続 さ れた ロ ジ ッ ク リ ソ ース について説明 し ます。 すべての入力お よ び出力は組み合わ
せ、 ま たはレ ジ ス タ 付 き と し て設定で き 、 ダブル デー タ レー ト (DDR) が全入力お よ び出力でサポー ト さ れてい ます。 -1L ス ピー ド グ
レー ド の場合を除 き 、 すべての入力ま たは出力は最大 256 タ ッ プ分個別に遅延 さ せ る こ と がで き 、 こ れは IODELAY2 と し て イ ンプ リ
メ ン ト さ れます。 同 じ 遅延値がデー タ 入力ま たは出力に適用可能です。 双方向デー タ ラ イ ンの場合、 入力か ら 出力遅延ま での伝送は自
動的に実行 さ れ ます。 -1L ス ピー ド グ レー ド の場合を除 き 、 すべての入力ま たは出力は最大 256 タ ッ プ分個別に遅延 さ せ る こ と がで
き 、 こ れは IODELAY2 と し て イ ンプ リ メ ン ト さ れます。。
タ ッ プ遅延は電源電圧、プ ロ セ ス、お よ び温度に よ っ て異な る ため、オプシ ョ ンの調整 メ カ ニズ ムが各 IODELAY2 に構築 さ れてい ます。
•
精度が さ ら に要求 さ れ る ソ ース同期デザ イ ンでは、 デー タ を I/O ク ロ ッ ク の 1 サ イ ク ル分遅延 さ せ る ために必要な タ ッ プ数が、
キ ャ リ ブ レーシ ョ ン メ カ ニズ ムに よ っ て動的に算出 さ れ ( オプシ ョ ン )、 その値の 50% が IODELAY2 の値 と し て設定 さ れて、 結
果的に I/O ク ロ ッ ク がデー タ ア イ の中央に配置 さ れます。
•
差動入力には、位相検出 メ カ ニズ ムに よ っ て入力デー タ 信号が正確にデー タ ア イ の中央でサンプル さ れてい る か を確認す る 特別な
モー ド があ り ます。 こ の位相検出 ロ ジ ッ ク か ら の結果を使用 し 、 入力遅延を イ ン ク リ メ ン ト あ る いはデ ク リ メ ン ト する こ と で (1 回
1 タ ッ プ)、 非常に高速な ビ ッ ト レー ト で も エ ラ ーのない動作が実現で き ます。
ISERDES および OSERDES
アプ リ ケーシ ョ ンの多 く は、 デバ イ ス内部で高速な ビ ッ ト シ リ アル I/O と よ り 低速なパ ラ レル動作を組み合わせます。 そ し て、 こ れに
は I/O ス ト ラ ク チ ャ 内にシ リ ア ラ イ ザーお よ びデシ リ ア ラ イ ザー (SerDes) が必要 と な り ます。 各入力は、 個別のデシ リ ア ラ イ ザー ( シ
リ アル-パ ラ レル コ ンバー タ ー ) に 2、 3、 4 ビ ッ ト にプ ロ グ ラ ム可能なパ ラ レル幅でア ク セ ス で き ます。 差動入力を使用す る 場合は、 2
つのシ リ ア ラ イ ザーを カ ス ケー ド 接続 し て、 パ ラ レル幅を 5、 6、 7、 ま たは 8 ビ ッ ト にで き ます。 一方、 各出力は個別のシ リ ア ラ イ ザー
(パ ラ レル- シ リ アル コ ンバー タ ー ) に 2、 3、 4 ビ ッ ト にプ ロ グ ラ ム可能なパ ラ レル幅でア ク セ ス で き ます。 差動 ド ラ イ バーを使用す る
場合は、 2 つのシ リ ア ラ イ ザーを カ ス ケー ド 接続 し てバ ス幅を 5、 6、 7、 ま たは 8 ビ ッ ト にで き ます。
ダブル デー タ レ ー ト の ク ロ ッ ク を分配す る 場合、 デ ュ ーテ ィ サ イ ク ルの歪みに よ る ビ ッ ト エ ラ ーを排除す る ため、 すべての SerDes
デー タ は実際にはシ ン グル デー タ レー ト で ク ロ ッ ク 入力/出力 さ れます。 こ の高速のシ ン グル デー タ レー ト ク ロ ッ ク は、 PLL で周波
数を倍にする か、 ダブル デー タ レー ト の入力 ク ロ ッ ク を使用 し てい る 場合は両 ク ロ ッ ク エ ッ ジ を区別する こ と で各 IOB 内で周波数を
2 倍に し た も のです。
DS160 (v2.0) 2011 年 10 月 25 日
Product 製品仕様
japan.xilinx.com
7
Spartan-6 フ ァ ミ リ 概要
低消費電力のギガ ビ ッ ト ト ラ ン シーバー
IC 間、 バ ッ ク プ レーン上、 あ る いは よ り 長距離間の超高速デー タ 転送が、 ますます頻繁に使用 さ れ重要にな っ て き てい ます。 こ の よ う
な転送には、 高デー タ レー ト でのシ グナル イ ン テ グ リ テ ィ の問題に対応す る 専用のオンチ ッ プ回路お よ び差動 I/O が必要 と な り ます。
すべての Spartan-6 LXT デバ イ ス には、 2 ~ 8 個のギガ ビ ッ ト の ト ラ ン シーバー回路が実装 さ れてい ます。 各 GTP ト ラ ン シーバーは、
最高 3.2Gb/s ま でのデー タ レー ト で動作で き る ト ラ ン ス ミ ッ タ ーお よ びレ シーバーの組み合わせで構成 さ れてい ま す。 ト ラ ン ス ミ ッ
タ ーお よ びレ シーバーは個別の PLL を使用す る 独立 し た回路で、 基準周波数入力を プ ロ グ ラ ム可能な 2 ~ 25 の値で逓倍す る こ と で
ビ ッ ト シ リ アル デー タ ク ロ ッ ク を生成 し ま す。 GTP ト ラ ン シーバーそれぞれに、 ユーザーが定義可能な多数の機能お よ びパ ラ メ ー
タ ーがあ り ます。 こ れ ら はすべて コ ン フ ィ ギ ュ レーシ ョ ン中に定義で き 、 その多 く は動作中に も 変更で き ます。
ト ラ ンス ミ ッ ター
ト ラ ン ス ミ ッ タ ーは基本的にパ ラ レ ル- シ リ アル コ ンバー タ ーであ り 、 8、 10、 16、 ま たは 20 の比率で変換を実行 し ます。 こ れ ら の ト
ラ ン ス ミ ッ タ ーの出力は、 シ ン グル チ ャ ネルの差動電流モー ド ロ ジ ッ ク (CML) 出力信号で PC ボー ド を駆動 し ます。
TXOUTCLK は適切に分周 さ れたシ リ アル デー タ ク ロ ッ ク で、 内部 ロ ジ ッ ク か ら のパ ラ レル デー タ を直接 ラ ッ チす る ために使用で き
ます。 入力 さ れ る パ ラ レル デー タ は、 小型の FIFO を通 り 、 オプシ ョ ン と し て十分なデー タ 遷移が生 じ る よ う 8B/10B アルゴ リ ズ ム で
変換で き ます。 ビ ッ ト シ リ アル出力信号は、 補完的な CML 信号に よ っ て 2 つのパ ッ ケージ ピ ン を駆動 し ます。 こ の出力信号ペアは、
信号振幅幅 と プ リ エン フ ァ シ ス がプ ロ グ ラ ム可能で、 PC ボー ド での信号 ロ スやほかの イ ン タ ーコ ネ ク ト 特性を補い ます。
レ シーバー
レ シーバーは基本的に、 入力ビ ッ ト シ リ アル差動信号を それぞれ 8、 10、 16、 ま たは 20 ビ ッ ト 幅のパ ラ レル ワー ド に変換す る シ リ ア
ル-パ ラ レル コ ンバー タ ーです。 レ シーバーは入力差動デー タ ス ト リ ーム を受け取っ て、 それを (PC ボー ド やほかの イ ン タ ー コ ネ ク ト
特性を補 う ため) プ ロ グ ラ ム可能な イ コ ラ イ ザーを通 し 、 FREF 入力を使用 し て ク ロ ッ ク の認識を開始 し ます。 個別の ク ロ ッ ク ラ イ ンは
必要あ り ません。 デー タ パ タ ーンは NRZ (Non-Return-to-Zero) エン コーデ ィ ン グ を使用 し 、 オプシ ョ ン と し て 8B/10B エン コ ーデ ィ
ン グ方式を用い る こ と で十分なデー タ 遷移が生 じ る よ う に し ます。パ ラ レル デー タ は、RXUSRCLK ク ロ ッ ク を使用 し て FPGA ロ ジ ッ
ク に転送 さ れます。 シ リ アル-パ ラ レル変換比は 8、 10、 16、 ま たは 20 にで き ます。
PCI Express デザイ ン用内蔵エ ン ド ポ イ ン ト ブ ロ ッ ク
PCI Express 規規格はパケ ッ ト ベー ス で、 Point-to-Point のシ リ アル イ ン タ ー フ ェ イ ス 規格です。 差動信号転送ではエ ンベデ ッ ド ク
ロ ッ ク を使用す る こ と で、 従来の広いパ ラ レル バ ス におけ る Clock-to-Data ス キ ュ ーの問題を回避 し ます。
PCI Express Base Specification 1.1 では、 各レーン、 各方向 (送信お よ び受信) のデー タ レー ト は 2.5Gb/s と 定義 さ れてい ます。 8B/10B
エン コ ーデ ィ ン グ を使用す る 場合は レーン当た り 2.0Gb/s のデー タ レー ト がサポー ト さ れます。
Spartan-6 LXT デバ イ ス には、 PCI Express Base Specification Revision 1.1 に準拠する PCI Express 用のエン ド ポ イ ン ト ブ ロ ッ ク が
1 つ統合 さ れてい ま す。 こ のブ ロ ッ ク は、 シ ス テ ム デザ イ ン要件に合わせて柔軟に コ ン フ ィ ギ ュ レ ーシ ョ ン で き 、 規格に準拠 し た 1
レ ーン のエ ン ド ポ イ ン ト と し て動作 し ま す。 ま た、 内蔵エ ン ド ポ イ ン ト ブ ロ ッ ク は、 シ リ ア ラ イ ズ /デシ リ ア ラ イ ズ用の GTP ト ラ ン
シーバー と 、 デー タ のバ ッ フ ァ ー使用にブ ロ ッ ク RAM と イ ン タ ーフ ェ イ ス し ます。 全体 と し て、 こ れ ら のエ レ メ ン ト はプ ロ ト コ ルの
物理層、 デー タ リ ン ク 層、 お よ び ト ラ ンザ ク シ ョ ン層を イ ンプ リ メ ン ト し ます。
ザ イ リ ン ク ス は、 さ ま ざ ま な構築ブ ロ ッ ク (PCI Express テ ク ノ ロ ジ用内蔵エン ド ポ イ ン ト ブ ロ ッ ク 、 GTP ト ラ ン シーバー、 ブ ロ ッ ク
RAM、 ク ロ ッ ク リ ソ ース ) を仕様に準拠 し たエン ド ポ イ ン ト ソ リ ュ ーシ ョ ンに活用で き る よ う にす る 軽量 (LUT が 199 個以下)、 コ ン
フ ィ ギ ャ ラ ブル、 かつ使用が容易な LogiCORE™ IP を提供 し てい ます。 シ ス テ ム設計者は、 最大ペ イ ロ ー ド サ イ ズ、 基準 ク ロ ッ ク 周
波数、 ベース ア ド レ ス レ ジ ス タ のデ コ ー ド と フ ィ ル タ リ ン グ な ど多数の コ ン フ ィ ギ ュ レーシ ョ ン可能なパ ラ メ ー タ ーを制御で き ます。
PCI Express デザ イ ンの ソ リ ュ ーシ ョ ンに関す る ド キ ュ メ ン ト お よ び詳細は、 次のサ イ ト か ら 入手で き ます。
http://japan.xilinx.com/technology/protocols/pciexpress.htm
DS160 (v2.0) 2011 年 10 月 25 日
Product 製品仕様
japan.xilinx.com
8
Spartan-6 フ ァ ミ リ 概要
Spartan-6 FPGA の注文情報
表 3 に、 Spartan-6 デバ イ ス で提供 さ れてい る ス ピー ド グ レー ド お よ び温度グ レー ド を示 し ます。 一部のデバ イ ス では、 入手可能な ス
ピー ド グ レー ド と 温度グ レー ド に制限があ り ます。
表 3 : ス ピー ド グ レー ド と 温度範囲
ス ピー ド グレー ド と 温度範囲
デバイ ス フ ァ ミ リ
コ マーシ ャル温度 (C)
0°C ~ +85°C
イ ン ダス ト リ アル温度 (I)
-40°C ~ +100°C
-3、 -3N、 -2、 -1L
-3、 -3N、 -2、 -1L
-3、 -3N、 -2
-3、 -3N、 -2
Spartan-6 LX
Spartan-6 LXT
図 1 に示す Spartan-6 FPGA の注文情報は、 鉛フ リ ー パ ッ ケージ を含むすべてのパ ッ ケージに適用 さ れます。 デバ イ ス のマーキ ン グに
関する 詳細は、 『Spartan-6 パ ッ ケージお よ びピ ン配置ガ イ ド 』 (UG385) の 「パ ッ ケージ マー ク 」 の章を参照 し て く だ さ い。
X-Ref Target - Figure 1
Example: XC6SLX100T-2FGG676C
Device Type
Temperature Range:
C = Commercial (Tj = 0°C to +85°C)
I = Industrial (Tj = –40°C to +100°C)
Speed Grade
(-L1(1), -2, -3, -N3(2))
Note:
Number of Pins
1) -L1 is the ordering code for the lower power, -1L speed grade.
Not all devices are offered in this version (LX only).
Pb-Free
See the Spartan-6 FPGA data sheet for more information.
Package Type
2) -N3 is the ordering code for the -3N speed grade,
which indicates the devices in which MCB functionality is not supported.
DS160_01_011311
図 1 : Spartan-6 FPGA の注文情報
改訂履歴
次の表に、 こ の文書の改訂履歴を示 し ます。
日付
バージ ョ ン
2009/02/02
1.0
初版 リ リ ース
2009/05/05
1.1
「低消費電力に最適化」、 「複数電圧、 複数規格に対応する SelectIO™ イ ン タ ーフ ェ イ スバン ク 」、 「 メ
モ リ コ ン ト ロ ー ラ ー ブ ロ ッ ク の統合」 セ ク シ ョ ンの記述を更新 (page 1)。 PCI のサポー ト は 33MHz
仕様のみであ る こ と を記載 (page 1)。 ロ ジ ッ ク セル、 ス ラ イ ス、 お よ び最大ユーザー I/O 数を更新
し 、 フ リ ッ プ フ ロ ッ プ数を追加 (表 1)。 ユーザー I/O 数を更新、 CSG225 パ ッ ケージの XC6SLX25
お よ び FGG676 パ ッ ケ ー ジ の XC6SLX45T を 削除、 FT(G)256 パ ッ ケ ー ジ の XC6SLX9 お よ び
CSG324 パ ッ ケージの XC6SLX45 を追加、 メ モ を追加 (表 2)。 次のセ ク シ ョ ンの記載を修正 : ダ イ
ナ ミ ッ ク リ コ ン フ ィ ギ ュ レーシ ョ ン ポー ト 、 「 リ ー ド バ ッ ク 」 、 「CLB、 ス ラ イ ス、 お よ び LUT」 、
「周波数合成」、 「PLL」、 「プ ロ グ ラ ム可能なデー タ 幅」、 「 メ モ リ コ ン ト ロ ー ラ ーブ ロ ッ ク 」。 「入力/出
力」 セ ク シ ョ ンの I/O ピ ン範囲、 VREF バン ク 、 電気特性の記載を明確化。
2009/06/24
1.2
表 1 と 表 2 にデバ イ ス /パ ッ ケージの組み合わせ と し て XC6SLX75 お よ び XC6SLX75T デバ イ ス を
追加 し て更新。 注文情報お よ び FPGA 資料一覧を追加。 「 リ ー ド バ ッ ク 」 セ ク シ ョ ンか ら パーシ ャ ル
リ コ ン フ ィ ギ ュ レーシ ョ ンの記載を削除。
2009/11/05
1.3
9 ページの図 1 を更新。 -4 ス ピー ド グ レー ド の記載を追加。 page 1 の PCI テ ク ノ ロ ジ サポー ト に
64 ビ ッ ト を追加。 表 1 お よ び表 2 のユーザー I/O 数を更新。 コ ン フ ィ ギ ュ レーシ ョ ン、 デジ タ ル信
号処理— 「 コ ン フ ィ ギ ュ レーシ ョ ン」、 「デジ タ ル信号処理— DSP48A1 ス ラ イ ス」、 「入力/出力」 の
更新。 PCI Express 資料への リ ン ク を追加。
DS160 (v2.0) 2011 年 10 月 25 日
Product 製品仕様
内容
japan.xilinx.com
9
Spartan-6 フ ァ ミ リ 概要
日付
バージ ョ ン
内容
2010/03/03
1.4
表 1 のLX25 お よ びLX25T の ス ラ イ ス数を更新。「ダ イ ナ ミ ッ ク リ コ ン フ ィ ギ ュ レーシ ョ ン ポー ト 」
セ ク シ ョ ン を更新。 「スペ ク ト ラ ム拡散 ク ロ ッ ク 」 を追加。 PLL VCO の最大周波数を 1080MHz に、
DSP48A1 ス ラ イ ス の最大周波数を 320MHz に -4 ス ピー ド の仕様変更。 「プ ロ グ ラ ム可能なデー タ
幅」 の コ ン フ ィ ギ ュ レーシ ョ ン を明確化。 「低消費電力のギガ ビ ッ ト ト ラ ン シーバー」 の動作率を更
新。
2010/08/02
1.5
「Spartan-6 の特長」 の各差動 I/O のデー タ 転送速度を 1,050Mb/s か ら 1,080Mb/s に更新。 図 1 を含
むス ピー ド グ レー ド -3N を ド キ ュ メ ン ト 内で適宜追加。 表 2 のカ テ ゴ リ の 「サ イ ズ」 を 「本体」 に
変更。 「 コ ン フ ィ ギ ュ レーシ ョ ン」 の SPI お よ び BPI イ ン タ ーフ ェース の記載を更新。 ダ イ ナ ミ ッ ク
リ コ ン フ ィ ギ ュ レ ーシ ョ ン ポー ト の記載 を 削除。 「デジ タ ル信号処理— DSP48A1 ス ラ イ ス」 —
DSP48A1 ス ラ イ ス の、DSP48A1 ス ラ イ ス の乗算器お よ びア キ ュ ム レー タ の動作速度を 390MHz に
更新。 「入力お よ び出力遅延」 を更新。
2010/11/05
1.6
「Spartan-6 の特長」 お よ び 「低消費電力のギガ ビ ッ ト ト ラ ン シーバー」 に記載の GTP シ リ アル ト
ラ ン シーバーのデー タ レー ト を 3.2Gb/s に更新。 図 1 に記載の注記を更新。 「Spartan-6 FPGA 関連
資料」 にDS170 『XA Spartan-6 オー ト モーテ ィ ブ FPGA フ ァ ミ リ 概要』 を追加。
2011/03/21
1.7
Advance 仕様か ら Preliminary 仕様に更新。XCN11008 について、-4 ス ピー ド グ レー ド を 「Spartan-6
の特長」 お よ び図 1 か ら 削除。 「PCI Express デザ イ ン用内蔵エン ド ポ イ ン ト ブ ロ ッ ク 」 お よ び 「入
2011/10/25
2.0
力お よ び出力遅延」 を更新。
Preliminary 仕様か ら Production 仕様に更新。「 コ ン フ ィ ギ ュ レーシ ョ ン」 の説明を 3Mb ~ 33Mb の
コ ン フ ィ ギ ュ レ ーシ ョ ン ビ ッ ト 範囲お よ び 「入力お よ び出力遅延」 を含む よ う に更新。 「Spartan-6
FPGA の注文情報」 を更新 し 、 表 3 を追加。 資料 リ ス ト に 「『防衛グ レー ド Spartan-6 フ ァ ミ リ 概要』
(DS172)」 を追加。
Notice of Disclaimer
The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products.To the
maximum extent permitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS
ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO
WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2)
Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory of liability) for any loss or damage
of any kind or nature related to, arising under, or in connection with, the Materials (including your use of the Materials), including for any
direct, indirect, special, incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of loss or damage
suffered as a result of any action brought by a third party) even if such damage or loss was reasonably foreseeable or Xilinx had been
advised of the possibility of the same.Xilinx assumes no obligation to correct any errors contained in the Materials, or to advise you of any
corrections or update.You may not reproduce, modify, distribute, or publicly display the Materials without prior written consent.Certain
products are subject to the terms and conditions of the Limited Warranties which can be viewed at http://www.xilinx.com/warranty.htm;
IP cores may be subject to warranty and support terms contained in a license issued to you by Xilinx.Xilinx products are not designed or
intended to be fail-safe or for use in any application requiring fail-safe performance; you assume sole risk and liability for use of Xilinx
products in Critical Applications:http://www.xilinx.com/warranty.htm#critapps.
本資料は英語版 (v2.0) を翻訳 し た も ので、 内容に相違が生 じ る 場合には原文を優先 し ます。
資料に よ っ ては英語版の更新に対応 し ていない も のがあ り ます。
日本語版は参考用 と し て ご使用の上、 最新情報につ き ま し ては、 必ず最新英語版を ご参照 く だ さ い。
こ の資料に関す る フ ィ ー ド バ ッ ク お よ び リ ン ク な ど の問題につ き ま し ては、[email protected] ま でお知 ら せ く だ さ い。い
ただ き ま し た ご意見を参考に早急に対応 さ せていただ き ます。 なお、 こ の メ ール ア ド レ スへのお問い合わせは受け付けてお り ません。
あ ら か じ めご了承 く だ さ い。
DS160 (v2.0) 2011 年 10 月 25 日
Product 製品仕様
japan.xilinx.com
10
Spartan-6 フ ァ ミ リ 概要
Spartan-6 FPGA 関連資料
Spartan-6 フ ァ ミ リ FPGA の最新版資料は、 ザ イ リ ン ク ス ウ ェ ブサ イ ト (http://japan.xilinx.com/support/documentation/spartan-6.htm)
か ら 入手可能です。 こ のページか ら は本デー タ シー ト ( 『Spartan-6 フ ァ ミ リ 概要』 ) のほか、 次の資料がダ ウ ン ロ ー ド いただけ ます。
『Spartan-6 FPGA デー タ シー ト : DC 特性およびス イ ッ チ特性』
(DS162)
Spartan-6 FPGA メ モ リ コ ン ト ロー ラ ー ユーザー ガ イ ド
(UG388)
Spartan-6 フ ァ ミ リ の DC 特性お よ びス イ ッ チ特性が記載 さ れて
Spartan-6 FPGA に搭載 さ れた専用のエンベデ ッ ド マルチポー ト
い ます。
『Spartan-6 FPGA パ ッ ケージおよびピ ン配置使用』 (UG385)
メ モ リ コ ン ト ロ ー ラ ーついて説明 し てい ます。こ の メ モ リ コ ン ト
ロ ー ラ ーに よ っ て、 Spartan-6 FPGA と 広 く 普及 し てい る メ モ リ
規格 と の イ ン タ ーフ ェ イ ス が大幅に簡潔化 さ れます。
デバ イ ス /パ ッ ケージの組み合わせ と 最大 I/O 数、 ピ ン定義、 ピ ン
配置表、 ピ ン配置図、 機械的図面が含まれ、 温度特性 も 記載 さ れ
てい ます。
『Spartan-6 FPGA PCB デザイ ンおよびピ ン配置プ ラ ン ガ イ ド 』
(UG393)
『Spartan-6 FPGA コ ン フ ィ ギ ュ レーシ ョ ン ガ イ ド 』 (UG380)
こ の包括的な コ ン フ ィ ギ ュ レーシ ョ ン ガ イ ド は、 コ ン フ ィ ギ ュ
レーシ ョ ン イ ン タ ーフ ェ イ ス ( シ リ アル と パ ラ レル)、マルチビ ッ
ト ス ト リ ームの管理、 ビ ッ ト ス ト リ ームの暗号化、 バ ウ ン ダ リ ス
キ ャ ンお よ び JTAG コ ン フ ィ ギ ュ レーシ ョ ン、 リ コ ン フ ィ ギ ュ
レーシ ョ ン テ ク ニ ッ ク の各章で構成 さ れてい ます。
Spartan-6 デバ イ ス の PCB デザ イ ンについて、 PCB お よ び イ ン
タ ーフ ェ イ ス レベルで設計上の決定を行 う 際の ス ト ラ テジに重
点を置いて説明 し てい ます。
『SpartanVFPGA パワー マネージ メ ン ト ユーザー ガ イ ド 』
(UG394)
Spartan-6 FPGA の消費電力を管理す る 方法を、 主にサ スペン ド
モー ド に重点を置いて説明 し てい ます。
『Spartan-6 FPGA SelectIO リ ソ ース ユーザー ガ イ ド 』
(UG381)
すべての Spartan-6 デバ イ ス で利用可能な SelectIO™ リ ソ ース
について説明 し てい ます。
『SpartanVFPGA ク ロ ッ キング リ ソ ース ユーザー ガ イ ド 』
『XA Spartan-6 オー ト モーテ ィ ブ FPGA フ ァ ミ リ 概要』
(DS170)
XA (ザ イ リ ン ク ス オー ト モーテ ィ ブ) Spartan-6 フ ァ ミ リ の機能
概要 と 製品一覧が記載 さ れてい ます。
(UG382)
『防衛グレー ド Spartan-6 フ ァ ミ リ 概要』 (DS172)
すべての Spartan-6 で利用可能な DCM と PLL を含む、ク ロ ッ キ
ン グ リ ソ ース について説明 し てい ます。
防衛グ レー ド Spartan-6Q フ ァ ミ リ の特徴 と 製品の概要を説明 し
てい ます。
『Spartan-6 FPGA ブ ロ ッ ク RAM リ ソ ース ユーザー ガ イ ド 』
(UG383)
Spartan-6 デバ イ ス が備え る ブ ロ ッ ク RAM 機能について説明 し
てい ます。
『Spartan-6 FPGA コ ン フ ィ ギ ャ ラ ブル ロ ジ ッ ク ユーザー ガ イ
ド 』 (UG384)
すべての Spartan-6 デバ イ ス が持つ コ ン フ ィ ギ ャ ラ ブル ロ ジ ッ ク
ブ ロ ッ ク (CLB) について説明 し てい ます。
『Spartan-6 FPGA GTP ト ラ ン シーバー ユーザー ガ イ ド 』
(UG386)
Spartan-6 LXT FPGA で利用可能な GTP ト ラ ン シーバーについ
て説明 し てい ます。
『Spartan-6 FPGA DSP48A1 ス ラ イ ス ユーザー ガ イ ド 』
(UG389)
Spartan-6 FPGA が備え る DSP48A1 ス ラ イ ス のアーキ テ ク チ ャ
について説明 し 、 その コ ン フ ィ ギ ュ レーシ ョ ン例が記載 さ れてい
ます。
DS160 (v2.0) 2011 年 10 月 25 日
Product 製品仕様
japan.xilinx.com
11
Fly UP