...

計 測 - JEITA Home

by user

on
Category: Documents
3

views

Report

Comments

Transcript

計 測 - JEITA Home
15.計測
次 世 代 技 術 としてパターンの微 細 化 を進 めたり、新 材 料 ・新 プロセスを導 入 するためには、計 測 技
術 の進 歩 が不 可 欠 の要 件 である。計 測 技 術 を用 いることに依 り、あらゆる製 造 段 階 において、歩 留
の改 善 を加 速 できる。また、装 置 の改 良 、試 作 ラインや新 しい生 産 ラインの立 上 げ、および生 産 ライ
ンでの歩 留 向 上 が可 能 になる。さらに、プロセス装 置 やプロセスの特 性 をより正 確 に把 握 できるように
なることから、製 造 コストの削 減 や新 製 品 を売 出 すまでの時 間 の短 縮 が可 能 になる。
計 測 に携 わっている人 々は、ロードマップの要 求 時 期 に間 に合 せるため、研 究 ・開 発 ・装 置 試 作 で
の協 力 関 係 をもっと密 にしなければならない。
パターン寸 法 (feature size) の縮 小 に因 って、2014 年 の 35 nm 技 術 ノードでは、顕 微 鏡 観 察 と膜
厚 測 定 が最 大 の課 題 となるであろう。
測 定 技 術 を CIM(computer integrated manufacturing:コンピュータ統 合 製 造 )やデータ管 理 シス
テムに組 込 むことに拠 って、情 報 に基 づいたプロセス制 御 が可 能 になる。計 測 の形 態 は、ロードマッ
プの目 標 を達 成 するために、オフラインからインラインやその場 計 測 へと、徐 々に移 って行 くであろう。
さらに、今 後 10 年 の間 には、MEMS(micro-electromechanical systems) の進 歩 が、新 しい種 類 の
センサやテスト構 造 を生 み出 すものと思 われる。オフライン、インライン、そしてその場 計 測 を使 い分 け
ることによって、 APC(advanced process control:より進 んだプロセス制 御 )や歩 留 の垂 直 立 上 げが
可 能 になるであろう。
製 造 プロセスを安 定 化 するためには、装 置 が安 定 でなければならない。どんな装 置 も、装 置 間 差 が
無 く、他 の同 種 装 置 と同 じ性 能 を発 揮 することが理 想 である。妥 当 な COO (cost of ownership) を維
持 しながら最 大 の生 産 性 を得 るためには、装 置 を上 手 に設 計 するだけでなく、装 置 に適 切 な計 測 手
段 を組 込 むことが必 要 である。
15-1 スコープ
1999 年 の 計 測 ロ ー ド マ ッ プ に お い て 検 討 さ れ た 項 目 は 、 顕 微 鏡 観 察 、 パ タ ー ン 寸 法 ( critical
dimension:CD) と重 ね合 わせ精 度 、膜 厚 と膜 の厚 さ方 向 /薄 層 の深 さ方 向 の分 布 、材 料 と汚 染 解
析 、ドーパ ントプロファイル、プ ロセス制 御 のためのその場 計 測 用 センサ(in-situ sensors、イン シチ
ュ ・ セ ン サ ) 、 標 準 試 料 / 標 準 物 質 ( reference materials 、 訳 者 注 : 寸 法 ・ 形 状 に 係 わ る reference
materials を標 準 試 料 、その他 に係 わるものを標 準 物 質 とした)、物 理 測 定 と電 気 測 定 との相 関 、そ
してパッケージング(封 止 技 術 )である。これらの項 目 は、‘統 計 限 界 に直 面 しているプロセス’の計 測 、
顕 微 鏡 観 察 、リソグラフィ、FEP、配 線 、材 料 と汚 染 の評 価 ・解 析 、標 準 試 料 /標 準 物 質 、計 測 デー
タの統 合 、そしてパッケージング用 計 測 と題 して、以 降 の各 節 で述 べられる。
計 測 技 術 およ び標 準 (訳 者 注 :国 家 的 あ るいは国 際 的 な規 格 およ び標 準 試 料 /標 準 物 質 )の 研
究 機 関 、標 準 の推 進 組 織 、計 測 装 置 メーカ、および大 学 において計 測 に携 わる人 達 は、計 測 方 法
の標 準 化 ・改 良 および標 準 試 料 /標 準 物 質 の製 作 を推 進 するために、今 後 も引 き続 き協 力 して行 く
べきである。
国際半導体技術ロードマップ 1999 年版
自 動 操 作 における測 定 能 力 の評 価 指 標 であり、統 計 的 プロセス制 御 (statistical process control、
SPC)に使 用 される測 定 の精 密 さ対 プロセス許 容 度 比 (P/T, precision to tolerance ratio)は、測 定
の精 密 さすなわち計 測 装 置 の測 定 ばらつきを、プロセス仕 様 値 と関 連 づけるものである。
測 定 装 置 起 因 の測 定 ばらつきは、当 該 プロセスで処 理 された試 料 ではなく、標 準 試 料 /標 準 物 質
を用 いて求 められることが多 い。したがって、公 称 測 定 精 度
(measurement tool precision
information) は、必 ずしもプロセスウェーハ(product wafers、製 品 ウェーハ、生 産 ウェーハ) を測 定
する際 の測 定 装 置 起 因 ばらつきを反 映 するものにはならない。装 置 感 度 が不 充 分 なため、‘小 さいけ
れども許 容 できないプロセス変 動 ’を見 逃 すことも有 り得 る。
計 測 装 置 の分 解 能 (resolution capability, 訳 者 注 :顕 微 鏡 の分 解 能 resolving power を含 め、
より広 い意 味 で用 いられている) を統 計 的 プロセス制 御 に使 用 できるように表 現 するため、測 定 の基
準 が必 要 である。分 解 能 の種 類 は、厚 さの測 定 には厚 さ方 向 の空 間 分 解 能 が要 る、表 面 汚 染 金 属
のレベル測 定 には原 子 パーセントの違 いを弁 別 するための検 出 分 解 能 が要 ると云 ったように、対 象
プロセスに依 存 する。したがって、測 定 の基 準 を項 目 毎 に定 めることが、必 要 となるかも知 れない。ま
た、測 定 の基 準 を定 め、プロセスの変 動 し易 さと計 測 装 置 の変 動 し易 さを切 り分 けられるようにするこ
とは、非 常 に重 要 なことである。
測 定 の精 密 さ対 プロセスの変 動 し易 さの比 (measurement precision-to-process variability) の
逆 数 は、信 号 対 雑 音 比 あるいは弁 別 比 (discrimination ratio) と称 されることもある。
ウェーハ メーカ、プロセス装 置 メーカ、試 作 ライン、および新 しい生 産 ラインの夫 々で、測 定 への 要
求 内 容 および必 要 時 期 が異 なる。試 作 ラインでは、短 期 間 で立 ち上 げるために、試 作 開 始 前 にプロ
セス装 置 やプロセス評 価 を進 めなければならない。しかし、プロセスの完 成 度 が高 くなるにつれて、そ
の必 要 性 が減 少 して行 く計 測 もある。デバイス寸 法 が縮 小 して行 くのに伴 ない、‘インラインで採 られ
た重 要 な電 気 性 能 データに直 接 結 びつく計 測 データ’を提 供 して行 くことが、物 理 計 測 の課 題 とな
る。
健 全 な産 業 基 盤 (infrastructure) の必 要 性
計 測 装 置 メーカが合 理 的 な価 格 で計 測 装 置 、センサ、コントローラ、および標 準 試 料 /標 準 物 質 を
供 給 しようとするならば、健 全 な産 業 基 盤 が必 要 となる。MEMS のような新 技 術 が研 究 ・ 開 発 を経 て
製 品 化 されるためには、新 規 の研 究 ・開 発 が必 要 となる。多 くの計 測 装 置 メーカは小 企 業 であり、先
端 的 な用 途 向 けに新 しい装 置 を開 発 するだけの費 用 を負 担 できない。当 初 に売 れるのは、プロセス
装 置 およびプロセスの開 発 用 だけである。開 発 した装 置 を量 産 用 として半 導 体 メーカに数 多 く売 れる
ようになるまで、それから数 年 の間 、持 ち堪 えなければならない。現 在 の経 営 基 盤 では、このように投
資 の回 収 が遅 くては経 営 して行 けない。新 技 術 の基 礎 実 験 から装 置 試 作 ・製 品 化 を経 て販 売 台 数
が増 えるまで、計 測 装 置 メーカが必 要 とする投 資 資 金 を供 給 することが必 要 である。
国際半導体技術ロードマップ 1999 年版
15-2 大 チャレンジ
2005 年 までの計 測 技 術 に対 するニーズは、新 材 料 ・新 プロセスに関 わるものが多 い。したがって、
今 後 の計 測 ニーズを全 て洗 い出 すことは難 しい。パターン寸 法 の縮 小 、しきい値 電 圧 やリーク電 流
のようなデバイスパラメータのより精 密 な制 御 、そして新 しい配 線 材 料 は、物 理 計 測 技 術 に大 きな課
題 を与 える。所 望 のデバイス・スケーリングを成 し遂 げるためには、原 子 距 離 単 位 での特 性 測 定 がで
きねばならない。表 81 に、計 測 の 10 大 課 題 を示 す。
100 nm ノード/2005 年 以 前 の 5 大 チャレンジ
工 場 お よ び 会 社 規 模 での そ の 場 /イン ライン 計 測 デ
ー タ の 統 合 ; 頑 丈 な セ ン サ (robust sensors 、 訳 者
注 :測 定 精 度 に余 裕 があり、環 境 の変 動 などに強 い
センサ) およびプロセスコントローラの開 発 ;センサ
の追 加 統 合 が可 能 なデータ管 理
シリコ ンウ ェ ー ハ( starting materials) を 対 象 と した
微 粒 子 /酸 素 /金 属 など不 純 物 の所 要 感 度 での検
出 、およびウェーハ周 辺 部 の検 査 不 能 領 域 の削
減。
低 誘 電 率 (low k) 層 間 膜 材 料 の誘 電 率 を、基 準 周
波 数 の 5 倍 から 10 倍 の周 波 数 で測 定 すること。
ダマシンのよ う な高 アス ペクト 比 技 術 を 制 御 す るた め
の計 測 技 術 。
複 雑 な積 層 材 料 の測 定 。
ウェーハお よびマスク のパター ン寸 法 測 定 /重 ね合 わ
せ精 度 測 定 /欠 陥 検 出 /解 析 に使 用 する非 破 壊 の
生産用顕微鏡観察技術。
極 め て 薄 いゲ ー ト / 容 量 絶 縁 膜 の よ う な 新 材 料 の 信
頼 性 をテストするための標 準 的 な電 気 試 験 法 。
統 計 変 動 が顕 在 化 する 70 nm 以 ノード以 降 でのプロ
セス制 御 。
ドーパントプロファイルの 3 次 元 計 測 。
電 気 特 性 とSPCとを一 対 一 で突 き合 わせられるよう
にするため、生 産 用 としてインラインで使 用 可 能 なト
ランジスタプロセスの物 理 計 測 。
問 題 の内 容
プロセス コントローラおよびデータ管 理 の標 準 規 格
が必 要 である。大 量 な生 データを歩 留 向 上 に有 用
な情 報 に 転 換 す る こ と が 必 要 で あ る 。ト レ ン チ の エッ
チング 終 点 検 出 センサ、イオン種 /イオンエネ ルギー
/ドーズ量 (電 流 )のモニタ、および RTA 処 理 時 のウ
ェーハ温 度 センサの開 発 が必 要 である。
現 行 のままでは 、ロードマップの目 標 レベルを達 成
でき ない。極 微 小 粒 子 の検 出 と サイズ分 類 が必 要
である。シリコン内 部 あるいは SOI シリコン層 内 の微
量 金 属 の検 出 が必 要 である。
計 測 装 置 / 計 測 手 法 / テス ト 構 造 の 実 用 化 と 、 そ れ
等 を‘クロック高 調 波 /表 皮 効 果 /クロストーク/材 料
の異 方 性 を左 右 する低 誘 電 率 層 間 膜 材 料 ’に適 用
することが必 要 である。
プロ セス 制 御 に 必 要 と さ れる 新 しい ニ ー ズが 不 明 確
である 。例 え ば、新 しい低 誘 電 率 材 で作 られたトレン
チ構 造 の 3 次 元 (CD と深 さ)測 定 が必 要 であろう。
界 面 層 /配 線 バリアや低 誘 電 率 層 のような薄 膜 と、
新 し い 高 誘 電 率 ( high k) ゲ ー ト / 容 量 絶 縁 膜 と の
積 層 構 造 について、その標 準 試 料 /標 準 物 質 と標
準 的 な測 定 方 法 、および界 面 層 の特 性 評 価 が必
要 である。バリア層 についても同 様 である。
表 面 帯 電 およびコンタミネーションは像 障 害 の原 因
となる 。 寸 法 測 定 で は パ ター ン 側 壁 の 形 状 を 考 慮 し
なければな らない。ダ マシンプ ロ セス にお ける トレ ン チ
構 造 の寸 法 測 定 が必 要 である。
ゲート/容 量 用 の新 高 誘 電 率 絶 縁 材 料 の疲 労 メカニ
ズムが解 明 されていない。
自 然 現 象 としてのゆらぎが計 測 を制 限 する領 域 で
は、プ ロ セス を 制 御 す る こ とが 困 難 と なろ う 。 例 え ば 、
低 ドーズの イオン注 入 、薄 いゲート絶 縁 膜 、および 極
微 細 構 造 でのエッジラフネスである。
活 性 領 域 の大 きさ がド ー パントの 原 子 間 距 離 に 近 づ
くことに起 因 して、プロセスシミューレーションおよび
計 測 が 複 雑 化 す る 。 ド ー パン ト 元 素 の 濃 度 を 、 所 要
空 間 分 解 能 で測 定 することが不 可 能 である。
ゲー ト 絶 縁 膜 / C D / ド ー パ ン ト の ド ー ズ 量 ・ プ ロ フ ァ イ
ルを 対 象 と した 一 連 の 物 理 計 測 を 、70 nm ノ ード 以
降 のデ ザインルールに 十 分 適 用 できるよう に、充 実 さ
せることが必 要 である。
表 81 計 測 における大 チャレンジ
国際半導体技術ロードマップ 1999 年版
15-3 技 術 的 要 求
計 測 装 置 に対 する主 な目 標 到 達 レベルを表 82-85 に示 す。顕 微 鏡 観 察 の分 解 能 は、パターン
寸 法 測 定 装 置 からの要 求 であり、幅 が異 なるラインを見 分 けるために必 要 となる。 2 次 元 および 3 次
元 のドーパントプロファイル観 測 に必 要 とされる空 間 分 解 能 は、モデリング&シミュレーションから出 さ
れた要 求 である。2 次 元 ドーパントプロファイルについての要 求 に応 えることは難 しい、少 々空 間 分 解
能 が悪 くても、観 測 可 能 な方 法 があれば、有 用 な情 報 が得 られるだろう。あらゆる計 測 について、測
定 の正 確 さを保 証 するために、適 当 な標 準 試 料 /標 準 物 質 が必 要 となる。
年
技 術 ノード
1999
180 nm
2000
2001
2002
130 nm
2003
2004
2005
100 nm
牽引役
DRAM ½ ピッチ
180
165
150
130
120
110
100
D½
MPU ゲート長
140
120
100
85
80
70
65
M Gate
インライン、非 破 壊 顕 微 鏡 観 察
の分 解 能 (nm) for P/T=0.1
1.4
1.2
1.0
0.85
0.8
0.7
0.65
M Gate
最 大 アスペクト比 /直 径 (nm)
(DRAM コンタクトホール) [A]
6.3
200
6.7
175
7.1
160
7.5
140
8.0
130
8.5
120
9
110
D½
実 微 粒 子 の検 出 感 度 (nm)
[B]
90
82
75
65
60
55
50
M Gate
組 成 分 析 可 能 な最 小 の微
粒 子 サイズ (nm)
48
40
33
28
27
23
22
M Gate
表 面 汚 染 金 属 の限 界 仕 様 : ≤9×10 9
Ca, Co, Cu, Cr, Fe, K, Mo,
Mn, Na, Ni の総 量
(atoms/cm 2 )
≤7 ×10 9
≤6×10 9
≤4.4×10 9 ≤3.4×10 9 ≤2.9×10 9 ≤2.5×10 9 M Gate
表 面 汚 染 金 属 の検 出 限 界 : ≤9×10 8
Ca, Co, Cu, Cr, Fe, K, Mo,
Nm, Na, Ni の各 元 素
(atoms/cm 2 ) 信 号 対 雑 音 比
3:1
≤7 ×10 8
≤610 8
≤4.4×10 8 ≤3.4×10 8 ≤2.9×10 8 ≤2.5×10 8 M Gate
顕微鏡観察
物 質 および汚 染 の評 価 ・解 析
表 82a 計 測 の技 術 的 要 求 ―短 期
国際半導体技術ロードマップ 1999 年版
年
技 術 ノード
2008
70 nm
2011
50 nm
2014
35 nm
牽引役
DRAM ½ ピッチ
70
50
35
D½
MPU ゲート長
45
32
22
M Gate
インライン,非 破 壊 顕 微 鏡 観 察 の分 解
能 (nm) for P/T=0.1
0.45
0.32
0.22
M Gate
最 大 アスペクト比 / 直 径 (nm)
(DRAM コンタクトホール) [A]
10.5
80
12
60
13.5
45
D½
[B]
35
25
17
M Gate
組 成 分 析 可 能 な最 小 の微 粒 子 サイ
ズ (nm)
15
10
7
M Gate
表 面 汚 染 金 属 の限 界 仕 様 :Ca,
Co, Cu, Cr, Fe, K, Mo, Mn, Na, Ni
の総 量 (atoms/cm 2 )
≤ 2.1×10 9
≤ 1.8×10 9
≤ 1.7×10 9
M Gate
表 面 汚 染 金 属 の検 出 限 界 :Ca,
Co, Cu, Cr, Fe, K, Mo, Nm, Na, Ni
の各 元 素 (atoms/cm 2 ) 信 号 対 雑 音
比 3:1
≤ 2.1×10 8
≤ 1.8×10 8
≤ 1.7×10 8
M Gate
顕微鏡観察
物 質 および汚 染 の評 価 ・解 析
実 微 粒 子 の検 出 感 度 (nm)
表 82b 計 測 の技 術 的 要 求 ―長 期
[A]
デユアルダマシンプロセスの場 合 には、金 属 とビアホールのアスペクト比 が付 加 される。
[B]
この値 は表 面 のマイクロラフネスや組 成 に依 存 する。
15-3-1 統 計 限 界 に直 面 するプロセスの計 測
デバイスの寸 法 縮 小 がこのまま進 むと、素 子 を構 成 する原 子 の大 きさが無 視 できなくなり、パターン
寸 法 の統 計 変 動 として顕 在 化 する。例 えば、長 さ 50 nm のゲートでは、ゲート端 に在 るシリコン原 子 の
大 きさが、ゲート長 の約 0.6%に当 たる。多 層 のゲート絶 縁 膜 では、各 層 の厚 さが僅 か数 原 子 層 に過
ぎず、統 計 変 動 の影 響 がもっと顕 著 に現 れるかも知 れない。十 分 に均 一 なバリア層 厚 さ/トンネル電
流 密 度 /誘 電 率 特 性 を得 るためには、これらの統 計 変 動 を考 慮 した素 子 構 造 設 計 が必 要 となる。先
進 的 な多 層 絶 縁 膜 の特 性 を理 解 するた めには、今 後 も計 測 技 術 を進 歩 させて行 くことが必 要 で あ
る。
配 線 技 術 は、半 導 体 基 板 中 に侵 入 させてはならない Cu のような導 電 材 や低 誘 電 率 (low k) の層
間 絶 縁 材 を用 いることで、進 歩 している。バリア層 については、プロセスやプロセスモデルからすると、
‘僅 か数 原 子 層 程 度 の厚 さで、ピンホールの無 い膜 ’を形 成 することが要 求 される。計 測 としては、極
薄 バリア層 の品 質 ・信 頼 性 を保 証 できるように、技 術 開 発 を進 めなければならない。
半 導 体 素 子 内 に在 るドーパント原 子 や真 性 欠 陥 の位 置 は、必 ずばらつくものであり、トランジスタの
輸 送 特 性 を変 動 させることになる。空 乏 層 内 でのドーパント原 子 や真 性 欠 陥 の局 所 的 密 度 ・位 置 の
統 計 変 動 は、トランジスタ特 性 を大 きくばらつかせる。これらの統 計 変 動 を吸 収 し得 るように、デバイス
国際半導体技術ロードマップ 1999 年版
設 計 での革 新 が求 められる。これまで用 いられてきた決 定 論 的 (連 続 的 )なモデル技 術 を補 足 できる
ように、確 率 的 なモデルを考 えることが必 要 となる。計 測 には、2 次 元 および 3 次 元 のドーパントプロフ
ァイルを観 測 できるようにすることが、切 に求 められている。
使 用 材 料 や素 子 構 造 に現 れるこれらの統 計 変 動 は、測 定 の不 確 かさ (measurement uncertainty、
測 定 値 のばらつきを表 すもの) とは無 関 係 であり、測 定 量 の全 不 確 かさ (total uncertainty) に二 次
的 に加 えられるものである。さらに、回 路 およびプロセスは、その方 法 は未 だ具 体 的 ではないが、統 計
変 動 を考 慮 して設 計 されねばならない。計 測 と直 接 的 な関 係 がない基 本 的 物 理 現 象 のために、ロー
ドマップの中 で挙 げられた次 世 代 デバイスに係 わるパラメータの多 くについては、所 要 の測 定 精 度 を
満 足 できないように思 われる。
15-3-2 顕 微 鏡 観 察 (microscopy
( microscopy)
microscopy )
顕 微 鏡 観 察 は、核 となるプロセス技 術 の多 くに用 いられている。顕 微 鏡 は、典 型 的 には、遠 視 野 光
線 (far field light、訳 者 注 :回 折 可 能 な領 域 内 での光 線 )、電 子 ビーム、あるいは走 査 メカニカルプ
ローブ (scanned probe) を利 用 している。インライン計 測 における顕 微 鏡 応 用 としては、欠 陥 /微 粒
子 の検 出 、欠 陥 レビユー(欠 陥 像 のインライン観 察 )/自 動 欠 陥 分 類 とともに、CD や重 ね合 わせ精 度
の測 定 が挙 げられる。プロセスウェーハの付 加 価 値 が高 いため、高 速 ・非 破 壊 ・インラインで測 定 した
いとの要 求 が増 大 している。パターン寸 法 測 定 に加 えて、形 状 計 測 がインライン化 されるべきである。
走 査 型 電 子 顕 微 鏡 観 察 (SEM)は、断 面 加 工 試 料 の観 測 /微 粒 子 および欠 陥 の解 析 /欠 陥 像 の
インライン観 察 /CD の測 定 に、オフライン (at-line、訳 者 注 :米 国 では工 場 内 でのオフライン計 測 を
at-line と云 い、offline はウェーハを工 場 外 に持 ち出 して行 うオフライン計 測 を意 味 する ) およびイ
ンラインの像 観 察 法 として用 いられている。100 nm 技 術 ノード以 降 も、CD 測 定 や欠 陥 レビュー(およ
び試 作 ラインでの欠 陥 検 出 )に有 効 利 用 して行 くためには、改 良 が必 要 である。十 分 な解 像 度 を保
ちながら、試 料 表 面 の帯 電 /コンタミネーション/照 射 損 傷 に因 る像 質 の劣 化 を防 ぐためには、超 低
エネルギー(<250 eV)電 子 ビームのような新 しいインライン SEM の技 術 が必 要 となる。球 面 収 差 を
低 減 して SEM の分 解 能 を上 げようとすると、実 用 にならないほど焦 点 深 度 が浅 くなってしまう;したが
って、像 形 成 方 式 に依 らない(得 られた像 が収 差 や回 折 の影 響 を受 けない)ホロ グラフィ のような方
法 が好 ましい。
試 料 帯 電 の影 響 や信 号 処 理 系 の働 きを考 慮 できるように、信 号 発 生 ・処 理 のモデルを改 善 するこ
とが必 要 である。CD 測 定 の精 度 を向 上 するためには、対 象 試 料 と得 られた信 号 波 形 との関 係 を良 く
理 解 することが必 要 である。試 料 損 傷 は、ビームの直 接 衝 突 に因 る試 料 内 原 子 の電 離 やゲート構 造
上 での帯 電 に因 って惹 起 されるものであるが、荷 電 粒 子 ビームを用 いる全 ての顕 微 鏡 にとって、それ
らの適 用 限 界 を決 める基 本 的 要 因 となる。
100 nm 技 術 ノード以 降 のコンタクト/ビアのホール、トランジスタのゲート、配 線 あるいはダマシンのト
レンチでは、側 壁 の 3 次 元 的 形 状 を計 測 することが必 要 であり、現 行 の顕 微 鏡 観 察 法 や試 料 作 製
法 を更 に進 歩 させることが望 まれる。 FIB を用 いた断 面 加 工 /リフトアウト(訳 者 注 : FIB を用 いてウ
ェーハから TEM 試 料 を作 製 し TEM 試 料 台 に装 填 すること)は、TEM あるいは STEM での像 観 察 を
行 うために、有 用 であることが実 証 されている。また、側 壁 形 状 を 3 次 元 観 測 するための方 法 として、
国際半導体技術ロードマップ 1999 年版
反 射 型 の電 子 線 ホログラフィが提 案 されている。
走 査 プローブ顕 微 鏡 観 察 (SPM) は、CD-SEM の寸 法 測 定 値 を校 正 するために使 用 されるかも知
れない。尖 鋭 なプローブを用 いた SPM は、被 測 定 試 料 が導 電 性 であるか否 かに影 響 されることなく、
3 次 元 形 状 を測 定 することができる。しかし、プローブがほっそりし過 ぎて曲 ったりすると、計 測 精 度 が
悪 くなる。したがって、尖 鋭 部 の形 状 とアスペクト比 は、プローブ材 質 と走 査 時 に受 ける力 を考 慮 して
決 めなければならない。カーボンナノチューブ(nanotube、訳 者 注 :nm 程 度 の径 で薄 い壁 の円 筒 )の
ような非 常 に硬 いプローブ材 料 が、この問 題 の解 となる。
遠 視 野 顕 微 鏡 鏡 観 察 (far-field optical microscopy、訳 者 注 :回 折 光 を利 用 した顕 微 鏡 すなわち
通 常 の光 学 顕 微 鏡 での観 察 )の解 像 度 は、その限 界 が光 の波 長 で決 まる。この限 界 を打 破 するた
め、DUV の光 源 や近 視 野 顕 微 鏡 観 察 法 (near-field microscopy、訳 者 注 :光 が波 としての性 質 を
発 揮 できない極 微 小 な領 域 すなわちエバネッセント場 を利 用 した顕 微 鏡 での観 察 )が開 発 されつつ
ある。欠 陥 を自 動 で分 類 できるように、ソフトウエアの改 良 が必 要 である。光 学 顕 微 鏡 は、マルチチッ
プモジュールのハンダバンプのような大 きなパターンの形 状 検 査 に、今 後 も引 き続 いて使 われて行 く
であろう。
欠 陥 検 出 に関 しては、各 技 術 とも極 限 的 な問 題 を抱 えている。欠 陥 は、歩 留 り低 下 の恐 れがある
全 ての物 理 的 ・電 気 的 あるいはパラメータ的 な異 常 (deviation) として、定 義 される。現 行 の SEM や
SPM の欠 陥 検 出 速 度 は、光 学 顕 微 鏡 で見 えない小 さな欠 陥 を検 査 工 程 で効 果 的 に検 出 するため
には、余 りにも遅 すぎる。アレー型 (訳 者 注 :複 数 の SPM を並 列 に配 置 した)SPM を用 いれば、高 速
走 査 はできるが、プローブ先 端 の均 一 性 /特 性 /耐 久 性 に係 わる問 題 が在 る。アレー型 SPM 技 術 は、
比 較 的 なだらかな傾 斜 を有 する表 面 、したがって表 面 平 坦 度 の評 価 に有 用 であると思 われる。アレ
ー型 SPM は、並 べる SPM の 数 を増 やす こと 、お よび 多 様 な操 作 モー ド( additional operational
modes、訳 者 注 :コンタクトモード AFM、AC モード AFM、STM など) を開 発 することが課 題 である。高
スループットを得 る方 法 として、アレー型 マイクロカラム SEM (訳 者 注 :超 小 型 鏡 筒 を複 数 配 列 した
SEM)が提 案 され、単 鏡 筒 のマイクロカラム SEM ではその動 作 が確 認 された。静 電 および磁 界 レンズ
の設 計 限 界 に挑 む研 究 が必 要 である。
15-3-3 リソグラフィにおける計 測
リソグラフィ技 術 の進 歩 に合 わせて測 定 の精 密 さ/再 現 性 (訳 者 注 :精 密 さと再 現 性 は殆 ど同 義 語
である)を向 上 することは、物 理 計 測 技 術 に課 せられた命 題 であるが、要 求 到 達 レベルを達 成 できて
いない。光 リソグラフィが今 後 使 われ続 けるにしても、次 世 代 リソグラフィ技 術 (NGL:next generation
lithography) が使 われるようになるにしても、ウェーハおよびマスク計 測 に対 する新 しい要 求 が出 てく
るであろう。マスクおよ びウェーハ用 寸 法 測 定 装 置 の解 像 度 / 正 確 さ/装 置 間 マッチン グ/再 現 性 の
全 てにおいて、ニーズの必 要 時 期 が早 まる傾 向 にあり、ニーズに応 えようとするならば、画 期 的 な進
歩 が必 要 となる。
計 測 技 術 者 は、寸 法 および重 ね合 せ精 度 の測 定 から 3 次 元 形 状 データを効 果 的 に抽 出 できるよ
うにし、高 水 準 のプロセス制 御 をできるようにしなければならない。フィードファワード制 御 の考 え方 は、
リソグラフィ用 計 測 にも当 てはめられる。レジストパターンの測 定 データを用 いて、エッチングなど、以
国際半導体技術ロードマップ 1999 年版
降 の工 程 を制 御 し、デバイス性 能 を向 上 させねばならない。電 気 測 定 は、ゲート線 幅 や配 線 線 幅 を
監 視 できるが、欠 陥 が検 出 できたとしてもウェーハを再 生 処 理 するには遅 すぎる。重 ね合 せ精 度 の目
標 レベルを達 成 して行 くためには、像 コントラストの低 下 に起 因 する問 題 への対 応 も含 めて、新 しい
光 学 的 手 法 /SEM/走 査 プローブ顕 微 鏡 (SPM)の開 発 を加 速 することが必 要 であろう。
マスクのパターン位 置 測 定 は、新 しいリソグラフィ技 術 の多 くがマスク描 画 時 にパターン毎 の歪 み補
正 を必 要 とするようになることから、一 層 複 雑 になる。パターンの歪 補 正 は開 発 の初 期 段 階 で検 証 さ
れねばならず、開 発 時 期 に用 いられる計 測 装 置 にとっての大 きな課 題 となる。ウェーハでの重 ね合 せ
精 度 測 定 においても、ステップ&ステイッチ露 光 装 置 が導 入 されれば、新 たな問 題 が課 せられる。動
的 平 均 処 理 のような統 計 的 手 法 が研 究 されている。スキャタロメトリ (scatterometry)のような革 新 技
術 は、実 用 される前 に応 用 開 発 が要 る。顕 微 鏡 観 察 の節 で述 べたような課 題 を含 め、更 なる革 新 が
必 要 とされる。リソグラフィに係 わる必 要 到 達 レベルが表 83、解 決 策 候 補 が図 59 に示 されている。
国際半導体技術ロードマップ 1999 年版
年
技 術 ノード
1999
180 nm
2000
2001
2002
130 nm
2003
2004
2005
100 nm
ウェーハ:ゲートの加 工 寸 法 精 度 *
13
10.8
9.0
8.1
7.2
6.3
5.9
ウェーハ:緻 密 ラインの加 工 寸 法 精 度 *
18
16.5
15
13
12
11
10
ウェーハ:コンタクトホールの加 工 寸 法 精 度 *
20
18.5
17
15
14.5
14
13
ウェーハ:孤 立 ラインのCD測 定 精 度 *
P/T=0.2 **
2.6
2.2
1.8
1.6
1.4
1.3
1.2
ウェーハ:緻 密 ラインのCD測 定 精 度 *
P/T=0.2 **
3.6
3.3
3.0
2.6
2.4
2.2
2.0
ウェーハ:コンタクトホールのCD測 定 精 度 *
P/T=0.2 **
4.0
3.7
3.4
3.0
2.9
2.6
2.3
ウェーハ:同 一 寸 法 パターン間 の測 定 平 均 値
の最 大 ばらつき (%)
10
10
10
10
10
10
10
マスク:孤 立 ラインの加 工 寸 法 精 度 *
16
14
12
10
9
8
7
マスク:緻 密 ラインの加 工 寸 法 精 度 *
24
21
17
13
12
11
10
マスク:コンタクトホールの加 工 寸 法 精 度
コンタクト面 積 の平 方 根 で正 規 化 *
24
21
17
14
13
12
11
マスク:孤 立 ラインのCD測 定 精 度 * P/T=0.2 **
3.2
2.8
2.4
2
1.8
1.6
1.4
マスク:緻 密 ラインのCD測 定 精 度 * P/T=0.2 **
4.8
4.2
3.4
2.6
2.4
2.2
2
マスク:コンタクトホールの面 積 測 定 精 度
P/T=0.2、面 積 の平 方 根 で正 規 化
4.8
4.2
3.4
2.8
2.6
2.4
2.2
ウェーハ:パターン重 ね合 わせ精 度 (nm)
65
58
52
45
42
38
35
ウェーハ:パターン重 ね合 わせ測 定 精 度
(nm, 3σ)* P/T=0.1
6.5
5.8
5.2
4.5
4.2
3.8
3.5
マスク:パターン位 置 精 度
39
35
31
27
25
23
21
マスク:パターン位 置 測 定 精 度 P/T=0.1
3.9
3.5
3.1
2.7
2.5
2.3
2.1
マスク:位 相 差 精 度 (度 )
2
2
2
2
2
2
2
位 相 差 測 定 精 度 P/T=0.2 (度 )
.4
.4
.4
.4
.4
.4
.4
ハーフトンマスクの透 過 率 の正 常 値 からのずれ
(%)
4
4
4
4
4
4
4
ハーフトンマスクの透 過 率 測 定 精 度
P/T=0.2 (%)
.8
.8
.8
.8
.8
.8
.8
表 83a リソグラフィにおける計 測 技 術 の目 標 到 達 レベル―短 期
* 測 定 精 度 は全 て、nmを単 位 とした3σ値 で表 わされており、測 定 装 置 の機 差 も含 まれている。
** 測 定 装 置 の性 能 は、ラインの形 状 、材 質 、および密 度 に依 存 しないようにしなければならない。
国際半導体技術ロードマップ 1999 年版
年
技 術 ノード
2008
70 nm
2011
50 nm
2014
35 nm
ウェーハ:ゲートの加 工 寸 法 精 度 *
4.0
3.0
2.0
ウェーハ:緻 密 ラインの加 工 寸 法 精 度 *
7.0
5.0
3.5
ウェーハ:コンタクトホールの加 工 寸 法 精 度 *
8.0
5.5
4.0
ウェーハ:孤 立 ラインのCD測 定 精 度 * P/T=0.2 **
0.8
0.6
0.4
ウェーハ:緻 密 ラインのCD測 定 精 度 * P/T=0.2 **
1.4
1.0
0.7
ウェーハ:コンタクトホールのCD測 定 精 度 * P/T=0.2 **
1.6
1.1
0.8
ウェーハ:同 一 寸 法 パターン間 の測 定 平 均 値 の最 大 ばらつき
(%)
10
10
10
マスク:孤 立 ラインの加 工 寸 法 精 度 *
7
5
3.3
マスク:緻 密 ラインの加 工 寸 法 精 度 *
11
8
5.6
マスク:コンタクトホールの加 工 寸 法 精 度 コンタクト面 積 の平 方 根 で
正規化*
12
9
6.4
マスク:孤 立 ラインのCD測 定 精 度 * P/T=0.2 **
1.4
1.0
0.7
マスク:緻 密 ラインのCD測 定 精 度 * P/T=0.2 **
2.2
1.6
1.1
マスク:コンタクトホールの面 積 測 定 精 度 P/T=0.2、面 積 の平 方 根
で正 規 化
1.6
1.8
1.3
ウェーハ:パターン重 ね合 わせ精 度 (nm)
25
20
15
ウェーハ:パターン重 ね合 わせ測 定 精 度 (nm, 3σ)* P/T=0.1
2.5
2.0
1.5
マスク:パターン位 置 精 度
15
12
9
マスク:パターン位 置 測 定 精 度 P/T=0.1
1.5
1.2
0.9
マスク:位 相 差 精 度 (度 )
1
NA
NA
0.2
NA
NA
ハーフトンマスクの透 過 率 の正 常 値 からのずれ (%)
4
NA
NA
ハーフトンマスクの透 過 率 測 定 精 度 P/T=0.2 (%)
0.8
NA
NA
位 相 差 測 定 精 度 P/T=0.2 (度 )
表 83b リソグラフィにおける計 測 技 術 の目 標 到 達 レベル―長 期
*
測 定 精 度 は全 て、nmを単 位 とした3σ値 で表 わされており、測 定 装 置 の機 差 も含 まれている。
** 測 定 装 置 の性 能 は、ラインの形 状 、材 質 、および密 度 に依 存 しないようにしなければならない。
国際半導体技術ロードマップ 1999 年版
IC 出荷の初年度
1999
2002
2005
2008
2011
2014
ウエハパタンの CD 測定
180
CD-SEM
Scatterometry
CD-SEM
130
CD-SPM
Scatterometry
CD-SEM
100
CD-SPM
E-Beam Holography
CD-SEM
70
CD-SPM
In situ Sensors
E-Beam Holography
Innovative Methods
CD-SPM
50/35/25
最
小
加
工
寸
法
nm
In situ Sensors
E-Beam Holography
Innovative Methods
50 nm
ウエハパタンの重ね合わせ精度測定
180
130
Optical
Optical
Advanced Optical
100
SEM
SEM
SPM
Advanced Optical
70
SEM/SPM
Innovative Methods
Advanced Optical
50/35/25
50 nm
SEM/SPM
Innovative Methods
マスクパタンの CD 測定
180*
130
100
70
50/35/25
Optical, SEM
Optical, SEM
SPM, SEM
Innovative Methods, SEM, SPM
Innovative Methods, SEM, SPM
マスクパタンの位置精度測定
180
130
100
70
50/35/25
Interferometry
Interferometry
IP
Interferometry, Moiré Scale
Interferometry, Innovative Methods, Moiré Scale
Interferometry, Innovative Methods, Moiré Scale
今後の研究が必要
開発が進行中
実用に向けての試行段階
この凡例は研究、開発、および試行が行われる期間を示している。
図 59 リソグラフィ用 計 測 における解 決 策 候 補
国際半導体技術ロードマップ 1999 年版
15-3-4 FEP
15-3-4 FEP における計 測
次 世 代 技 術 の導 入 時 期 が早 められる傾 向 にあり、トランジスタの開 発 ・ 製 作 時 に使 われる計 測 も、
その技 術 開 発 を加 速 しなければならない。本 節 では、シリコンウェーハ/洗 浄 /熱 酸 化 および膜 堆 積 /
ドーピング(ドーパントの導 入 あるいは注 入 )/FEP 用 プラズマエッチングに特 有 な計 測 ニーズを検 討
する。計 測 ニーズはプロセスの許 容 範 囲 に拠 って決 められる。一 方 、リーク電 流 の制 御 /しきい値 の
低 電 圧 化 とゲート遅 延 の短 縮 /それらの許 容 度 と云 ったプロセスインテグレーションの課 題 が、ゲート
絶 縁 膜 の厚 さ/ドーパントの分 布 /接 合 深 さ/ドーズ量 と云 ったプロセスパラメータの許 容 範 囲 と密 接
に関 連 している。プロセス許 容 度 のモデルを作 ることは、トランジスタの計 測 を考 える上 で、重 要 な要
件 となる。FEP における計 測 の目 標 到 達 レベルを表 84、解 決 策 候 補 を図 60 に示 す。
国際半導体技術ロードマップ 1999 年版
年
技 術 ノード
1999
180 nm
2000
2001
2002
130 nm
2003
2004
DRAM ½ ピッチ
180
165
150
130
120
110
100
D½
MPU ゲート長
140
120
100
85
80
70
65
M Gate
P 基 板 中 酸 素 濃 度 範 囲 ( ASTM 18–31
"79) ; 測 定 精 度
[A]
± 0.5 ppma
18–31
18–31
18–31
18–31
18–31
18–31
バルクおよび SOI トップ Si 層 内 の 1 × 10 1 0
微 量 金 属 の 許 容 値 (Fe 濃 度 、
atoms/cm 3 )
< 1 ×
10 1 0
< 1 ×
10 1 0
< 1 ×
10 1 0
< 1 ×
10 1 0
< 1 ×
10 1 0
< 1 ×
10 1 0
バルクおよび SOI トップ Si 層 内 微 量 1 × 10 9
金 属 の検 出 感 度
(Fe 濃 度 、 atoms/cm 3 )
< 1 ×
10 9
< 1 ×
10 9
< 1 ×
10 9
+
2005 牽 引 役
100 nm
< 1 × 10 9 < 1 × < 1 × 10 9
10 9
ロシ ゙ ッ ク 用 ケ ゙ ー ト 絶 縁 膜 の 酸 化 膜 換 1.9–2.5 1.9–2.5 1.5–1.9 1.5–1.9 1.5–1.9 1.2–1.5 1.0–1.5 M Gate
算 膜 厚 (nm)
± 4%
± 4%
± 4%
± 4%
± 4%
± 4%
± 4%
± 3σプロセス許 容 変 動 範 囲
ロシ ゙ ッ ク 用 ゲ ート 絶 縁 膜 膜 厚 測 定 精 0.0075
度 3σ(nm)
[B]
0.0075
0.006
0.006
0.006
0.005
0.004
M Gate
DRAM 容 量 絶 縁 膜 : 構 造 ・ 材 質 ・
(誘 電 率 )・酸 化 膜 換 算 膜 厚 (nm)
Cyl.
MIS
Ta 2 O 5
Cyl.
MIS
Ta 2 O 5
Cyl. Pedestal Pedestal Pedestal Pedestal
MIS
MIM
MIM
MIM
MIM
Ta 2 O 5
Ta 2 O 5
Ta 2 O 5 Ta 2 O 5
BST
(22)
3.0
(22)
3.0
(22)
3.0
(50)
0.95
(50)
0.95
(50)
0.95
(250)
0.45
DRAM 容 量 絶 縁 膜 膜 厚 (nm) ±
3 σ プロセス許 容 変 動 範 囲
11.5
±4%
11.5
±4%
11.5
±4%
12.2
±4%
12.2
±4%
12.2
±4%
28.7
±4%
D½
DRAM 容 量 絶 縁 膜 膜 厚 測 定 精 度
[C]
(nm 3 σ)
0.046
0.046
0.046
0.049
0.049
0.049
0.11
D½
2D および 3D ドーパントプロファイル測
定 の空 間 分 解 能 (nm)
3
3
3
2
2
2
1.5
オフラインでのドーパント濃 度 測 定 精
度 (濃 度 範 囲 にわたって)
[D]
5%
5%
5%
4%
4%
4%
3%
D½
表 84a FEP における計 測 技 術 の目 標 到 達 レベル―短 期
国際半導体技術ロードマップ 1999 年版
年
技 術 ノード
2008
70 nm
2011
50 nm
2014
35 nm
DRAM 1/2 ピッチ
70
50
35
MPU ゲート長
45
32
22
18 – 31
18–31
18–31
+
P 基 板 中 酸 素 濃 度 範 囲 (ASTM "79); 測 定
精 度 ±0.5 ppma
[A]
バルクおよび SOI トップ Si 層 内 の微 量 金 属 の
許容値
(Fe 濃 度 、atoms/cm 3 )
< 1 × 10
バルクおよび SOI トップ Si 層 内 微 量 金 属 の検
出感度
(Fe 濃 度 、 atoms/cm 3 )
< 1 × 10
ロジック用 ゲート絶 縁 膜 の酸 化 膜 換 算 膜 厚
(nm)
± 3σプロセス許 容 変 動 範 囲
0.8–1.2
± 4%
0.6–0.8
± 4%
0.5–0.6
± 4%
MPU
ロジック用 ゲート絶 縁 膜 膜 厚 測 定 精 度 3σ(nm)
[B]
0.0032
0.0024
0.002
MPU
DRAM 容 量 絶 縁 膜 :構 造 ・材 質 ・(誘 電 率 ) ・
酸 化 膜 換 算 膜 厚 (nm)
Pedestal
MIM
epi-BST
(700)
0.15
Pedestal
MIM
???
(1500)
0.060
Pedestal
MIM
???
(1500)
0.043
D ½
DRAM 容 量 絶 縁 膜 膜 厚 (nm) ± 3 σ プロセス
許 容 変 動 範 囲 [C]
27.2
± 4%
23.0
4%
16.4
4%
D ½
DRAM 容 量 絶 縁 膜 膜 厚 測 定 精 度 (nm 3 σ)
[C]
0.11
0.092
0.066
D ½
2D および 3D ドーパントプロファイル測 定 の空 間
分 解 能 (nm)
1
0.8–0.6
0.8–0.6
オ フ ラ イ ン での ト ゙ ー ハ ゚ ン ト 濃 度 測 定 精 度 ( 濃 度 範
囲 にわたって)
[D]
2%
2%
2%
10
9
< 1 × 10
10
< 1 × 10
< 1 × 10
牽引役
10
< 1 × 10
9
9
表 84b FEP における計 測 技 術 の目 標 到 達 レベル―長 期
表 84 FEP における計 測 の目 標 到 達 レベルの脚 注
[A]
IOC ‘88 の値 は、ASTM の値 に 0.65 を掛 けたものである。
[B]
測 定 精 度 (測 定 の精 密 さ)は、 P/T=0.1=6×(測 定 の精 密 さ:σ)/(プロセスの許 容 変 動 範 囲 )
を用 い て算 出 された 。測 定 の目 標 到 達 レベルは、SiO 2 膜 厚 に換 算 した値 を示 してあ る。130
nm および 100 nm 技 術 ノードのロジックデバイスでは、 SiO 2 膜 が SiON 膜 や Si 3 N 4 /SiO 2 積 層
膜 に置 き代 えられ、70 nm およびそれ以 降 の技 術 ノード、可 能 ならば 100 nm 技 術 ノードからで
も、Ta 2 O 5 のような高 誘 電 率 材 が使 われよう。高 誘 電 率 層 の物 理 膜 厚 は、SiO 2 換 算 膜 厚 に(ε
high k
/ε o x ) を掛 けることに依 って、算 出 できる。例 えば、6.4 nm 厚 さの Ta 2 O 5 (k=約 25)膜 は、
1nm 厚 さの SiO 2 (k=3.9)膜 に相 当 する。記 載 された膜 厚 測 定 精 度 は、SiO 2 膜 に換 算 した値 で
ある。高 誘 電 率 膜 の膜 厚 測 定 精 度 は、記 載 値 に(ε h i g h
k
/ε o x ) を掛 けることに依 って、算 出 さ
れる。積 層 誘 電 膜 の全 容 量 は、誘 電 層 の容 量 に、界 面 層 /チャネル界 面 での量 子 準 位 の効
果 /ポリシリコンゲート電 極 内 にできる空 乏 層 の容 量 、を含 めたものである。したがって、ゲート
国際半導体技術ロードマップ 1999 年版
絶 縁 膜 の膜 厚 測 定 の課 題 には、界 面 層 の計 測 が含 まれることになる。
[C]
MIS 構 造 では、ポリシリコン上 に堆 積 した容 量 絶 縁 膜 をアニールする際 に界 面 が酸 化 されるこ
とを考 慮 し、物 理 膜 厚 を tdiel = (teq.ox-1 nm)×εdiel / 3.9 で計 算 している。 MIM (Metal
Insulator Metal) 構 造 では、界 面 が酸 化 されることは無 いため、tdiel = teq.ox×εdiel / 3.9
で計 算 している。ここで、 teq.ox は SiO2 換 算 膜 厚 、εdiel は容 量 絶 縁 膜 の誘 電 率 である。
[D]
システマテック誤 差 (訳 者 注 :系 統 的 要 因 に因 って発 生 する誤 差 )が少 ない精 密 な測 定 が必
要 とされる。
シリコ ンウェー ハ ― ‘必 要 な IC 性 能 を得 るため に、最 も 経 済 的 なシリ コン ウェ ーハ の仕 様 は何
か?’を決 めなければならない。計 測 は、ポリッシュウェーハ/エピタキシャルウェーハ/SOI ウェーハの
最 適 仕 様 を決 めるために、不 可 欠 の技 術 である。重 要 な計 測 の課 題 として、(1) 微 粒 子 と表 面 欠 陥
の検 出 、(2) ウェーハ周 辺 部 の検 査 できない領 域 (検 査 不 能 領 域 )の削 減 、(3) 平 坦 度 などが挙 げ
られる。ゲート酸 化 膜 の品 質 ・信 頼 性 (GOI) の問 題 は、ゲート酸 化 膜 厚 が薄 くなるにつれて、その比
重 が軽 くなりつつある。微 粒 子 や COP (crystal originated pits) のように微 小 な光 散 乱 体 (LLS;
localized light scatterer) のサイズを計 測 し、種 類 を見 分 けるためには、より進 歩 した装 置 が必 要 と
なる。さらに、サイズが 50-70 nm よりも小 さい LLS を検 出 し同 定 するためには、新 しい検 出 方 法 が必
要 となるかも知 れない。ウェーハ端 から 2 mm 以 内 の周 辺 領 域 を測 定 できるようにすることが、重 要 な
計 測 課 題 である。鍵 となる点 は、ビーム或 いは最 小 プローブ径 とウェーハ周 辺 部 検 査 不 能 領 域 との
関 係 である。すなわち、意 味 のある測 定 データを得 るためには、どの程 度 のプローブの重 なりが要 る
かと云 うことである。リソグラフィは、IC 製 造 を成 功 させるための関 門 であることに、今 後 も変 わりがない。
パターン露 光 中 に問 題 が生 じ無 いようにし、ステッパの露 光 品 質 を保 証 しなければならない。露 光 品
質 を保 証 できる有 意 なウェーハの評 価 ・解 析 を行 うためには、スキャン露 光 装 置 におけるサイトフラッ
トネスの測 定 法 を標 準 化 しなければならない。
洗 浄 ― インシチュセンサが、微 粒 子 、化 学 組 成 、そして可 能 ならば微 量 金 属 をその場 計 測 する
ため、一 部 のウエット洗 浄 装 置 に組 込 まれつつある。微 粒 子 検 出 は‘欠 陥 低 減 ’の章 で取 り扱 われて
いる。微 粒 子 、欠 陥 と金 属 、そして有 機 汚 染 の解 析 は、本 章 の‘材 料 と汚 染 の評 価 ・解 析 ’の節 で述
べられる。
熱 酸 化 /膜 堆 積 ― 新 しいゲート絶 縁 膜 /容 量 絶 縁 膜 用 の高 誘 電 率 材 、新 しいゲート電 極 材 、お
よび新 しいプロセスフローを導 入 するため、材 料 /電 気 特 性 の評 価 ・解 析 およびインライン計 測 の開
発 が必 要 となる。当 面 の焦 点 となる計 測 課 題 は、厚 さ 2 nm 以 下 の SiO 2 膜 や SiON 膜 を計 測 できる
ように、エリプソメトリ(楕 円 偏 光 解 析 法 )や電 気 (C-V、I-V) 計 測 の能 力 向 上 を図 ることである。解 決
策 候 補 として、紫 外 波 長 を用 いたエリプソメトリが挙 げられる。界 面 層 を評 価 ・解 析 したりインライン計
測 を行 うために、計 測 手 法 が必 要 となる。高 周 波 電 気 試 験 法 の継 続 的 な開 発 と標 準 化 、および絶
縁 物 の信 頼 性 を試 験 する新 しい方 法 が必 要 である。‘膜 堆 積 後 のゲート絶 縁 膜 /容 量 絶 縁 膜 の誘
電 特 性 は、熱 処 理 後 の誘 電 特 性 とは異 なる’という無 視 できない証 拠 がある。このことは、電 気 計 測
データと物 理 計 測 データとの比 較 を複 雑 なものにする。しかし、両 者 の相 関 をはっきりさせることが必
要 である。
国際半導体技術ロードマップ 1999 年版
ドーピング技 術 ― 活 性 ドーパントの注 入 については、180 nm 技 術 ノード以 降 に向 けて、導 入 を制
御 するためのインライン測 定 技 術 を改 良 しなければならない。現 在 、高 ドーズ注 入 のプロセス制 御 に
は 4 探 針 法 が 用 い ら れ 、 低 ド ー ズ 注 入 に は サ ー マ ル ウ エ ー ブ 法 ( thermaly modulated optical
reflectance) が用 いられている。両 手 法 ともに改 善 されねばならない。ドーズ量 /ドーパントプロファイ
ル/ドーズの均 一 性 を直 接 その場 測 定 できるように、新 しい測 定 方 法 の開 発 が必 要 である。高 ドーズ
の B/P/As 注 入 を制 御 するため、蛍 光 X 線 法 をインライン使 用 したとの報 告 がある。オフラインである
が、SIMS を用 いれば、極 浅 接 合 などで現 在 必 要 とされる測 定 精 度 を達 成 できる。光 照 射 に依 るキャ
リ ア 発 生 と 検 出 ( carrier illumination) や レ ー ザ パ ル ス 照 射 に 依 る 音 響 波 発 生 と 検 出 ( impulsive
stimulated thermal scattering) などの新 しい非 破 壊 測 定 法 について、どの程 度 の可 能 性 と応 用 性
を有 するものであるかが、現 在 評 価 されているところである。2 次 元 、可 能 ならば 3 次 元 のドーパントプ
ロファイルを観 測 することが、次 世 代 技 術 の開 発 には不 可 欠 である。新 しいドーピング技 術 を開 発 す
るためには、活 性 ドーパントのプロファイルとその TCAD モデルの作 成 /欠 陥 の分 布 を知 ることが必 要
となる。
国際半導体技術ロードマップ 1999 年版
IC 出荷の初年度
1999
2002
2005
2008
2011
2014
ゲート絶縁膜の膜厚測定
Oxynitride 130 nm
Ellipsometer to VUV
Advanced C-V and I-V
100 nm
Stacked nitride/oxide
Ellipsometer to VUV
Advanced C-V and I-V
Innovative Solution
High
κ dielectric
70/50/35
nm
Ellipsometer to VUV
Advanced C-V and I-V
Innovative solution
ドーパントの計測
130 nm
New optical method, TMOR –
low
dose
4 pt probe – high dose
100 nm
New optical method, TMOR –
low dose +
4 pt probe – high dose
Innovative methods
70/50/35 nm
New optical method, TMOR –
low dose +
4 pt probe – high dose
Innovative methods
Research Required
Development Underway
Qualification/Pre-Production
This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the
TMOR―thermally modulated optical reflectance
USJ―ultra shallow junctions
図 60 FEP 用 計 測 における解 決 策 の候 補 歩 技 術
15-3-5 配 線 における計 測
チップ内 配 線 の技 術 開 発 や製 造 に用 いられる計 測 については、Cu/低 誘 電 率 材 のような新 しい層
間 絶 縁 膜 /ダマシン構 造 とともに、微 細 化 の加 速 が、大 チャレンジをもたらす。
配 線 用 プロセス装 置 および配 線 ブロセスの開 発 /試 作 段 階 では、膜 堆 積 後 およびパターン加 工 後
の膜 特 性 を詳 細 に評 価 ・解 析 しなければならない。現 在 、配 線 構 造 に係 わるインライン計 測 の多 くは、
国際半導体技術ロードマップ 1999 年版
膜 堆 積 直 後 のモニターウェーハを用 いて行 われるか、破 壊 観 測 に頼 っている。構 造 の微 細 化 は、バ
リア層 の極 薄 化 を含 め、さらに進 歩 し続 けるであろう。CD 測 定 では、絶 縁 物 で形 成 された高 アスペク
ト比 構 造 を計 測 できるように、能 力 を向 上 させなければならない。
配 線 における計 測 では、‘電 気 性 能 /歩 留 /信 頼 性 との相 関 が取 れるような物 理 測 定 データを提 供
すること ’が求 め られる。そのため には、測 定 手 法 の 継 続 的 な開 発 が必 要 である 。製 造 段 階 で効 率
的 ・ 経 済 的 な計 測 を行 うためには、パターン付 きウェーハ上 で測 定 できるようにしなければならない 。
配 線 用 計 測 技 術 の目 標 到 達 レベルを表 85 に、解 決 策 候 補 を図 61 に示 す。
国際半導体技術ロードマップ 1999 年版
年
技 術 ノード
1999
180 nm
2000
2001
2002
130 nm
2003
2004
DRAM 1/2 ピッチ
180
165
150
130
120
110
100
MPU ゲート長
140
120
100
85
80
70
65
25×32
250
± 25
250
250
25×36
200
±20
200
200
25× 40
175
±17
MPU
16/10% 14/10% 13/10% 12/10% 11/10% 10/10%
0.05
<0.05
0.04
0.04
<0.04
0.03
MPU
露 光 フィールド内 平 坦 度 : 露 光 フィール
ド (mm × mm) 、配 線 の最 小 加 工 寸
法 (nm)
平 坦 度 測 定 精 度 (nm)
堆 積 バリア膜 膜 厚 (nm) / プロセス許
17/10%
容 変 動 範 囲 (± 3σ ) 膜 厚 測 定 精 度 <0.06
1σ (nm) P/T=0.1 パタン付 きウェーハ
でのプロファイルの評 価 ・解 析 が必 要
[A]
20005 牽 引 役
100 nm
バリア層 としての反 応 膜 の膜 厚 と膜 厚
均 一 性 (nm)
層 間 膜 の実 効 誘 電 率 (κ) とパタン構 3.5–4 .0
造 での異 方 性 、クロック周 波 数 の 5×1.25
10×の測 定 周 波 数 ((GHz)
[B]
3.5–
4 .0
2.7–
3 .0
2.7–3.0 2.2–2.7 2.2–2.6 1.6–2.2
2.1
3.5
MPU
表 85a 配 線 における計 測 技 術 の目 標 到 達 レベル―短 期
年
技 術 ノード
2008
70 nm
2011
50 nm
2014
35 nm
牽引役
25 × 44
25 × 52
175
MPU
175
175
±17
±17
±17
堆 積 バリア膜 膜 厚 (nm) / プロセス許 容 変 動
範 囲 (± 3 σ ) 膜 厚 測 定 精 度 1σ (nm)
P/T=0.1 パタン付 きウェーハでのプロファイル
の評 価 ・解 析 が必 要
[A]
0
0
バリア層 としての反 応 膜 の膜 厚 と膜 厚 均 一
性 (nm)
1
1
露 光 フィールド内 平 坦 度 : 露 光 フィールド
(mm × mm) 、配 線 の最 小 加 工 寸 法 (nm)
平 坦 度 測 定 精 度 (nm)
層 間 膜 の実 効 誘 電 率 (κ) とパタン構 造 で
の異 方 性 、クロック周 波 数 の 5×- 10×の測 定
周 波 数 ((GHz)
[B]
< 1.5
6
< 1.5
10
MPU
1
< 1.5
17
MPU
MPU
表 85b 配 線 における計 測 技 術 の目 標 到 達 レベル―長 期
表 85 配 線 における計 測 の目 標 到 達 レベルの脚 注
[A]
ロードマップでは、35 nm 技 術 ノードのバリア層 が、堆 積 法 ではなく、金 属 および/あるいは絶
縁 物 の反 応 に依 って形 成 されると予 測 している。
[B]
最 小 の実 効 誘 電 率 が記 載 されている。DRAMとロジックの要 求 がかけ離 れているため、数 値 は
ロ ジッ ク の 要 求 を記 載 し てい る 。低 誘 電 率 材 の誘 電 率 お よ び 異 方 性 を 測 定 す る 技 術 は、 40
GHzまでの開 発 がほぼ完 了 しており、製 造 への技 術 移 転 が1999年 から2000年 までに行 われる
であろう。
国際半導体技術ロードマップ 1999 年版
新 しい配 線 材 料 /プロセスの組 合 せは多 様 であり、計 測 手 法 の進 歩 と新 しい測 定 技 術 が必 要 とな
る。製 品 を逸 早 く市 場 に出 すためには、未 熟 な製 造 技 術 を試 作 /初 期 生 産 の段 階 で改 良 せねばな
らない 。 この た め に は、 この 段 階 で 、高 性 能 ・ 高 速 な測 定 技 術 を使 用 で き る よ うに しなけれ ば なら な
い。
生 産 に移 行 するまでに‘安 定 で裕 度 のあるプロセス技 術 (robust process) ’を作 り上 げることが必
要 であり、そのための上 手 な進 め方 は、‘開 発 段 階 で使 用 できる評 価 ・解 析 技 術 ’を持 つことである。
配 線 に特 化 した CD 測 定 手 法 の開 発 を、さらに進 めなければいけない。ダマシン構 造 では、高 アス
ペクトなトレンチ/ビアホールの深 さ・幅 双 方 を計 る必 要 があり、計 測 が更 に難 しくなる。加 えて、絶 縁
膜 があるため、表 面 帯 電 (チャージアップ)の問 題 も発 生 する。現 在 の顕 微 鏡 観 察 技 術 では、コンタ
クトやビアの底 を観 察 したり、側 壁 の傾 斜 角 を測 ることができない。
配 線 技 術 の目 標 到 達 レベルを見 ると、バリア層 の厚 さは将 来 <6 nm になる。膜 厚 変 動 のプロセス
ウインドウを 20%とすれば、測 定 の精 密 さは、6σ≦0.12 nm でなければならない。この精 度 を達 成 する
ことは、現 有 の技 術 では不 可 能 である。40 GHz まで対 応 できる低 誘 電 率 材 料 用 高 周 波 計 測 技 術 と
テスト構 造 が開 発 された。計 測 装 置 /計 測 手 順 /テスト構 造 物 質 を実 用 に移 し、低 誘 電 率 層 間 膜 を
用 いた配 線 の計 測 に適 用 して、クロック高 調 波 /表 皮 効 果 /クロストーク/材 料 の異 方 性 を調 べること
が必 要 である。
パターン加 工 後 のウェーハ平 坦 度 は、 CMP(chemical mechanical polishing:化 学 機 械 研 磨 )技 術
を用 いると、平 坦 度 計 測 技 術 の能 力 以 上 に平 坦 化 される。ス タイラスプロ ファイ ラー (訳 者 注 :或 る
触 針 式 表 面 粗 さ計 の固 有 名 詞 ) と走 査 プローブ(原 子 間 力 )顕 微 鏡 は、局 所 /ウェーハ全 面 の平 坦
度 情 報 を提 供 できるが、そのスループットは改 善 されなけばならない 。幾 つかの標 準 推 進 組 織 が、リ
ソグラフィの SPC に必 要 とされる情 報 を得 る目 的 で、平 坦 度 試 験 法 を開 発 中 である。新 材 料 や配 線
構 造 に関 わるその他 の計 測 項 目 として、薄 膜 内 の水 分 含 有 量 、膜 の化 学 量 論 的 組 成 、機 械 的 強
度 /剛 性 、局 所 的 応 力 (ウェーハ全 体 で見 た応 力 に対 して)、そして配 線 の比 抵 抗 (バルクでの比 抵
抗 に対 して)が挙 げられる。さらに、計 測 技 術 の開 発 と並 行 して、測 定 値 校 正 技 術 と標 準 試 料 /標 準
物 質 の開 発 が進 められなければならない。
配 線 構 造 は、様 々な材 料 ・様 々な厚 さの薄 膜 層 を積 み重 ねて形 成 されている。計 測 には、プロセス
ウェーハを対 象 として、多 層 膜 の膜 厚 を高 速 ・ 高 空 間 分 解 能 で測 定 するという難 しい要 求 が課 せら
れる。現 在 用 いられている蛍 光 X 線 /反 射 率 測 定 /エリプソメトリ/プロファイロメトリ(profilomotery)/
微 量 天 秤 法 のような膜 厚 測 定 法 では、この要 求 を満 たすことができない。
レーザ照 射 で生 じる音 響 波 や熱 波 を検 出 して多 層 膜 を測 定 する新 しい方 法 がある。しかし、プロセ
スウェーハを測 定 しようとした場 合 、現 在 はスクライブ領 域 にテスト構 造 を配 置 しなければならない。
配 線 における重 要 な計 測 項 目 のまとめ
配 線 構 造 を設 計 するためには、低 誘 電 率 材 の高 周 波 誘 電 率 を測 定 することが必 要 となる。配 線 構
造 を高 周 波 試 験 し、クロック高 調 波 (基 本 周 波 数 の 5 倍 から 10 倍 )、表 皮 効 果 、およびクロストークを
評 価 ・解 析 しなければならない。その他 の計 測 項 目 として、高 周 波 における比 抵 抗 (シート抵 抗 )、接
国際半導体技術ロードマップ 1999 年版
着 性 、機 械 的 強 度 が挙 げられる。さらに、ダマシンプロセス/Cu 配 線 プロセスなどのプロセス制 御 を行
うため、高 アスペクト比 構 造 の像 観 察 および CMP の終 点 検 出 が必 要 である。
IC 出荷の初年度
1999
2002
2005
2008
2011
2014
金属膜の計測
膜厚/比抵抗
130 nm
Acoustic methods
4 pt probe – single layers
Innovative, patterned wafer
capable method
100 nm
Acoustic methods and GI-XRR
4 pt probe – single layers
Innovative, patterned wafer
capable method
70/50/35 nm
Acoustic methods and GI-XRR
4 pt probe – single layers
Innovative, patterned wafer
capable method
低誘電率膜の膜厚
κ = 2.7 – 3
Ellipsometryacoustic methods
κ = 1.6 – 2.2
κ = < 1.5
CMP の平坦性
130 nm
Profilometry/atomic force
microscopy
Optical and other innovative
methods
100 nm
Profilometry/atomic force
microscopy
Optical and other innovative
methods
70/50/35 nm
Profilometry/atomic force
microscopy
Optical and other innovative
methods
Research Required
Development Underway
Qualification/Pre-Production
This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution.
GI-XRR―grazing incidence X-ray reflectivity
図 61 配 線 用 計 測 における解 決 策 候 補
国際半導体技術ロードマップ 1999 年版
15-3-6 材 料 と汚 染 の評 価 ・解 析
材 料 評 価 ・解 析 技 術 に要 求 される ことは、新 材 料 を短 期 間 で導 入 できるようにす ることと、物 理 特
性 ・電 気 特 性 に関 する重 要 な情 報 を提 供 できるようにすることである。適 切 なオフラインの評 価 ・解 析
手 法 とインラインでの物 理 計 測 ・電 気 計 測 手 法 との相 互 的 関 係 を、もっと拡 充 すべきである。評 価 ・
解 析 手 法 は、薄 膜 の厚 さや元 素 濃 度 などの正 確 な情 報 を得 るために、今 後 も使 われ続 ける。評 価 ・
解 析 手 法 の開 発 方 向 は、ウェーハ全 面 にわたって計 測 でき、クリーンルーム内 で使 用 できるようにし
て行 くことである。
オフラインの評 価 ・解 析 から、しばしば、インライン計 測 では取 れない情 報 が得 られる。例 えば、透 過
電 子 顕 微 鏡 (TEM)や走 査 形 透 過 電 子 顕 微 鏡 (STEM)、特 に環 状 の暗 視 野 検 出 器 を用 いて像 形 成
する TEM や STEM(ADF-STEM:annular dark field STEM)を用 いることに依 り、極 薄 膜 や界 面 層 の
断 面 を超 高 分 解 能 で観 察 ・分 析 することができる。 ADF-STEM に X 線 分 析 やエネルギー損 失 電 子
の検 出 機 能 を備 えれば、界 面 の化 学 結 合 状 態 を知 ることができる。微 小 角 入 射 X 線 反 射 率 測 定 法
を用 いて、薄 膜 の厚 さや密 度 を測 定 することができる。微 小 角 入 射 X 線 回 折 法 を用 いると、薄 膜 の
結 晶 構 造 に関 する情 報 を得 ることができる。
近 年 のレーザの発 達 に拠 って、分 光 的 な 2 次 高 調 波 光 の発 生 (SOSG:spectroscopic optical
second harmonic generation) が可 能 となり、従 来 は不 可 能 とされていた誘 電 体 /基 板 界 面 の光 学
特 性 を評 価 ・解 析 できるようになった。SOSG の効 果 を示 す一 例 として、 Si/SiO 2 界 面 における準 位
の観 測 が挙 げられる。これは、従 来 技 術 である同 一 波 長 帯 域 の分 光 エリプソメトリでは不 可 能 な観 測
である。また、新 しい材 料 を評 価 するためには、孔 の多 い低 誘 電 率 絶 縁 体 のボイド含 有 量 /ボイドサ
イズ、膜 の接 着 性 、機 械 的 性 質 などの物 理 特 性 をオフラインで評 価 ・解 析 できることが必 要 である。
高 エネルギー分 解 能 の X 線 検 出 器 など、有 望 な新 技 術 を早 急 に市 販 化 しなければならない。マイ
クロカロリーメータ型 /超 電 導 トンネル接 合 型 のエネルギー分 散 型 X 線 分 光 器 (EDS)を試 作 した結
果 では、非 常 に高 いエネルギー分 解 能 が得 られ、従 来 の EDS では不 可 能 であったオーバーラップピ
ークの分 離 や化 学 結 合 の情 報 が得 られている。これらの技 術 は従 来 形 EDS や在 り来 たりの波 長 分
散 型 分 光 器 に勝 っており、クリーンルームに設 置 した SEM に装 着 して使 用 すれば、より微 小 な粒 子
や欠 陥 の分 析 が可 能 になる。
有 用 な評 価 ・解 析 装 置 にウェーハ用 のステージを装 着 し、ウェーハを直 接 測 定 できるようにするとい
う傾 向 は、今 後 も続 く。ウェーハ全 面 を測 定 可 能 なオージェ電 子 分 光 装 置 (薄 膜 、微 粒 子 、そして欠
陥 の分 析 に有 効 )や SIMS(薄 膜 、ドーパント、表 面 汚 染 有 機 物 /金 属 や微 粒 子 /欠 陥 の分 析 に有
効 )は、既 に市 販 されている。
ロードマップでの要 求 として、さらなる像 分 解 能 /分 析 分 解 能 の向 上 が求 められている。表 面 汚 染
物 の分 析 方 法 として、全 反 射 蛍 光 X線 分 析 法 や気 相 分 解 法 (vapor phase decomposition)に依 って
濃 縮 した試 料 を ICP 質 量 分 析 器 (ICP-MS)で測 定 する方 法 などがあるが、さらなる自 動 化 と感 度 の
向 上 が望 まれる。
国際半導体技術ロードマップ 1999 年版
15-3-7 標 準 試 料 / 標 準 物 質
標 準 試 料 /標 準 物 質 は、認 定 された特 性 値 を有 する物 質 であり、計 測 機 器 を校 正 するために用 い
られる。標 準 試 料 /標 準 物 質 は、計 測 において非 常 に重 要 な役 割 を担 う。その理 由 は、異 なった計
測 方 法 で得 られたデータ同 士 /同 種 の装 置 に依 って得 られたデータ同 士 を相 互 比 較 したり、モデル
と実 験 結 果 との照 合 を行 う際 、その「物 差 し」となるからである。標 準 試 料 /標 準 物 質 は、複 数 の作 製
元 から種 々な形 態 や等 級 の物 が供 給 される。呼 称 は作 製 元 に 依 って色 々である :認 証 標 準 試 料 /
標 準 物 質 (certified reference material, CRM) 、 コ ン セ ン サ ス 標 準 試 料 / 標 準 物 質 (consensus
reference material)、NIST トレーサブル標 準 試 料 /標 準 物 質 (NTRM)、或 いはスタンダード標 準 試 料
/標 準 物 質 (standard reference material, SRM)等 がある。
(訳 者 注 :ISO の VIM(国 際 計 量 計 測 用 語 集 )には、標 準 物 質 (RM)と認 証 標 準 物 質 (CRM)が定
義 されている。RM の定 義 は、‘機 器 の校 正 、測 定 法 の評 価 、又 は物 質 の値 付 けに用 いるために、単
一 又 は複 数 の特 性 値 が十 分 に均 一 で良 く確 定 された物 質 又 は材 料 ’、CRM の定 義 は、‘特 性 値 の
表 現 に用 いられている単 位 の正 確 な現 示 へのトレーサビリテイが確 立 され、かつ表 記 された信 頼 の
水 準 での不 確 かさが各 認 証 値 に付 されるという手 続 きによって、その一 つ又 は複 数 の特 性 値 が認 証
された。認 証 書 付 きの標 準 物 質 ’とされている。;本 ロードマップでは、 NIST(訳 者 注 :標 準 に関 する
米 国 立 研 究 機 関 )の用 法 が記 載 されている。NIST の RM は、ISO の RM に適 合 しており、CRM に適
合 している場 合 も有 る。NIST の SRM は、ISO の CRM に適 合 している。)
NIST は、半 導 体 産 業 における計 測 科 学 の先 進 機 関 として、これまで国 際 的 に認 められてきた。し
かし、進 歩 の激 しい半 導 体 産 業 から寄 せられる標 準 試 料 /標 準 物 質 の要 望 に対 し、これまで通 りの
ニーズの捉 え方 /装 置 ・技 術 の開 発 /標 準 試 料 ・物 質 の開 発 をしていては対 応 できない。このことは、
NIST 自 身 も認 識 している。このような状 況 のもと、民 間 企 業 が NIST の足 りないところを補 完 し、標 準
試 料 /標 準 物 質 を製 作 できるようにするため、幾 つかの取 り組 みが為 されている。
第 一 の取 組 みは、民 間 の供 給 業 者 が作 製 した標 準 試 料 /標 準 物 質 を NIST が正 確 な値 付 けをし、
該 供 給 業 者 が NTRM のトレードマークを付 けた NTRM 試 料 として販 売 できるようにするものである。
第 二 は、検 定 所 認 定 制 度 (national voluntary laboratory accreditation program, NVLAP)による
方 法 で、NIST により民 間 の検 定 機 関 の認 定 を行 うことである。この取 組 みは、現 在 進 められており、
拡 充 されつつある。第 三 の取 組 みは、ASTM のような権 威 ある標 準 推 進 組 識 の管 理 下 で、複 数 の分
析 機 関 が相 互 に検 定 しあいながら、CRM を開 発 することである。
標 準 試 料 /標 準 物 質 の作 製 ・検 定 ・認 証 に際 し、以 下 のような技 術 的 要 件 がある:
• 標 準 試 料 /標 準 物 質 は、使 用 しても変 化 ・変 質 せず、安 定 した特 性 値 を保 持 できること;場 所 的 /
時 間 的 な特 性 値 の変 動 は、所 望 の校 正 の不 確 かさ以 下 でなければならない。
• 標 準 試 料 /標 準 物 質 は、望 ましい環 境 で製 作 できないことが多 い; 短 い操 業 時 間 の中 で、特 殊
な製 造 技 術 を用 い、作 製 ・検 定 ・認 証 の作 業 を行 わなければならないことが多 い。
• 標 準 試 料 /標 準 物 質 を検 定 し認 証 するためには、詳 細 を文 書 化 された規 格 に基 づいて作 業 され
なければならない。現 在 、満 足 な測 定 方 法 ・手 順 が決 められていない分 野 もある。基 本 的 な測 定
方 法 ・手 順 が明 らかにされていなければ、標 準 試 料 /標 準 物 質 を作 ることはできない。
国際半導体技術ロードマップ 1999 年版
• 半 導 体 製 造 プロセスの評 価 ・制 御 に用 いられる装 置 を校 正 する場 合 、校 正 用 標 準 試 料 /標 準 物
質 の認 証 値 の不 確 かさは、プロセスの変 動 し易 さの 1/4 以 下 でなければならない。
• シミュレーションの入 力 データとして用 いられるドーパントプロファイルのように、正 確 な測 定 が要 求
される場 合 には、標 準 試 料 /標 準 物 質 の認 証 値 の正 確 さは、要 求 値 の1/4以 下 でなければならな
い。ここで云 う正 確 さは、バイアスと変 動 し易 さの双 方 を考 慮 に入 れたものである。
• 測 定 に携 わるプロセスエンジニアには、標 準 試 料 /標 準 物 質 の取 扱 や取 得 結 果 の判 断 に間 違 い
が生 じ無 いように、充 分 な教 育 ・訓 練 を積 ませなければならない。
新 世 代 技 術 を立 ち上 げるための測 定 を開 始 する際 、特 に材 料 開 発 やプロセス装 置 開 発 の初 期 に
は、‘適 切 な標 準 試 料 /標 準 物 質 が利 用 できる状 態 になっていること’が非 常 に重 要 である。どのよう
な標 準 試 料 /標 準 物 質 も、上 に述 べた様 々な要 件 を満 足 するために、多 くの大 チャレンジを抱 えてい
る。
15-3-8 計 測 の統 合
ウェーハ径 が大 きくなり、必 要 とされる測 定 分 解 能 が高 くなるにつれ、デ-タ量 およびデータ処 理 速
度 ともに劇 的 に増 大 する。これら膨 大 な生 デ-タから、プロセス制 御 や欠 陥 低 減 を容 易 にするために、
有 用 な情 報 を抽 出 しなければならない。このためには、計 測 デ-タを他 のデ-タや工 程 管 理 情 報 と
関 連 付 けられるようにすることが必 要 であり、工 場 規 模 あるいは企 業 規 模 の情 報 システムに統 合 しな
ければならない。
計 測 データの統 合 の仕 方 は、(1) 高 度 な近 接 効 果 補 正 技 術 や位 相 シフトマスク技 術 の導 入 、(2)
193 nm、157 nm、そして次 世 代 リソグラフィ技 術 の立 ち上 がり方 、(3) Cu と低 誘 電 率 配 線 プロセスの
インテグレーションの仕 方 、(4) 量 産 用 ウェーハの 200 mm から 300 mm への移 行 時 期 、といった要 素
技 術 の発 達 程 度 に左 右 される。
例 えば、レチクルのパターン寸 法 /欠 陥 のデ-タは、ウェーハで測 定 されたパターン寸 法 /欠 陥 のデ
-タと比 較 されねばならない。このためには、マスク供 給 者 /試 作 ライン/量 産 工 場 の間 で、効 率 的 な
情 報 伝 達 が必 要 となる。ベアウェーハの nm 程 度 の凹 凸 /欠 陥 のデ-タは、ウェーハ単 位 で、デバイ
スの歩 留 り損 失 と比 較 されねばならない。このためには、シリコンウェーハメーカとデバイスメーカの間
で情 報 交 換 が必 要 となる。さらに、多 くの工 場 が 「そのままコピ-する ”copy exactly”」、「手 を加 え
てコピ-する ”copy intelligently” 」または「ウェーハ状 態 での電 気 特 性 を同 じにする ”wafer-state
matching” 」という考 え方 をとっているので、同 じデバイスを製 造 している工 場 間 での計 測 データの交
換 が必 要 である。
工 場 規 模 のシステムは、検 査 装 置 で検 出 された物 理 的 欠 陥 /電 気 的 欠 陥 /パラメトリック欠 陥 のデ
ータと、他 の検 査 装 置 で採 られ たデ- タとの相 関 関 係 を解 析 すると ともに、計 測 装 置 で採 られた 物
理 的 パラメータのデ-タと絶 えず相 関 をとらねばならない。
計 測 統 合 の一 つの形 態 が、高 度 なプロセス制 御 (APC)である。APC は、プロセス変 動 の減 少 /先
行 ウェ ーハや 装 置 発 塵 監 視 用 ウェ ーハの 枚 数 削 減 /歩 留 り向 上 期 間 や欠 陥 対 策 時 間 の短 縮 / 同
国際半導体技術ロードマップ 1999 年版
種 量 産 装 置 の互 換 使 用 /総 合 的 な装 置 効 率 の改 善 /開 発 期 間 の短 縮 /試 作 ラインから工 場 へのプ
ロセス技 術 移 管 の容 易 化 を目 的 として、モデルに基 づいたプロセス制 御 を行 うことである。
15-3-9 パッケージング(封 止 技 術 )における計 測
組 立 て・パッケージング技 術 は、電 子 システムの大 きさ/性 能 /価 格 を決 定 づける重 要 な要 素 であり、
その重 要 性 は今 後 も増 大 し続 ける。本 節 は、組 立 て・パッケージング関 連 の計 測 課 題 を纏 めたもの
である。内 容 は、網 羅 的 なものではなく、最 も重 要 な分 野 に焦 点 を絞 っている。
チップ/パッケージ/システムにおける整 合 の取 れた電 気 モデルの作 成 とシミュレ-ションツール:技
術 の現 状 とニーズ ― 一 組 のチップは、個 々にシングルチップパッケージ、あるいは一 纏 めでマルチ
チップパッケージに組 込 まれる。これらのチップとパッケージを一 つのシステムと見 做 し、その電 気 モ
デルを作 ることに依 り、より多 くのことが、コスト・時 間 の面 で効 率 的 に行 えるようになる。3 次 元 配 線 や
給 電 構 造 に係 わるパラメータを抽 出 したり、これらパラメータの妥 当 性 やこれらパラメータが回 路 性 能
に及 ぼす影 響 について詳 細 な検 証 を行 うためには、素 子 ・配 線 間 のカップリング/アナログ・デジタル
混 合 信 号 のシュミレ-ション/電 源 擾 乱 /電 磁 波 障 害 などが問 題 となり、その技 術 進 歩 が求 められる。
全 体 を一 つのシステムとして扱 える効 果 的 なシミュレ-ションツールが必 要 である。
実 使 用 に即 した加 速 不 良 試 験 方 法 ― 温 度 サイクル試 験 /化 学 的 暴 露 試 験 /衝 撃 ・振 動 試 験 は、
不 良 メカニズムを検 証 する目 的 で短 時 間 に不 良 が発 生 するようにしたり、製 品 の寿 命 や動 作 限 界 を
評 価 ・改 善 するために行 われる。これらの加 速 試 験 で発 生 する不 良 が製 品 使 用 時 に発 生 する不 良
と同 じ種 類 のものとなるよう、試 験 /測 定 /モデル化 を通 して、改 善 して行 くことが必 要 である。
界 面 の測 定 とモデ ル化 ― 組 立 て・パッケ ージング の性 能 / 信 頼 性 / 原 価 は、界 面 につ いての理
解 度 と、界 面 を測 定 し制 御 する能 力 に左 右 される。例 えば、‘ダイ接 合 材 /モールド材 /カプセル材 /
接 着 剤 /アンダーフィル (underfills)/熱 コンパウンドが、界 面 において何 のように振 舞 うか?’を知 る
ことは、重 要 な課 題 である。界 面 特 性 を正 確 に測 定 ・予 測 する能 力 が 、将 来 に わたり、経 済 的 な製
品 開 発 の鍵 となる。
パッケージ/組 立 て部 品 における熱 /機 械 シミレ-ションモデルの精 密 化 と検 証 ― 電 子 製 品 の温
度 /機 械 耐 性 を向 上 させるため、努 力 が続 けられている。測 定 で実 証 し、完 成 された熱 /機 械 モデル
を作 ることが必 要 である。これには、パッケージや組 立 て部 品 の熱 流 特 性 /界 面 特 性 /破 断 の力 学 /
熱 機 械 的 挙 動 を知 らねばならない。実 証 するためには、特 性 /欠 陥 /不 良 を位 置 出 し測 定 するため
のより優 れたシステム/測 定 技 術 も必 要 となる。
材 料 パラメ-タ ― パッケージング材 料 の大 きさ/厚 さ/特 性 温 度 など、基 本 特 性 の測 定 /データ収
集 /普 及 には、絶 えざる改 善 が必 要 とされる。これには、使 用 温 度 /使 用 応 力 範 囲 における熱 伝 導 /
電 気 伝 導 /誘 電 率 と誘 電 損 失 係 数 /応 力 ・歪 み関 数 /比 熱 /微 小 力 学 的 および形 状 的 安 定 性 も含
まれる。
材 料 の使 用 に係 わる制 御 ― はんだ/はんだ代 替 物 /アンダーフィル/カプセル材 /接 合 材 などの
パッケージやバンプ型 チップの製 作 に使 用 される材 料 は、多 くの分 野 で使 用 限 界 を広 げている。これ
には、量 的 制 御 /質 的 制 御 /厚 さ/均 一 性 /気 泡 欠 陥 /熱 特 性 /電 気 的 ・機 械 的 特 性 などが該 当 する。
国際半導体技術ロードマップ 1999 年版
これらパラメ-タをオンラインで精 密 測 定 するためには、検 査 法 の変 更 /プロセス変 動 の減 少 /欠 陥 の
制 御 /廃 棄 物 の削 減 が必 要 となる。
国際半導体技術ロードマップ 1999 年版
Fly UP